Intel-lógó

UG-20219 Comhéadain Cuimhne Sheachtracha Intel Agilex FPGA IP Design Example

UG-20219-Seachtrach-Cuimhne-Comhéadain-Intel-Agilex-FPGA-IP-Design-Example-táirge Maidir leis na Comhéadain Cuimhne Sheachtracha Intel® Agilexâ„¢ FPGA IP

Eolas Eisiúint

Tá na leaganacha IP mar an gcéanna leis na leaganacha bogearraí Intel® Quartus® Prime Design Suite suas go v19.1. Ó leagan bogearraí Intel Quartus Prime Design Suite 19.2 nó níos déanaí, tá scéim leagan IP nua ag cores IP. Athraíonn uimhir na scéime leagan IP (XYZ) ó leagan bogearraí amháin go leagan eile. Athrú ar:

  • Léiríonn X athbhreithniú mór ar an IP. Má nuashonraíonn tú do bhogearraí Intel Quartus Prime, ní mór duit an IP a athghiniúint.
  • Léiríonn Y go bhfuil gnéithe nua san áireamh sa IP. Athghin do IP chun na gnéithe nua seo a chur san áireamh.
  • Léiríonn Z go bhfuil mionathruithe san áireamh sa IP. Athghin do IP chun na hathruithe seo a chur san áireamh.
    Mír Cur síos
    Leagan IP 2.4.2
    Intel Quartus Príomh 21.2
    Dáta Eisiúna 2021.06.21

Dearadh Example Treoir Thapa Tosaigh do Chomhéadain Chuimhne Sheachtracha Intel Agilex™ FPGA IP

Dearadh uathoibrithe examptá le flow ar fáil do chomhéadain chuimhne seachtracha Intel Agilex™. The Generate Example Designs cnaipe ar an Example Designs tab is féidir leat an dearadh sintéise agus insamhalta a shonrú agus a ghiniúint example file tacair ar féidir leat a úsáid chun do IP EMIF a bhailíochtú. Is féidir leat dearadh example a mheaitseálann trealamh forbartha Intel FPGA, nó le haghaidh aon IP EMIF a ghineann tú. Is féidir leat an dearadh example cabhrú le do mheastóireacht, nó mar phointe tosaigh do do chóras féin.

Dearadh Ginearálta Example Sreafaí oibreUG-20219-Seachtrach-Cuimhne-Comhéadain-Intel-Agilex-FPGA-IP-Design-Example-fig-1

Tionscadal EMIF a Chruthú

Le haghaidh leagan bogearraí Intel Quartus Prime 17.1 agus níos déanaí, ní mór duit tionscadal Intel Quartus Prime a chruthú sula ngintear IP EMIF agus dearadh example.

  1. Seoladh na bogearraí Intel Quartus Prime agus roghnaigh File ➤ Draoi Tionscadail Nua. Cliceáil Ar Aghaidh. Dearadh Example Treoir Thapa Tosaigh do Chomhéadain Chuimhne Sheachtracha Intel Agilex™ FPGA IP
  2. Sonraigh eolaire ( ), ainm don tionscadal Intel Quartus Prime ( ), agus ainm aonán dearaidh barrleibhéil ( ) gur mian leat a chruthú. Cliceáil Ar Aghaidh.UG-20219-Seachtrach-Cuimhne-Comhéadain-Intel-Agilex-FPGA-IP-Design-Example-fig-3
  3. Deimhnigh go bhfuil an Tionscadal Folamh roghnaithe. Cliceáil Ar Aghaidh dhá uair.UG-20219-Seachtrach-Cuimhne-Comhéadain-Intel-Agilex-FPGA-IP-Design-Example-fig-4
  4. Faoi Teaghlaigh, roghnaigh Intel Agilex.
  5. Faoi Ainm scagaire, clóscríobh uimhir pháirt an fheiste.
  6. Faoi Feistí Ar fáil, roghnaigh an gléas cuí.UG-20219-Seachtrach-Cuimhne-Comhéadain-Intel-Agilex-FPGA-IP-Design-Example-fig-5
  7. Cliceáil Críochnaigh.

IP EMIF a Ghiniúint agus a Chumrú

Léiríonn na céimeanna seo a leanas conas an IP EMIF a ghiniúint agus a chumrú. Cruthaíonn an bealach siúlóide seo comhéadan DDR4, ach tá na céimeanna cosúil le prótacail eile. (Leanann na céimeanna seo an sreabhadh Catalog IP (neamhspleách); má roghnaíonn tú an sreabhadh Dearthóir Ardán (córas) a úsáid ina ionad sin, tá na céimeanna cosúil.)

  1. I bhfuinneog an Chatalóg IP, roghnaigh Comhéadain Cuimhne Sheachtracha Intel Agilex FPGA IP. (Mura bhfuil an fhuinneog Catalóg IP le feiceáil, roghnaigh View ➤ Catalóg IP.)UG-20219-Seachtrach-Cuimhne-Comhéadain-Intel-Agilex-FPGA-IP-Design-Example-fig-6
  2. San Eagarthóir Paraiméadar IP, cuir ainm aonáin ar fáil don IP EMIF (is é an t-ainm a sholáthraíonn tú anseo an file ainm don IP) agus sonraigh eolaire. Cliceáil Cruthaigh.UG-20219-Seachtrach-Cuimhne-Comhéadain-Intel-Agilex-FPGA-IP-Design-Example-fig-7
  3. Tá cluaisíní iomadúla ag an eagarthóir paraiméadar ina gcaithfidh tú paraiméadair a chumrú chun do chur i bhfeidhm EMIF a léiriú.

Treoirlínte d’Eagarthóir Paraiméadar Intel Agilex EMIF
Soláthraíonn an topaic seo treoir ardleibhéil maidir le parameterizing na cluaisíní in eagarthóir paraiméadar IP Intel Agilex EMIF.

Tábla 1. Treoirlínte d'Eagarthóirí Paraiméadar EMIF

Cluaisín Eagarthóir Paraiméadar Treoirlínte
Ginearálta Cinntigh go gcuirtear na paraiméadair seo a leanas isteach i gceart:

• An grád luais don fheiste.

• An minicíocht clog cuimhne.

• An minicíocht clog tagartha PLL.

Cuimhne • Déan tagairt don bhileog sonraí le haghaidh do ghléas cuimhne chun na paraiméadair ar an Cuimhne cluaisín.

• Ba cheart duit suíomh ar leith a chur isteach don bhiorán ALERT#. (Baineann sé le prótacal cuimhne DDR4 amháin.)

Mem I/O • Le haghaidh imscrúduithe tosaigh tionscadail, féadfaidh tú na socruithe réamhshocraithe a úsáid ar an

Mem I/O cluaisín.

• Chun arddhearadh a bhailíochtú, ba chóir duit ionsamhlúchán cláir a dhéanamh chun na socruithe foirceanta is fearr a fháil.

FPGA I/O • Le haghaidh imscrúduithe tosaigh tionscadail, féadfaidh tú na socruithe réamhshocraithe a úsáid ar an

FPGA I/O cluaisín.

• Chun arddearadh a bhailíochtú, ba chóir duit ionsamhlúchán cláir a dhéanamh le samhlacha gaolmhara IBIS chun caighdeáin I/O cuí a roghnú.

Mem Uainiú • Le haghaidh imscrúduithe tosaigh tionscadail, féadfaidh tú na socruithe réamhshocraithe a úsáid ar an

Mem Uainiú cluaisín.

• Chun arddhearadh a bhailíochtú, ba cheart duit paraiméadair a chur isteach de réir bhileog sonraí do ghléas cuimhne.

Rialaitheoir Socraigh paraiméadair an rialtóra de réir na cumraíochta agus an iompair atá ag teastáil do do rialtóir cuimhne.
Diagnóisic Is féidir leat úsáid a bhaint as na paraiméadair ar an Diagnóisic cluaisín chun cabhrú le do chomhéadan cuimhne a thástáil agus a dhífhabhtú.
Example Dearthaí Tá an Example Dearthaí cluaisín ligeann duit dearadh a ghiniúint examples le haghaidh sintéise agus le haghaidh insamhalta. Tá an dearadh ginte example is córas EMIF iomlán é comhdhéanta de IP EMIF agus tiománaí a ghineann trácht randamach chun an comhéadan cuimhne a bhailíochtú.

Le haghaidh faisnéis mhionsonraithe ar pharaiméadair aonair, féach ar an gcaibidil chuí do do phrótacal cuimhne i dTreoir Úsáideora IP Intel Agilex FPGA IP Comhéadain Cuimhne Seachtracha.

Ag giniúint an Sintéisithe EMIF Design Example

Maidir le trealamh forbartha Intel Agilex, is leor an chuid is mó de na socruithe IP Intel Agilex EMIF a fhágáil ag a luachanna réamhshocraithe. Chun an dearadh synthesizable a ghiniúint example, lean na céimeanna seo:

  1. Ar an Example Designs tab, cinntigh go bhfuil an bosca Sintéise seiceáilte.
    • Má tá tú ag cur i bhfeidhm comhéadan amháin example dearadh, cumraigh an IP EMIF agus cliceáil File➤ Sábháil chun an socrú reatha a shábháil isteach san athrú IP úsáideora file ( .ip).UG-20219-Seachtrach-Cuimhne-Comhéadain-Intel-Agilex-FPGA-IP-Design-Example-fig-13
      • Má tá example dearadh le comhéadain iolracha, sonraigh Líon IPanna go dtí an líon comhéadain atá ag teastáil. Is féidir leat an líon iomlán ID EMIF a fheiceáil mar an gcéanna leis an Líon IP roghnaithe. Lean na céimeanna seo chun gach comhéadan a chumrú:
    •  Roghnaigh an Cal-IP chun nasc an chomhéadain leis an IP Calabrú a shonrú.
    • Cumraigh an IP EMIF dá réir sin sa Chluaisín Eagarthóra Paraiméadar ar fad.
    • Fill ar ais go Example Dearadh cluaisín agus cliceáil Gabháil ar an ID EMIF atá ag teastáil.
    • Déan céim a go c arís do gach ID EMIF.
    • Is féidir leat an cnaipe Glan a chliceáil chun na paraiméadair a gabhadh a bhaint agus céim a go c a dhéanamh arís chun athruithe a dhéanamh ar an IP EMIF.
    • Cliceáil File➤ Sábháil chun an socrú reatha a shábháil isteach san athrú IP úsáideora file ( .ip).UG-20219-Seachtrach-Cuimhne-Comhéadain-Intel-Agilex-FPGA-IP-Design-Example-fig-9
  2. Cliceáil Gin Example Dearadh sa chúinne uachtarach ar dheis den fhuinneog.UG-20219-Seachtrach-Cuimhne-Comhéadain-Intel-Agilex-FPGA-IP-Design-Example-fig-10
  3. Sonraigh eolaire don dearadh EMIF example agus cliceáil OK. Giniúint rathúil an dearadh EMIF exampCruthaíonn le an méid seo a leanas filesocraithe faoi eolaire qii.UG-20219-Seachtrach-Cuimhne-Comhéadain-Intel-Agilex-FPGA-IP-Design-Example-fig-11
  4. Cliceáil File ➤ Scoir chun an fhuinneog IP Parameter Editor Pro a fhágáil. Tugann an córas leid, Níor ghin athruithe le déanaí. Gin anois? Cliceáil Níl chun leanúint ar aghaidh leis an gcéad sreabhadh eile.
  5. Chun an sean a oscailtample dearadh, cliceáil File ➤ Tionscadal Oscailte, agus nascleanúint chuig an /ample_name>/qii/ed_synth.qpf agus cliceáil Oscail.
    Nóta: Chun faisnéis a fháil maidir le tiomsú agus ríomhchlárú an dearadh example, tagairt do
    Tiomsú agus Ríomhchlárú Intel Agilex EMIF Design Example.

Fíor 4. Dearadh Sintéisithe Ginte Example File Struchtúr

UG-20219-Seachtrach-Cuimhne-Comhéadain-Intel-Agilex-FPGA-IP-Design-Example-fig-12

Chun faisnéis a fháil faoi chóras a thógáil le dhá chomhéadan chuimhne sheachtracha nó níos mó, féach ar Creating a Design Example Comhéadain Il-EMIF, sa Chomhéadain Cuimhne Seachtracha Intel Agilex FPGA Treoir Úsáideora IP. Le haghaidh faisnéise ar chomhéadain iolracha a dhífhabhtú, féach ar Chumasú an Fhoireann Uirlisí EMIF i nDearadh Reatha, sa Chomhéadain Cuimhne Sheachtracha Treoir Úsáideora Intel Agilex FPGA IP.

Nóta: Mura roghnaíonn tú an ticbhosca Insamhladh nó Sintéise, níl san eolaire cinn scríbe ach dearadh Dearthóir Ardán files, nach féidir le bogearraí Intel Quartus Prime a thiomsú go díreach, ach is féidir leat view nó cuir in eagar sa Dearthóir Ardán. Sa chás seo is féidir leat na horduithe seo a leanas a rith chun sintéis agus insamhalta a ghiniúint file tacair.

  • Chun tionscadal inchurtha a chruthú, ní mór duit an quartus_sh -t make_qii_design.tclscript a rith san eolaire cinn scríbe.
  • Chun tionscadal insamhalta a chruthú, ní mór duit an script quartus_sh -t make_sim_design.tcl a reáchtáil san eolaire cinn scríbe.

Nóta: Má tá dearadh example agus ansin athruithe a dhéanamh air san eagarthóir paraiméadar, ní mór duit an dearadh a athghiniúint example do chuid athruithe a fheiceáil curtha i bhfeidhm. Tá an dearadh nua-ghinte exampní fhorscríobhann le an dearadh atá ann cheana example files.

Ag giniúint an EMIF Design Example haghaidh Insamhladh

Maidir le trealamh forbartha Intel Agilex, is leor an chuid is mó de na socruithe IP Intel Agilex EMIF a fhágáil ag a luachanna réamhshocraithe. Chun an dearadh a ghiniúint exampLe haghaidh insamhalta, lean na céimeanna seo:

  1. Ar an Example Designs tab, cinntigh go bhfuil an bosca Insamhladh seiceáilte. Chomh maith leis sin roghnaigh an fhormáid Insamhladh HDL riachtanach, ceachtar Verilog nó VHDL.
  2. Cumraigh an IP EMIF agus cliceáil File ➤ Sábháil chun an socrú reatha a shábháil isteach san athrú IP úsáideora file ( .ip).
  3. Cliceáil Gin Example Dearadh sa chúinne uachtarach ar dheis den fhuinneog.
  4. Sonraigh eolaire don dearadh EMIF example agus cliceáil OK. Giniúint rathúil an dearadh EMIF exampCruthaíonn le iolra file tacair le haghaidh insamhlóirí éagsúla a dtacaítear leo, faoi eolaire sim/ed_sim.
  5. Cliceáil File ➤ Scoir chun an fhuinneog IP Parameter Editor Pro a fhágáil. Tugann an córas leid, Níor ghin athruithe le déanaí. Gin anois? Cliceáil Níl chun leanúint ar aghaidh leis an gcéad sreabhadh eile.

Dearadh Insamhladh Ginte Example File StruchtúrUG-20219-Seachtrach-Cuimhne-Comhéadain-Intel-Agilex-FPGA-IP-Design-Example-fig-15

Nóta: Faoi láthair ní thacaíonn an Comhéadain Cuimhne Sheachtracha Intel Agilex FPGA IP ach na hionsamhlóirí VCS, ModelSim/QuestaSim, agus Xcelium. Tá tacaíocht bhreise insamhlóirí beartaithe i eisiúintí amach anseo.

Nóta: Mura roghnaíonn tú an ticbhosca Insamhladh nó Sintéise, níl san eolaire cinn scríbe ach dearadh Dearthóir Ardán files, nach féidir le bogearraí Intel Quartus Prime a thiomsú go díreach, ach is féidir leat view nó cuir in eagar sa Dearthóir Ardán. Sa chás seo is féidir leat na horduithe seo a leanas a rith chun sintéis agus insamhalta a ghiniúint file tacair.

  • Chun tionscadal inchurtha a chruthú, ní mór duit an script quartus_sh -t make_qii_design.tcl a rith san eolaire cinn scríbe.
  • Chun tionscadal insamhalta a chruthú, ní mór duit an script quartus_sh -t make_sim_design.tcl a reáchtáil san eolaire cinn scríbe.

Nóta: Má tá dearadh example agus ansin athruithe a dhéanamh air san eagarthóir paraiméadar, ní mór duit an dearadh a athghiniúint example do chuid athruithe a fheiceáil curtha i bhfeidhm. Tá an dearadh nua-ghinte exampní fhorscríobhann le an dearadh atá ann cheana example files.

Insamhladh in aghaidh Cur i bhFeidhm Crua-earraí
Le haghaidh insamhalta comhéadan cuimhne seachtrach, is féidir leat calabrú scipeála nó calabrú iomlán a roghnú ar an táb Diagnóisic le linn giniúna IP.

Samhlacha Insamhladh EMIF
Déanann an tábla seo comparáid idir saintréithe na múnlaí calabraithe scipeála agus na samhlacha calabraithe iomlána.

Tábla 2. Múnlaí Insamhladh EMIF: Scipeáil ar Chalabrú in aghaidh Calabrú Iomlán

Léim ar Chalabrú Calabrú Iomlán
Insamhladh ar leibhéal an chórais ag díriú ar loighic úsáideora. Insamhladh comhéadan cuimhne ag díriú ar chalabrú.
Níl sonraí calabraithe gafa. Gabhann gach stages de chalabrú.
Tá an cumas aige sonraí a stóráil agus a aisghabháil. Áirítear leis leibhéalú, deasc in aghaidh an ghiotán, etc.
Léiríonn éifeachtúlacht chruinn.
Ní mheasann sé sceabhacha boird.

Insamhladh RTL i gcoinne Cur i bhFeidhm Crua-earraí
Leagann an tábla seo béim ar na príomhdhifríochtaí idir ionsamhlú EMIF agus cur i bhfeidhm crua-earraí.

Tábla 3. Insamhladh EMIF RTL i gcoinne Cur i bhFeidhm Crua-earraí

Insamhladh RTL Cur i bhFeidhm Crua-earraí
Feidhmíonn cód tosaithe agus calabraithe Nios® go comhthreomhar. Feidhmíonn cód tosaithe agus calabraithe Nios go seicheamhach.
Dearbhaíonn comhéadain comhartha cal_done go comhuaineach san insamhalta. Cinneann oibríochtaí feisteoirí an t-ord calabraithe, agus ní dhearbhaíonn comhéadain cal_done ag an am céanna.

Ba cheart duit ionsamhlúcháin RTL a rith bunaithe ar phatrúin tráchta d’fheidhmchlár do dhearaidh. Tabhair faoi deara nach múnlaíonn ionsamhlúchán RTL moilleanna rian PCB a d’fhéadfadh a bheith ina chúis le neamhréiteach sa fhollas idir insamhalta RTL agus cur i bhfeidhm crua-earraí.

 Insamhladh IP Chomhéadain Cuimhne Sheachtraigh Le ModelSim
Léiríonn an nós imeachta seo conas an dearadh EMIF example.

  1. Seol na bogearraí ModelSim Mentor Graphics* agus roghnaigh File ➤ Athraigh Eolaire. Déan nascleanúint chuig an eolaire sim/ed_sim/mentor laistigh den dearadh ginte example fillteán.
  2. Deimhnigh go bhfuil an fhuinneog Athscríbhinn ar taispeáint ag bun an scáileáin. Mura bhfuil an fhuinneog Athscríbhinn le feiceáil, taispeáin é trí chliceáil View ➤ Athscríbhinn.
  3. I bhfuinneog an Athscríbhinn, rith foinse msim_setup.tcl.
  4. Nuair a chríochnaíonn foinse msim_setup.tcl rith, rith ld_debug i bhfuinneog an Athscríbhinn.
  5. Nuair a chríochnaíonn ld_debug rith, deimhnigh go bhfuil an fhuinneog Objects ar taispeáint. Mura bhfuil an fhuinneog Objects le feiceáil, taispeáin é trí chliceáil View ➤ Rudaí.
  6. Sa fhuinneog Objects, roghnaigh na comharthaí is mian leat a insamhladh trí chliceáil ar dheis agus roghnaigh Add Wave.
  7. Tar éis duit na comharthaí a roghnú le haghaidh ionsamhlúcháin, déan rith -all i bhfuinneog an Athscríbhinn. Ritheann an insamhalta go dtí go bhfuil sé críochnaithe.
  8. Mura bhfuil an insamhalta le feiceáil, cliceáil View ➤ Tonn.

Socrúchán PIN do Intel Agilex EMIF IP
Soláthraíonn an topaic seo treoirlínte maidir le socrú bioráin.

Tharview
Tá an struchtúr seo a leanas ag Intel Agilex FPGAs:

  • Tá suas le 8 mbanc I/O i ngach feiste.
  • Tá 2 bhainc fho-I/O i ngach banc I/O.
  • Tá 4 lána i ngach banc fo-I/O.
  • Tá 12 bioráin I/O (GPIO) ilfhóinteach i ngach lána.

Treoirlínte Ginearálta Bioráin
Seo a leanas treoirlínte ginearálta bioráin.

Nóta: Le haghaidh faisnéise bioráin níos mionsonraithe, féach ar an rannóg um Pleanáil Bioráin agus Acmhainní IP Intel Agilex FPGA EMIF sa chaibidil prótacal-shonrach do do phrótacal cuimhne seachtrach, sa Treoir Úsáideora IP Comhéadain Seachtracha Intel Agilex FPGA.

  • Cinntigh go bhfuil na bioráin le haghaidh comhéadan cuimhne seachtrach tugtha laistigh den tsraith I/O chéanna.
  • Caithfidh comhéadain a théann trasna bainc iolracha na ceanglais seo a leanas a chomhlíonadh:
    •  Caithfidh na bainc a bheith in aice lena chéile. Le haghaidh faisnéise ar bhainc in aice láimhe, féach ar an ábhar Ailtireacht EMIF: Banc I/O sa Treoir Úsáideora IP Intel Agilex FPGA Comhéadain Sheachtracha.
  •  Ní mór go mbeadh gach seoladh agus ordú agus na bioráin ghaolmhara laistigh d'aon fhobhanc amháin.
  • Is féidir le bioráin seoltaí agus ordaithe agus sonraí fobhanc a roinnt faoi na coinníollacha seo a leanas:
    • Ní féidir le bioráin seoltaí agus ordaithe agus sonraí lána I/O a roinnt.
    • Ní féidir bioráin sonraí a bheith ann ach i lána I/O neamhúsáidte sa bhanc seoltaí agus ordaithe.

Tábla 4. Srianta Ginearálta Bioráin

Cineál Comhartha Srian
Strobe Sonraí Caithfidh gach comhartha a bhaineann le grúpa DQ cónaí sa lána I/O céanna.
Sonraí Caithfidh bioráin DQ gaolmhara a bheith sa lána I/O céanna. I gcás prótacail nach dtacaíonn le línte sonraí déthreocha, ba cheart comharthaí léite a ghrúpáil ar leithligh ó chomharthaí scríofa.
Seoladh agus Ordú Ní mór go mbeadh na bioráin Seolta agus Ordaithe in áiteanna réamhshainithe laistigh d'fhobhanc I/O.

Nóta: Le haghaidh faisnéise bioráin níos mionsonraithe, féach ar an rannóg um Pleanáil Bioráin agus Acmhainní IP Intel Agilex FPGA EMIF sa chaibidil prótacal-shonrach do do phrótacal cuimhne seachtrach, sa Treoir Úsáideora IP Comhéadain Seachtracha Intel Agilex FPGA.

  • Cinntigh go bhfuil na bioráin le haghaidh comhéadan cuimhne seachtrach tugtha laistigh den tsraith I/O chéanna.
  • Caithfidh comhéadain a théann trasna bainc iolracha na ceanglais seo a leanas a chomhlíonadh:
    • Caithfidh na bainc a bheith in aice lena chéile. Le haghaidh faisnéise ar bhainc in aice láimhe, féach ar an ábhar Ailtireacht EMIF: Banc I/O sa Treoir Úsáideora IP Intel Agilex FPGA Comhéadain Sheachtracha.
  • Ní mór go mbeadh gach seoladh agus ordú agus na bioráin ghaolmhara laistigh d'aon fhobhanc amháin.
  • Is féidir le bioráin seoltaí agus ordaithe agus sonraí fobhanc a roinnt faoi na coinníollacha seo a leanas:
    • Ní féidir le bioráin seoltaí agus ordaithe agus sonraí lána I/O a roinnt.
    • Ní féidir bioráin sonraí a bheith ann ach i lána I/O neamhúsáidte sa bhanc seoltaí agus ordaithe.

Dearadh Example Rogha Cumraíochta TG

An dearadh EMIF a ghintear exampÁirítear le bloc gineadóir tráchta (TG). De réir réamhshocraithe, an dearadh exampÚsáideann le bloc simplí TG (altera_tg_avl) nach féidir a athshocrú ach chun patrún tráchta crua-chódaithe a athsheoladh. Más gá, is féidir leat gineadóir tráchta inchumraithe (TG2) a chumasú ina ionad sin. Sa ghineadóir tráchta inchumraithe (TG2) (altera_tg_avl_2), is féidir leat an patrún tráchta a chumrú i bhfíor-am trí chláir rialaithe - rud a chiallaíonn nach gá duit an dearadh a ath-thiomsú chun an patrún tráchta a athrú nó a athsheoladh. Soláthraíonn an gineadóir tráchta seo rialú fíneáil ar an gcineál tráchta a sheolann sé ar chomhéadan rialaithe EMIF. Ina theannta sin, cuireann sé cláir stádais ar fáil ina bhfuil faisnéis mhionsonraithe maidir le teip.

Gineadóir Tráchta a Chumasú i nDearadh Example

Is féidir leat an gineadóir tráchta inchumraithe a chumasú ón gcluaisín Diagnóisic in eagarthóir paraiméadar EMIF. Chun an gineadóir tráchta inchumraithe a chumasú, cas ar Úsáid gineadóir tráchta Avalon configurable 2.0 ar an táb Diagnóisic.

Fíor 6 .UG-20219-Seachtrach-Cuimhne-Comhéadain-Intel-Agilex-FPGA-IP-Design-Example-fig-16

  • Is féidir leat rogha a dhéanamh an patrún tráchta réamhshocraithe a dhíchumasú stage nó an trácht úsáideora cumraithe stage, ach caithfidh tú ceann amháin ar a laghad a bheith agattage cumasaithe. Chun eolas a fháil ar na stages, déan tagairt do Phatrún Tráchta Réamhshocraithe agus Patrún Tráchta Cumraithe le hÚsáideoirí sna Comhéadain Cuimhne Sheachtracha Treoir Úsáideora Intel Agilex FPGA IP.
  • Ní bhaineann paraiméadar ré tástála TG2 ach leis an bpatrún tráchta réamhshocraithe. Féadfaidh tú ré tástála gearr, meánach nó gan teorainn a roghnú.
  • is féidir leat ceachtar den dá luach a roghnú do pharaiméadar Mhód Chomhéadain Chumraíochta TG2:
    • JTAG: Ligeann sé GUI a úsáid sa chonsól córais. Le haghaidh tuilleadh faisnéise, féach ar Chomhéadan Cumraíochta Gineadóir Tráchta sa Chomhéadain Cuimhne Seachtracha Treoir Úsáideora Intel Agilex FPGA IP.
    • Easpórtáil: Ligeann sé úsáid a bhaint as loighic RTL saincheaptha chun an patrún tráchta a rialú.

Ag baint úsáide as an Design Example Foireann Uirlisí Dífhabhtaithe EMIF

Sula seolann tú Foireann Uirlisí Dífhabhtaithe EMIF, cinntigh go bhfuil do ghléas cumraithe le ríomhchlárú file go bhfuil an Uirlisí Dífhabhtaithe EMIF cumasaithe. Chun Foireann Uirlisí Dífhabhtaithe EMIF a sheoladh, lean na céimeanna seo:

  1. Sna bogearraí Intel Quartus Prime, oscail Consól an Chórais trí Uirlisí ➤ Uirlisí Dífhabhtaithe an Chórais ➤ Consól an Chórais a roghnú.
  2. [Scipeáil an chéim seo má tá do thionscadal ar oscailt cheana féin i mbogearraí Intel Quartus Prime.] Sa Chonsól Córais, lódáil an réad SRAM file (.sof) lena ndearna tú an clár a ríomhchlárú (mar a thuairiscítear i Réamhriachtanais chun an Fhoireann Dífhabhtaithe EMIF a Úsáid, sa Treoir Úsáideora IP Intel Agilex FPGA IP).
  3. Roghnaigh cásanna le dífhabhtú.
  4. Roghnaigh Uirlisí Dífhabhtaithe Calabrú EMIF le haghaidh dífhabhtaithe calabrúcháin EMIF, mar a thuairiscítear in Generating a Design Example leis an Rogha Dífhabhtaithe Calabrúcháin. Nó, roghnaigh Foireann Uirlisí Cumraíochta EMIF TG le haghaidh dífhabhtaithe gineadóra tráchta, mar a thuairiscítear in Generating a Design Example Rogha Cumraíochta TG.
  5. Cliceáil Open Toolkit chun an príomhlíonra a oscailt view de Fhoireann Uirlisí Dífhabhtaithe EMIF.UG-20219-Seachtrach-Cuimhne-Comhéadain-Intel-Agilex-FPGA-IP-Design-Example-fig-17UG-20219-Seachtrach-Cuimhne-Comhéadain-Intel-Agilex-FPGA-IP-Design-Example-fig-18
  6. Má tá go leor cásanna EMIF sa dearadh ríomhchláraithe, roghnaigh an colún (conair go JTAG máistir) agus ID comhéadan cuimhne an ásc EMIF chun an fhoireann uirlisí a ghníomhachtú.UG-20219-Seachtrach-Cuimhne-Comhéadain-Intel-Agilex-FPGA-IP-Design-Example-fig-19
  7. Cliceáil Gníomhachtaigh Comhéadan chun ligean don fhoireann uirlisí paraiméadair an chomhéadain agus stádas calabraithe a léamh.UG-20219-Seachtrach-Cuimhne-Comhéadain-Intel-Agilex-FPGA-IP-Design-Example-fig-20
  8. Ní mór duit comhéadan amháin a dhífhabhtú ag an am; mar sin, chun nascadh le comhéadan eile sa dearadh, ní mór duit an comhéadan reatha a dhíghníomhachtú ar dtús.

Seo a leanas exampníos lú tuarascálacha ó Fhoireann Uirlisí Dífhabhtaithe Calabrúcháin EMIF agus ó Fhoireann Uirlisí Cumraíochta TG EMIF:, faoi seach.UG-20219-Seachtrach-Cuimhne-Comhéadain-Intel-Agilex-FPGA-IP-Design-Example-fig-22UG-20219-Seachtrach-Cuimhne-Comhéadain-Intel-Agilex-FPGA-IP-Design-Example-fig-23

Nóta: Le haghaidh sonraí ar dhífhabhtú calabrúcháin, féach ar Dífhabhtaithe leis an bhFoireann Dífhabhtaithe Chomhéadain Cuimhne Sheachtraigh, sa Treoir Úsáideora IP Intel Agilex FPGA IP Comhéadain Cuimhne Sheachtracha.

Nóta: Le haghaidh sonraí ar dhífhabhtú gineadóirí tráchta, féach ar Chomhéadan Úsáideora Cumraíochta Gineadóir Tráchta, sa Treoir Úsáideora IP Intel Agilex FPGA IP Comhéadain Cuimhne Seachtracha.

Dearadh Example Cur síos le haghaidh Comhéadain Cuimhne Sheachtracha Intel Agilex FPGA IP

Nuair a dhéanann tú parameterize agus do EMIF IP a ghiniúint, is féidir leat a shonrú go gcruthaíonn an córas eolairí le haghaidh insamhalta agus sintéise file leagann, agus gineann an file leagann sé go huathoibríoch. Má roghnaíonn tú Insamhladh nó Sintéis faoi Example Dearadh Files ar an Example Designs tab, cruthaíonn an córas insamhalta iomlán file tacar nó sintéis iomlán file leagtha, de réir do rogha.

Dearadh Sintéise Example
An dearadh sintéise example tá na mórbhloic a thaispeántar san fhíor thíos.

  • Gineadóir tráchta, ar Avalon®-MM ex sintéiseithe éample tiománaí a chuireann patrún bréige randamach i bhfeidhm a léann agus a scríobhann chuig líon paraiméadar seoltaí. Déanann an gineadóir tráchta monatóireacht freisin ar na sonraí a léitear ón gcuimhne lena chinntiú go dtagann siad leis na sonraí scríofa agus go ndearbhaíonn sé teip ar shlí eile.
  • Sampla den chomhéadan cuimhne, lena n-áirítear:
    • Rialaitheoir cuimhne a mhaolaíonn idir comhéadan Avalon-MM agus comhéadan AFI.
    • An PHY, a fheidhmíonn mar chomhéadan idir an rialtóir cuimhne agus feistí cuimhne seachtracha chun oibríochtaí léamh agus scríobh a dhéanamh.

Fíor 7. Dearadh Sintéise ExampleUG-20219-Seachtrach-Cuimhne-Comhéadain-Intel-Agilex-FPGA-IP-Design-Example-fig-24

Nóta: Má shocraítear ceann amháin nó níos mó de na paraiméadair Mód Comhroinnte PLL, Mód Comhroinnte DLL, nó Mód Comhroinnte OCT ar aon luach seachas Gan Roinnt, beidh an dearadh sintéise exampBeidh dhá chás gineadóra tráchta/comhéadain cuimhne san áireamh. Ní bhaineann an dá chás gineadóra tráchta/comhéadain cuimhne ach le naisc PLL/DLL/OCT roinnte mar atá sainmhínithe ag socruithe na bparaiméadar. Léiríonn na cásanna gineadóra tráchta/comhéadain cuimhne conas is féidir leat naisc den sórt sin a dhéanamh i do dhearaí féin.

Dearadh Insamhladh Example
An dearadh insamhalta example tá na mórbhloic a thaispeántar san fhíor seo a leanas.

  • Sampla den dearadh sintéise example. Mar a thuairiscítear san alt roimhe seo, tá an dearadh sintéise exampLe tá gineadóir tráchta, comhpháirt calabraithe, agus sampla den chomhéadan cuimhne. Réamhshocrú na bloic seo samhlacha ionsamhlúcháin teibí nuair is cuí le haghaidh insamhalta tapa.
  • Múnla cuimhne, a fheidhmíonn mar mhúnla cineálach a chloíonn le sonraíochtaí an phrótacail chuimhne. Go minic, cuireann díoltóirí cuimhne samhlacha insamhalta ar fáil dá gcomhpháirteanna cuimhne ar leith ar féidir leat a íoslódáil óna gcuid webláithreáin.
  • Seiceálaí stádais, a dhéanann monatóireacht ar na comharthaí stádais ón gcomhéadan cuimhne seachtrach IP agus an gineadóir tráchta, chun riocht pas iomlán nó teip a chur in iúl.

Fíor 10. Dearadh Insamhladh ExampleUG-20219-Seachtrach-Cuimhne-Comhéadain-Intel-Agilex-FPGA-IP-Design-Example-fig-25

Example Designs Interface Tab
Áirítear leis an eagarthóir paraiméadar Example Designs tab a ligeann duit do dhearadh a pharaiméadarú agus a ghiniúint examples.

Comhéadain Cuimhne Sheachtracha Intel Agilex FPGA IP Design Example Cartlanna Treoir Úsáideora

Tá na leaganacha IP mar an gcéanna le leaganacha bogearraí Intel Quartus Prime Design Suite suas go dtí v19.1. Ó leagan bogearraí Intel Quartus Prime Design Suite 19.2 nó níos déanaí, tá scéim leagan IP nua ag IPanna. Mura bhfuil croíleagan IP liostaithe, beidh feidhm ag an treoir úsáideora don chroíleagan IP roimhe seo.

IP Core Leagan Treoir Úsáideora
2.4.0 Comhéadain Cuimhne Sheachtracha Intel Agilex FPGA IP Design Example Cartlanna Treoir Úsáideora
2.3.0 Comhéadain Cuimhne Sheachtracha Intel Agilex FPGA IP Design Example Cartlanna Treoir Úsáideora
2.3.0 Comhéadain Cuimhne Sheachtracha Intel Agilex FPGA IP Design Example Cartlanna Treoir Úsáideora
2.1.0 Comhéadain Cuimhne Sheachtracha Intel Agilex FPGA IP Design Example Cartlanna Treoir Úsáideora
19.3 Comhéadain Cuimhne Sheachtracha Intel Agilex FPGA IP Design Example Cartlanna Treoir Úsáideora

Stair Athbhreithnithe Doiciméid le haghaidh Comhéadain Cuimhne Sheachtracha Intel Agilex FPGA IP Design Example Treoir Úsáideora

Leagan Doiciméid Intel Quartus Príomh-leagan Leagan IP Athruithe
2021.06.21 21.2 2.4.2 Sna Dearadh Example Tús Tapa caibidil:

• Cuireadh nóta leis an Tiomsú agus Ríomhchlárú Intel Agilex EMIF Design Example topaic.

• Athraíodh teideal an Dearadh Example leis an Rogha Dífhabhtaithe Calabrúcháin topaic.

• Chuir an Dearadh Example Rogha Cumraíochta TG agus Gineadóir Tráchta a Chumasú i nDearadh Example topaicí.

• Mionathraíodh céimeanna 2, 3, agus 4, nuashonraíodh roinnt figiúirí, agus cuireadh nóta leis, sa Ag baint úsáide as an Design Example Foireann Uirlisí Dífhabhtaithe EMIF topaic.

2021.03.29 21.1 2.4.0 Sna Dearadh Example Tús Tapa caibidil:

• Cuireadh nóta leis an Ag giniúint an Sintéisithe EMIF Design Example agus Ag giniúint an EMIF Design Example haghaidh Insamhladh topaicí.

• Nuashonraíodh an File Léaráid struchtúr sa Ag giniúint an EMIF Design Example haghaidh Insamhladh topaic.

2020.12.14 20.4 2.3.0 Sna Dearadh Example Tús Tapa chaibidil, rinne na hathruithe seo a leanas:

• Nuashonraíodh an Ag giniúint an Sintéisithe EMIF Design Example ábhar lena n-áirítear dearaí il-EMIF.

• Nuashonraíodh an figiúr do chéim 3, sa Ag giniúint an EMIF Design Example haghaidh Insamhladh topaic.

2020.10.05 20.3 2.3.0 Sna Dearadh Example Treoir Tosaigh Tapa chaibidil, rinne na hathruithe seo a leanas:

• I Tionscadal EMIF a Chruthú, nuashonraigh an íomhá i gcéim 6.

• I Ag giniúint an Sintéisithe EMIF Design Example, nuashonraigh an figiúr i gcéim 3.

• I Ag giniúint an EMIF Design Example haghaidh Insamhladh, nuashonraigh an figiúr i gcéim 3.

• I Insamhladh in aghaidh Cur i bhFeidhm Crua-earraí, ceartaigh sé mionchló sa dara tábla.

• I Ag baint úsáide as an Design Example Foireann Uirlisí Dífhabhtaithe EMIF, céim leasaithe 6, cuireadh céimeanna 7 agus 8 leis.

ar lean…
Leagan Doiciméid Intel Quartus Príomh-leagan Leagan IP Athruithe
2020.04.13 20.1 2.1.0 • Sa Maidir chaibidil, modhnaithe an tábla sa

Eolas Eisiúint topaic.

• Sa Dearadh Example Treoir Tosaigh Tapa

caibidil:

— Céim 7 modhnaithe agus an íomhá ghaolmhar, sa Ag giniúint an Sintéisithe EMIF Design Example topaic.

— Athraíodh an Ag Giniúint an Dearaidh Exampleis an Rogha Dífhabhtaithe topaic.

— Athraíodh an Ag baint úsáide as an Design Example Foireann Uirlisí Dífhabhtaithe EMIF topaic.

2019.12.16 19.4 2.0.0 • Sa Dearadh Example Tús Tapa caibidil:

— Nuashonraíodh an léaráid i gcéim 6 den

Tionscadal EMIF a Chruthú topaic.

— Nuashonraíodh an léaráid i gcéim 4 den Ag giniúint an Sintéisithe EMIF Design Example topaic.

— Nuashonraíodh an léaráid i gcéim 4 den Ag giniúint an EMIF Design Example haghaidh Insamhladh topaic.

— Céim 5 modhnaithe sa Ag giniúint an EMIF Design Example haghaidh Insamhladh topaic.

— Athraíodh an Treoirlínte Ginearálta Bioráin agus Bainc In aice láimhe codanna den Socrúchán PIN do Intel Agilex EMIF IP topaic.

2019.10.18 19.3   • Sa Tionscadal EMIF a Chruthú topaic, nuashonraigh an íomhá le pointe 6.

• Sa IP EMIF a Ghiniúint agus a Chumrú

topaic, nuashonraigh an figiúr le céim 1.

• Sa tábla sa Treoirlínte d’Eagarthóir Paraiméadar Intel Agilex EMIF topaic, d'athraigh an cur síos don Bord cluaisín.

• Sa Ag giniúint an Sintéisithe EMIF Design Example agus Ag giniúint an EMIF Design Example haghaidh Insamhladh topaicí, nuashonraigh an íomhá i gcéim 3 de gach topaic.

• Sa Ag giniúint an EMIF Design Example haghaidh Insamhladh topaic, nuashonraithe an Dearadh Insamhladh Ginte Example File Struchtúr figiúr agus modhnaigh sé an nóta tar éis an fhíor.

• Sa Ag giniúint an Sintéisithe EMIF Design Example topaic, cuireadh céim agus figiúr le haghaidh comhéadain iolracha.

2019.07.31 19.2 1.2.0 • Curtha leis Maidir leis na Comhéadain Cuimhne Sheachtracha Intel Agilex FPGA IP caibidil agus Eisiúint Faisnéise.

• Dátaí nuashonraithe agus uimhreacha leaganacha.

• Mionfheabhsú ar an Dearadh Sintéise Example figiúr sa Dearadh Sintéise Example topaic.

2019.04.02 19.1   • Eisiúint tosaigh.

Stair Athbhreithnithe Doiciméid le haghaidh Comhéadain Cuimhne Sheachtracha Intel Agilex FPGA IP Design Example Treoir Úsáideora

Doiciméid / Acmhainní

intel UG-20219 Comhéadain Cuimhne Sheachtracha Intel Agilex FPGA IP Design Example [pdfTreoir Úsáideora
UG-20219 Comhéadain Cuimhne Sheachtracha Intel Agilex FPGA IP Design Example, UG-20219, Comhéadain Cuimhne Sheachtracha Intel Agilex FPGA IP Design Example, Comhéadain Intel Agilex FPGA IP Design Example, Agilex FPGA IP Design Example

Tagairtí

Fág trácht

Ní fhoilseofar do sheoladh ríomhphoist. Tá réimsí riachtanacha marcáilte *