intel 50G ഇഥർനെറ്റ് ഡിസൈൻ എക്സ്ample
50GbE ദ്രുത ആരംഭ ഗൈഡ്
50GbE IP കോർ ഒരു സിമുലേഷൻ ടെസ്റ്റ്ബെഞ്ചും ഒരു ഹാർഡ്വെയർ ഡിസൈനും നൽകുന്നുampകംപൈലേഷനും ഹാർഡ്വെയർ ടെസ്റ്റിംഗും പിന്തുണയ്ക്കുന്ന le. നിങ്ങൾ ഡിസൈൻ സൃഷ്ടിക്കുമ്പോൾ മുൻample, പാരാമീറ്റർ എഡിറ്റർ യാന്ത്രികമായി സൃഷ്ടിക്കുന്നു fileഹാർഡ്വെയറിൽ ഡിസൈൻ അനുകരിക്കാനും കംപൈൽ ചെയ്യാനും പരിശോധിക്കാനും ആവശ്യമാണ്. നിങ്ങൾക്ക് കംപൈൽ ചെയ്ത ഹാർഡ്വെയർ ഡിസൈൻ ഒരു Arria 10 GT ഉപകരണത്തിലേക്ക് ഡൗൺലോഡ് ചെയ്യാം.
കുറിപ്പ്: ഈ ഡിസൈൻ മുൻample Arria 10 GT ഉപകരണത്തെ ടാർഗെറ്റുചെയ്യുന്നു, ഇതിന് 25G റീടൈമർ ആവശ്യമാണ്. ഈ ഹാർഡ്വെയർ മുൻ പ്രവർത്തിപ്പിക്കാൻ അനുയോജ്യമായ ഒരു പ്ലാറ്റ്ഫോമിനെക്കുറിച്ച് അന്വേഷിക്കാൻ ദയവായി നിങ്ങളുടെ Intel FPGA പ്രതിനിധിയെ ബന്ധപ്പെടുകample. ചില സന്ദർഭങ്ങളിൽ ഉചിതമായ ഹാർഡ്വെയറിന്റെ വായ്പ ലഭ്യമായേക്കാം. കൂടാതെ, ഇന്റൽ ഒരു സമാഹാരം-മാത്രം മുൻ നൽകുന്നുampഐപി കോർ ഏരിയയും സമയവും വേഗത്തിൽ കണക്കാക്കാൻ നിങ്ങൾക്ക് ഉപയോഗിക്കാവുന്ന le പ്രോജക്റ്റ്.
ചിത്രം 1. ഡിസൈൻ എക്സിample ഉപയോഗം
ഇന്റൽ കോർപ്പറേഷൻ. എല്ലാ അവകാശങ്ങളും നിക്ഷിപ്തം. ഇന്റൽ, ഇന്റൽ ലോഗോ, മറ്റ് ഇന്റൽ മാർക്കുകൾ എന്നിവ ഇന്റൽ കോർപ്പറേഷന്റെയോ അതിന്റെ അനുബന്ധ സ്ഥാപനങ്ങളുടെയോ വ്യാപാരമുദ്രകളാണ്. ഇന്റലിന്റെ സ്റ്റാൻഡേർഡ് വാറന്റിക്ക് അനുസൃതമായി അതിന്റെ FPGA, അർദ്ധചാലക ഉൽപ്പന്നങ്ങളുടെ പ്രകടനം നിലവിലെ സ്പെസിഫിക്കേഷനുകളിലേക്ക് Intel വാറന്റ് ചെയ്യുന്നു, എന്നാൽ അറിയിപ്പ് കൂടാതെ ഏത് സമയത്തും ഏത് ഉൽപ്പന്നങ്ങളിലും സേവനങ്ങളിലും മാറ്റങ്ങൾ വരുത്താനുള്ള അവകാശം നിക്ഷിപ്തമാണ്. Intel രേഖാമൂലം സമ്മതിച്ചിട്ടുള്ളതല്ലാതെ ഇവിടെ വിവരിച്ചിരിക്കുന്ന ഏതെങ്കിലും വിവരങ്ങളുടെയോ ഉൽപ്പന്നത്തിന്റെയോ സേവനത്തിന്റെയോ ആപ്ലിക്കേഷനിൽ നിന്നോ ഉപയോഗത്തിൽ നിന്നോ ഉണ്ടാകുന്ന ഉത്തരവാദിത്തമോ ബാധ്യതയോ Intel ഏറ്റെടുക്കുന്നില്ല. പ്രസിദ്ധീകരിച്ച ഏതെങ്കിലും വിവരങ്ങളെ ആശ്രയിക്കുന്നതിന് മുമ്പും ഉൽപ്പന്നങ്ങൾക്കോ സേവനങ്ങൾക്കോ ഓർഡറുകൾ നൽകുന്നതിനുമുമ്പ് ഉപകരണ സവിശേഷതകളുടെ ഏറ്റവും പുതിയ പതിപ്പ് നേടുന്നതിന് ഇന്റൽ ഉപഭോക്താക്കൾക്ക് നിർദ്ദേശിക്കുന്നു. *മറ്റ് പേരുകളും ബ്രാൻഡുകളും മറ്റുള്ളവരുടെ സ്വത്തായി അവകാശപ്പെടാം.
ഡിസൈൻ എക്സിample ഡയറക്ടറി ഘടന
ചിത്രം 2. 50GbE ഡിസൈൻ Example ഡയറക്ടറി ഘടന
ഹാർഡ്വെയർ കോൺഫിഗറേഷനും പരിശോധനയും files (ഹാർഡ്വെയർ ഡിസൈൻ ഉദാample) സ്ഥിതി ചെയ്യുന്നത്ample_dir>/hardware_test_design. സിമുലേഷൻ files (സിമുലേഷനുള്ള ടെസ്റ്റ്ബെഞ്ച്) സ്ഥിതി ചെയ്യുന്നത്ample_dir>/ ഉദാample_testbench. സമാഹാരം മാത്രമുള്ള ഡിസൈൻ മുൻample സ്ഥിതി ചെയ്യുന്നത്ample_dir>/compilation_test_design.
സിമുലേഷൻ ഡിസൈൻ എക്സിampലെ ഘടകങ്ങൾ
ചിത്രം 3. 50GbE സിമുലേഷൻ ഡിസൈൻ എക്സ്ampലെ ബ്ലോക്ക് ഡയഗ്രം
സിമുലേഷൻ എക്സിampലെ ഡിസൈൻ ടോപ്പ് ലെവൽ ടെസ്റ്റ് file അടിസ്ഥാന_avl_tb_top.sv ഇതാണ് file ഒരു ATX PLL തൽക്ഷണം ചെയ്യുകയും ബന്ധിപ്പിക്കുകയും ചെയ്യുന്നു. 50 പാക്കറ്റുകൾ അയയ്ക്കാനും സ്വീകരിക്കാനും, send_packets_10g_avl എന്ന ടാസ്ക് ഇതിൽ ഉൾപ്പെടുന്നു.
പട്ടിക 1. 50GbE IP കോർ ടെസ്റ്റ്ബെഞ്ച് File വിവരണങ്ങൾ
File പേര് | വിവരണം |
ടെസ്റ്റ് ബെഞ്ചും സിമുലേഷനും Files | |
അടിസ്ഥാന_avl_tb_top.sv | ഉയർന്ന തലത്തിലുള്ള ടെസ്റ്റ് ബെഞ്ച് file. ടെസ്റ്റ്ബെഞ്ച് DUT-നെ ഇൻസ്റ്റൻഷ്യേറ്റ് ചെയ്യുകയും പാക്കറ്റുകൾ സൃഷ്ടിക്കുന്നതിനും സ്വീകരിക്കുന്നതിനും വെരിലോഗ് HDL ടാസ്ക്കുകൾ പ്രവർത്തിപ്പിക്കുകയും ചെയ്യുന്നു. |
ടെസ്റ്റ്ബെഞ്ച് സ്ക്രിപ്റ്റുകൾ | |
run_vsim.do | ടെസ്റ്റ്ബെഞ്ച് പ്രവർത്തിപ്പിക്കുന്നതിനുള്ള മോഡൽസിം സ്ക്രിപ്റ്റ്. |
run_vcs.sh | ടെസ്റ്റ്ബെഞ്ച് പ്രവർത്തിപ്പിക്കുന്നതിനുള്ള സിനോപ്സിസ് VCS സ്ക്രിപ്റ്റ്. |
run_ncsim.sh | ടെസ്റ്റ്ബെഞ്ച് പ്രവർത്തിപ്പിക്കുന്നതിനുള്ള Cadence NCSim സ്ക്രിപ്റ്റ്. |
run_xcelium.sh | ടെസ്റ്റ്ബെഞ്ച് പ്രവർത്തിപ്പിക്കുന്നതിനുള്ള Cadence Xcelium* സ്ക്രിപ്റ്റ്. |
rdware Design Exampലെ ഘടകങ്ങൾ
ചിത്രം 4. 50GbE ഹാർഡ്വെയർ ഡിസൈൻ എക്സ്ampലെ ഹൈ ലെവൽ ബ്ലോക്ക് ഡയഗ്രം
50GbE ഹാർഡ്വെയർ ഡിസൈൻ മുൻample ഇനിപ്പറയുന്ന ഘടകങ്ങൾ ഉൾക്കൊള്ളുന്നു
- 50GbE IP കോർ.
- IP കോർ, പാക്കറ്റ് ജനറേഷൻ എന്നിവയുടെ പ്രോഗ്രാമിംഗിനെ ഏകോപിപ്പിക്കുന്ന ക്ലയന്റ് ലോജിക്.
- ഉപകരണ ട്രാൻസ്സിവർ ചാനലുകൾ പ്രവർത്തിപ്പിക്കുന്നതിന് ATX PLL.
- 100 മെഗാഹെർട്സ് ഇൻപുട്ട് ക്ലോക്കിൽ നിന്ന് ഹാർഡ്വെയർ ഡിസൈനിലേക്ക് 50 മെഗാഹെർട്സ് ക്ലോക്ക് സൃഷ്ടിക്കാൻ ഐഒപിഎൽഎൽample.
- JTAG സിസ്റ്റം കൺസോളുമായി ആശയവിനിമയം നടത്തുന്ന കൺട്രോളർ. സിസ്റ്റം കൺസോൾ വഴി നിങ്ങൾ ക്ലയന്റ് ലോജിക്കുമായി ആശയവിനിമയം നടത്തുന്നു.
പട്ടിക 2. 50GbE IP കോർ ഹാർഡ്വെയർ ഡിസൈൻ എക്സ്ample File വിവരണങ്ങൾ
File പേരുകൾ | വിവരണം |
eth_ex_50g.qpf | ക്വാർട്ടസ് പ്രൈം പദ്ധതി file |
eth_ex_50g.qsf | ക്വാർട്ടസ് പ്രോജക്റ്റ് ക്രമീകരണങ്ങൾ file |
eth_ex_50g.sdc | സിനോപ്സിസ് ഡിസൈൻ നിയന്ത്രണങ്ങൾ file. നിങ്ങൾക്ക് ഇത് പകർത്താനും പരിഷ്ക്കരിക്കാനും കഴിയും file നിങ്ങളുടെ സ്വന്തം 50GbE ഡിസൈനിനായി. |
തുടർന്നു… |
50GbE ദ്രുത ആരംഭ ഗൈഡ്
File പേരുകൾ | വിവരണം |
eth_ex_50g.v | ടോപ്പ് ലെവൽ വെരിലോഗ് എച്ച്ഡിഎൽ ഡിസൈൻ എക്സിample file |
സാധാരണ/ | ഹാർഡ്വെയർ ഡിസൈൻ മുൻampലെ പിന്തുണ files |
hwtest/main.tcl | പ്രധാന file സിസ്റ്റം കൺസോൾ ആക്സസ് ചെയ്യുന്നതിന് |
ഡിസൈൻ എക്സി ജനറേറ്റുചെയ്യുന്നുample
ചിത്രം 5. നടപടിക്രമം
ചിത്രം 6. Examp50GbE പാരാമീറ്റർ എഡിറ്ററിൽ ഡിസൈൻ ടാബ്
ഹാർഡ്വെയർ ഡിസൈൻ സൃഷ്ടിക്കാൻ ഈ ഘട്ടങ്ങൾ പാലിക്കുകampലെയും ടെസ്റ്റ്ബെഞ്ചും
- നിങ്ങൾ Intel Quartus® Prime Pro എഡിഷൻ സോഫ്റ്റ്വെയറോ ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ സോഫ്റ്റ്വെയറാണോ ഉപയോഗിക്കുന്നത് എന്നതിനെ ആശ്രയിച്ച്, ഇനിപ്പറയുന്ന പ്രവർത്തനങ്ങളിൽ ഒന്ന് ചെയ്യുക: Intel Quartus Prime Pro എഡിഷനിൽ, ക്ലിക്ക് ചെയ്യുക File ➤ ഒരു പുതിയ ക്വാർട്ടസ് പ്രൈം പ്രോജക്റ്റ് സൃഷ്ടിക്കാൻ പുതിയ പ്രോജക്റ്റ് വിസാർഡ്, അല്ലെങ്കിൽ File ➤ നിലവിലുള്ള ക്വാർട്ടസ് പ്രൈം പ്രോജക്റ്റ് തുറക്കാൻ പ്രോജക്റ്റ് തുറക്കുക. ഒരു ഉപകരണം വ്യക്തമാക്കാൻ വിസാർഡ് നിങ്ങളോട് ആവശ്യപ്പെടുന്നു. Intel Quartus Prime Standard Edition സോഫ്റ്റ്വെയറിൽ, IP കാറ്റലോഗിൽ (ടൂൾസ് IP കാറ്റലോഗ്), Arria 10 ടാർഗെറ്റ് ഉപകരണ കുടുംബം തിരഞ്ഞെടുക്കുക.
- IP കാറ്റലോഗിൽ, 50G ഇഥർനെറ്റ് കണ്ടെത്തി തിരഞ്ഞെടുക്കുക. പുതിയ ഐപി വേരിയേഷൻ വിൻഡോ ദൃശ്യമാകുന്നു.
- നിങ്ങളുടെ ഐപി വേരിയേഷനായി ഒരു ടോപ്പ് ലെവൽ പേര് വ്യക്തമാക്കി ശരി ക്ലിക്കുചെയ്യുക. പരാമീറ്റർ എഡിറ്റർ ടോപ്പ്-ലെവൽ .qsys (ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷനിൽ) അല്ലെങ്കിൽ .ip (ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോ എഡിഷനിൽ) ചേർക്കുന്നു. file നിലവിലെ പ്രോജക്റ്റിലേക്ക് സ്വയമേവ. .qsys അല്ലെങ്കിൽ .ip സ്വമേധയാ ചേർക്കാൻ നിങ്ങളോട് ആവശ്യപ്പെടുകയാണെങ്കിൽ file പ്രോജക്റ്റിലേക്ക്, Project ➤ Add/Remove ക്ലിക്ക് ചെയ്യുക Fileചേർക്കുന്നതിനുള്ള പ്രോജക്റ്റിൽ എസ് file.
- ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സ്റ്റാൻഡേർഡ് എഡിഷൻ സോഫ്റ്റ്വെയറിൽ, നിങ്ങൾ ഉപകരണ ഫീൽഡിൽ ഒരു നിർദ്ദിഷ്ട Arria 10 ഉപകരണം തിരഞ്ഞെടുക്കണം, അല്ലെങ്കിൽ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്വെയർ നിർദ്ദേശിക്കുന്ന ഡിഫോൾട്ട് ഉപകരണം സൂക്ഷിക്കണം.
കുറിപ്പ്: ഹാർഡ്വെയർ ഡിസൈൻ മുൻampടാർഗെറ്റ് ബോർഡിലെ ഉപകരണം ഉപയോഗിച്ച് le തിരഞ്ഞെടുക്കൽ പുനരാലേഖനം ചെയ്യുന്നു. മുൻ ഡിസൈൻ മെനുവിൽ നിന്ന് നിങ്ങൾ ടാർഗെറ്റ് ബോർഡ് വ്യക്തമാക്കുകampEx ലെ ഓപ്ഷനുകൾampലെ ഡിസൈൻ ടാബ് (ഘട്ടം 8). - ശരി ക്ലിക്ക് ചെയ്യുക. പാരാമീറ്റർ എഡിറ്റർ ദൃശ്യമാകുന്നു.
- IP ടാബിൽ, നിങ്ങളുടെ IP കോർ വ്യതിയാനത്തിനായുള്ള പരാമീറ്ററുകൾ വ്യക്തമാക്കുക.
- എക്സിയിൽampലെ ഡിസൈൻ ടാബ്, ഉദാampലെ ഡിസൈൻ Files, ടെസ്റ്റ്ബെഞ്ച് ജനറേറ്റ് ചെയ്യുന്നതിനുള്ള സിമുലേഷൻ ഓപ്ഷൻ തിരഞ്ഞെടുക്കുക, ഹാർഡ്വെയർ ഡിസൈൻ എക്സൈസ് സൃഷ്ടിക്കാൻ സിന്തസിസ് ഓപ്ഷൻ തിരഞ്ഞെടുക്കുകample. വെരിലോഗ് എച്ച്ഡിഎൽ മാത്രം fileകൾ സൃഷ്ടിക്കപ്പെടുന്നു.
കുറിപ്പ്: പ്രവർത്തനക്ഷമമായ VHDL IP കോർ ലഭ്യമല്ല. നിങ്ങളുടെ ഐപി കോർ ഡിസൈനിനായി വെരിലോഗ് എച്ച്ഡിഎൽ മാത്രം വ്യക്തമാക്കുകample. - ഹാർഡ്വെയർ ബോർഡിനായി Arria 10 GX ട്രാൻസ്സിവർ സിഗ്നൽ ഇന്റഗ്രിറ്റി ഡെവലപ്മെന്റ് കിറ്റ് തിരഞ്ഞെടുക്കുക.
കുറിപ്പ്: ഈ ഹാർഡ്വെയർ മുൻ പ്രവർത്തിപ്പിക്കാൻ അനുയോജ്യമായ ഒരു പ്ലാറ്റ്ഫോമിനെക്കുറിച്ചുള്ള വിവരങ്ങൾക്ക് നിങ്ങളുടെ Intel FPGA പ്രതിനിധിയെ ബന്ധപ്പെടുകample. - സൃഷ്ടിക്കുക Ex ക്ലിക്ക് ചെയ്യുകample ഡിസൈൻ ബട്ടൺ. സെലക്ട് എക്സിample ഡിസൈൻ ഡയറക്ടറി വിൻഡോ ദൃശ്യമാകുന്നു.
- നിങ്ങൾക്ക് ഡിസൈൻ പരിഷ്കരിക്കണമെങ്കിൽ മുൻample ഡയറക്ടറി പാത്ത് അല്ലെങ്കിൽ പ്രദർശിപ്പിച്ച സ്ഥിരസ്ഥിതികളിൽ നിന്നുള്ള പേര് (alt_e50_0_example_design), പുതിയ പാതയിലേക്ക് ബ്രൗസ് ചെയ്ത് പുതിയ ഡിസൈൻ ടൈപ്പ് ചെയ്യുകample ഡയറക്ടറിയുടെ പേര് (ample_dir>).
- ശരി ക്ലിക്ക് ചെയ്യുക.
- KDB ഉത്തരം നോക്കുക Arria 10 PLL റഫറൻസ് ക്ലോക്കിന്റെ PLL കാസ്കേഡിംഗിന്റെയോ നോൺ-ഡെഡിക്കേറ്റഡ് ക്ലോക്ക് പാതയുടെയോ ഇളക്കത്തിന് ഞാൻ എങ്ങനെ നഷ്ടപരിഹാരം നൽകും? ഒരു പരിഹാരത്തിനായി നിങ്ങൾ .sdc-യിലെ hardware_test_design ഡയറക്ടറിയിൽ അപേക്ഷിക്കണം file.
കുറിപ്പ്: 50GbE IP കോറിലെ RX പാതയിൽ കാസ്കേഡ് ചെയ്ത PLL-കൾ ഉൾപ്പെടുന്നതിനാൽ നിങ്ങൾ ഈ KDB ഉത്തരം പരിശോധിക്കണം. അതിനാൽ, Arria 10 ഉപകരണങ്ങളിൽ IP കോർ ക്ലോക്കുകൾക്ക് കൂടുതൽ ഇളക്കം അനുഭവപ്പെടാം. ഈ കെഡിബി ഉത്തരം, സോഫ്റ്റ്വെയർ റിലീസുകളിൽ പ്രതിവിധി ആവശ്യമായി വ്യക്തമാക്കുന്നു.
ബന്ധപ്പെട്ട വിവരങ്ങൾ
KDB ഉത്തരം: Arria 10 PLL റഫറൻസ് ക്ലോക്കിനുള്ള PLL കാസ്കേഡിംഗിന്റെയോ നോൺ-ഡെഡിക്കേറ്റഡ് ക്ലോക്ക് പാതയുടെയോ ഇളക്കം ഞാൻ എങ്ങനെ നികത്തും?
50GbE ഡിസൈൻ എക്സിമുലേറ്റിംഗ്ampലെ ടെസ്റ്റ്ബെഞ്ച്
ചിത്രം 7. നടപടിക്രമം
ടെസ്റ്റ് ബെഞ്ച് അനുകരിക്കാൻ ഈ ഘട്ടങ്ങൾ പാലിക്കുക
- ടെസ്റ്റ്ബെഞ്ച് സിമുലേഷൻ ഡയറക്ടറിയിലേക്ക് മാറ്റുകample_dir>/ ഉദാample_testbench.
- നിങ്ങൾക്ക് ഇഷ്ടമുള്ള പിന്തുണയുള്ള സിമുലേറ്ററിനായി സിമുലേഷൻ സ്ക്രിപ്റ്റ് പ്രവർത്തിപ്പിക്കുക. സ്ക്രിപ്റ്റ് കംപൈൽ ചെയ്യുകയും സിമുലേറ്ററിൽ ടെസ്റ്റ്ബെഞ്ച് പ്രവർത്തിപ്പിക്കുകയും ചെയ്യുന്നു. "ടെസ്റ്റ്ബെഞ്ച് അനുകരിക്കുന്നതിനുള്ള ഘട്ടങ്ങൾ" പട്ടിക കാണുക.
- ഫലങ്ങൾ വിശകലനം ചെയ്യുക. വിജയകരമായ ടെസ്റ്റ്ബെഞ്ച് പത്ത് പാക്കറ്റുകൾ അയയ്ക്കുകയും പത്ത് പാക്കറ്റുകൾ സ്വീകരിക്കുകയും "ടെസ്റ്റ്ബെഞ്ച് പൂർത്തിയായി" പ്രദർശിപ്പിക്കുകയും ചെയ്യുന്നു.
പട്ടിക 3. ടെസ്റ്റ്ബെഞ്ച് അനുകരിക്കുന്നതിനുള്ള നടപടികൾ
സിമുലേറ്റർ | നിർദ്ദേശങ്ങൾ |
മോഡൽസിം | കമാൻഡ് ലൈനിൽ, vsim -do run_vsim.do എന്ന് ടൈപ്പ് ചെയ്യുക
ModelSim GUI കൊണ്ടുവരാതെ അനുകരിക്കാൻ നിങ്ങൾ ആഗ്രഹിക്കുന്നുവെങ്കിൽ, vsim -c -do run_vsim.do എന്ന് ടൈപ്പ് ചെയ്യുക കുറിപ്പ്: ModelSim* – Intel FPGA എഡിഷൻ സിമുലേറ്ററിന് ഈ IP കോർ അനുകരിക്കാനുള്ള ശേഷിയില്ല. ModelSim SE പോലെയുള്ള മറ്റൊരു പിന്തുണയുള്ള ModelSim സിമുലേറ്റർ നിങ്ങൾ ഉപയോഗിക്കണം. |
NCSim | കമാൻഡ് ലൈനിൽ, sh run_ncsim.sh എന്ന് ടൈപ്പ് ചെയ്യുക |
വി.സി.എസ് | കമാൻഡ് ലൈനിൽ, sh run_vcs.sh എന്ന് ടൈപ്പ് ചെയ്യുക |
എക്സെലിയം | കമാൻഡ് ലൈനിൽ, sh run_xcelium.sh എന്ന് ടൈപ്പ് ചെയ്യുക |
വിജയകരമായ ടെസ്റ്റ് റൺ ഇനിപ്പറയുന്ന സ്വഭാവം സ്ഥിരീകരിക്കുന്ന ഔട്ട്പുട്ട് പ്രദർശിപ്പിക്കുന്നു
- RX ക്ലോക്ക് തീർക്കുന്നതിനായി കാത്തിരിക്കുന്നു
- PHY സ്റ്റാറ്റസ് അച്ചടിക്കുന്നു
- 10 പാക്കറ്റുകൾ അയയ്ക്കുന്നു
- 10 പാക്കറ്റുകൾ ലഭിക്കുന്നു
- "ടെസ്റ്റ്ബെഞ്ച് പൂർത്തിയായി" പ്രദർശിപ്പിക്കുന്നു.
ഇനിപ്പറയുന്ന എസ്ample ഔട്ട്പുട്ട് ഒരു വിജയകരമായ സിമുലേഷൻ ടെസ്റ്റ് റൺ വ്യക്തമാക്കുന്നു
- #Ref ക്ലോക്ക് 625 മെഗാഹെർട്സിൽ പ്രവർത്തിക്കുന്നു, അതിനാൽ എല്ലാ ക്ലോക്ക് പിരീഡുകളിലും പൂർണ്ണ സംഖ്യകൾ ഉപയോഗിക്കാനാകും.
- യഥാർത്ഥ ക്ലോക്ക് ഫ്രീക്വൻസികൾ ലഭിക്കാൻ #റിപ്പോർട്ട് ചെയ്ത ആവൃത്തികളെ 33/32 കൊണ്ട് ഗുണിക്കുക.
- #RX വിന്യാസത്തിനായി കാത്തിരിക്കുന്നു
- #RX ഡെസ്ക്യൂ ലോക്ക് ചെയ്തു
- #RX ലെയ്ൻ വിന്യാസം പൂട്ടി
- #TX പ്രവർത്തനക്ഷമമാക്കി
- #**പാക്കറ്റ് 1 അയയ്ക്കുന്നു…
- #**പാക്കറ്റ് 2 അയയ്ക്കുന്നു…
- #**പാക്കറ്റ് 3 അയയ്ക്കുന്നു…
- #**പാക്കറ്റ് 4 അയയ്ക്കുന്നു…
- #**പാക്കറ്റ് 5 അയയ്ക്കുന്നു…
- #**പാക്കറ്റ് 6 അയയ്ക്കുന്നു…
- #**പാക്കറ്റ് 7 അയയ്ക്കുന്നു…
- #**പാക്കറ്റ് 1 ലഭിച്ചു…
- #**പാക്കറ്റ് 8 അയയ്ക്കുന്നു…
- #**പാക്കറ്റ് 2 ലഭിച്ചു…
- #**പാക്കറ്റ് 9 അയയ്ക്കുന്നു…
- #**പാക്കറ്റ് 3 ലഭിച്ചു…
- #**പാക്കറ്റ് 10 അയയ്ക്കുന്നു…
- #**പാക്കറ്റ് 4 ലഭിച്ചു…
- #**പാക്കറ്റ് 5 ലഭിച്ചു…
- #**പാക്കറ്റ് 6 ലഭിച്ചു…
- #**പാക്കറ്റ് 7 ലഭിച്ചു…
- #**പാക്കറ്റ് 8 ലഭിച്ചു…
- #**പാക്കറ്റ് 9 ലഭിച്ചു…
- #**പാക്കറ്റ് 10 ലഭിച്ചു…
- #**
- #** ടെസ്റ്റ്ബെഞ്ച് പൂർത്തിയായി.
- #**
- #*******************************************
ഡിസൈൻ കംപൈൽ ചെയ്യുകയും കോൺഫിഗർ ചെയ്യുകയും ചെയ്യുന്നു Exampഹാർഡ്വെയറിൽ le
ഹാർഡ്വെയർ ഡിസൈൻ കംപൈൽ ചെയ്യാൻ മുൻampനിങ്ങളുടെ Arria 10 GT ഉപകരണത്തിൽ ഇത് കോൺഫിഗർ ചെയ്യുക, ഈ ഘട്ടങ്ങൾ പാലിക്കുക
- ഹാർഡ്വെയർ ഡിസൈൻ ഉറപ്പാക്കുക exampലെ ജനറേഷൻ പൂർത്തിയായി.
- ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്വെയറിൽ, ഇന്റൽ ക്വാർട്ടസ് പ്രൈം പ്രോജക്റ്റ് തുറക്കുകample_dir>/hardware_test_design/eth_ex_50g.qpf.
- കംപൈൽ ചെയ്യുന്നതിന് മുമ്പ്, KDB ഉത്തരത്തിൽ നിന്നുള്ള പരിഹാരമാർഗം നിങ്ങൾ നടപ്പിലാക്കിയതായി ഉറപ്പാക്കുക, PLL കാസ്കേഡിംഗിന്റെ അല്ലെങ്കിൽ Arria 10 PLL റഫറൻസ് ക്ലോക്കിനുള്ള നോൺ-ഡെഡിക്കേറ്റഡ് ക്ലോക്ക് പാത്തിന്റെ നടുക്കം ഞാൻ എങ്ങനെ നികത്തും? നിങ്ങളുടെ സോഫ്റ്റ്വെയർ റിലീസിന് പ്രസക്തമാണെങ്കിൽ.
- പ്രോസസ്സിംഗ് മെനുവിൽ, സമാഹാരം ആരംഭിക്കുക ക്ലിക്കുചെയ്യുക.
- നിങ്ങൾ ഒരു SRAM ഒബ്ജക്റ്റ് സൃഷ്ടിച്ചതിന് ശേഷം file .sof, ഹാർഡ്വെയർ ഡിസൈൻ മുൻ പ്രോഗ്രാം ചെയ്യുന്നതിന് ഈ ഘട്ടങ്ങൾ പാലിക്കുകampArria 10 ഉപകരണത്തിൽ le:
- ടൂൾസ് മെനുവിൽ, പ്രോഗ്രാമർ ക്ലിക്ക് ചെയ്യുക.
- പ്രോഗ്രാമറിൽ, ഹാർഡ്വെയർ സെറ്റപ്പ് ക്ലിക്ക് ചെയ്യുക.
- ഒരു പ്രോഗ്രാമിംഗ് ഉപകരണം തിരഞ്ഞെടുക്കുക.
- നിങ്ങളുടെ ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സെഷനിലേക്ക് 10G റിടൈമർ ഉള്ള Arria 25 GT ബോർഡ് തിരഞ്ഞെടുത്ത് ചേർക്കുക.
- മോഡ് J ആയി സജ്ജീകരിച്ചിട്ടുണ്ടെന്ന് ഉറപ്പാക്കുകTAG.
- Arria 10 ഉപകരണം തിരഞ്ഞെടുത്ത് ഉപകരണം ചേർക്കുക ക്ലിക്കുചെയ്യുക. നിങ്ങളുടെ ബോർഡിലെ ഉപകരണങ്ങൾ തമ്മിലുള്ള കണക്ഷനുകളുടെ ഒരു ബ്ലോക്ക് ഡയഗ്രം പ്രോഗ്രാമർ പ്രദർശിപ്പിക്കുന്നു.
- നിങ്ങളുടെ .sof ഉള്ള വരിയിൽ, .sof എന്നതിനായുള്ള ബോക്സ് ചെക്ക് ചെയ്യുക.
- പ്രോഗ്രാം/കോൺഫിഗർ കോളത്തിലെ ബോക്സ് ചെക്കുചെയ്യുക.
- ആരംഭിക്കുക ക്ലിക്ക് ചെയ്യുക
കുറിപ്പ്: ഈ ഡിസൈൻ മുൻampArria 10 GT ഉപകരണത്തെ le ലക്ഷ്യമിടുന്നു. ഈ ഹാർഡ്വെയർ മുൻ പ്രവർത്തിപ്പിക്കാൻ അനുയോജ്യമായ ഒരു പ്ലാറ്റ്ഫോമിനെക്കുറിച്ച് അന്വേഷിക്കാൻ ദയവായി നിങ്ങളുടെ Intel FPGA പ്രതിനിധിയെ ബന്ധപ്പെടുകample
ബന്ധപ്പെട്ട വിവരങ്ങൾ
- KDB ഉത്തരം: Arria 10 PLL റഫറൻസ് ക്ലോക്കിനുള്ള PLL കാസ്കേഡിംഗിന്റെയോ നോൺ-ഡെഡിക്കേറ്റഡ് ക്ലോക്ക് പാതയുടെയോ ഇളക്കം ഞാൻ എങ്ങനെ നികത്തും?
- ഹൈറാർക്കിക്കൽ, ടീം അധിഷ്ഠിത രൂപകൽപ്പനയ്ക്കായുള്ള ഇൻക്രിമെന്റൽ കംപൈലേഷൻ
- പ്രോഗ്രാമിംഗ് ഇന്റൽ FPGA ഉപകരണങ്ങൾ
50GbE ഹാർഡ്വെയർ ഡിസൈൻ പരീക്ഷിക്കുന്നു Example
നിങ്ങൾ 50GbE IP കോർ ഡിസൈൻ കംപൈൽ ചെയ്ത ശേഷംampനിങ്ങളുടെ Arria 10 GT ഉപകരണത്തിൽ കോൺഫിഗർ ചെയ്യുക, IP കോറും അതിന്റെ ഉൾച്ചേർത്ത നേറ്റീവ് PHY IP കോർ രജിസ്റ്ററുകളും പ്രോഗ്രാം ചെയ്യുന്നതിന് നിങ്ങൾക്ക് സിസ്റ്റം കൺസോൾ ഉപയോഗിക്കാം. സിസ്റ്റം കൺസോൾ ഓണാക്കുന്നതിനും ഹാർഡ്വെയർ ഡിസൈൻ പരീക്ഷിക്കുന്നതിനും മുൻampലെ, ഈ ഘട്ടങ്ങൾ പാലിക്കുക:
- ഹാർഡ്വെയർ ഡിസൈനിനു ശേഷം മുൻampArria 10 ഉപകരണത്തിൽ le ക്രമീകരിച്ചിരിക്കുന്നു, Intel Quartus Prime സോഫ്റ്റ്വെയറിൽ, ടൂൾസ് മെനുവിൽ, സിസ്റ്റം ഡീബഗ്ഗിംഗ് ടൂളുകൾ ➤ സിസ്റ്റം കൺസോൾ ക്ലിക്ക് ചെയ്യുക.
- Tcl കൺസോൾ പാളിയിൽ, ഡയറക്ടറി മാറ്റാൻ cd hwtest എന്ന് ടൈപ്പ് ചെയ്യുകample_dir>/hardware_test_design/hwtest.
- J-ലേക്ക് ഒരു കണക്ഷൻ തുറക്കാൻ source main.tcl എന്ന് ടൈപ്പ് ചെയ്യുകTAG മാസ്റ്റർ.
ഇനിപ്പറയുന്ന ഡിസൈൻ ഉപയോഗിച്ച് നിങ്ങൾക്ക് IP കോർ പ്രോഗ്രാം ചെയ്യാംample കമാൻഡുകൾ
- chkphy_status: ക്ലോക്ക് ഫ്രീക്വൻസികളും PHY ലോക്ക് നിലയും പ്രദർശിപ്പിക്കുന്നു.
- start_pkt_gen: പാക്കറ്റ് ജനറേറ്റർ ആരംഭിക്കുന്നു.
- stop_pkt_gen: പാക്കറ്റ് ജനറേറ്റർ നിർത്തുന്നു.
- loop_on: ആന്തരിക സീരിയൽ ലൂപ്പ്ബാക്ക് ഓണാക്കുന്നു
- loop_off: ആന്തരിക സീരിയൽ ലൂപ്പ്ബാക്ക് ഓഫ് ചെയ്യുന്നു.
- reg_read : എന്നതിൽ IP കോർ രജിസ്റ്റർ മൂല്യം നൽകുന്നു .
- reg_write : എഴുതുന്നു വിലാസത്തിലെ IP കോർ രജിസ്റ്ററിലേക്ക് .
ബന്ധപ്പെട്ട വിവരങ്ങൾ
- 50GbE ഡിസൈൻ എക്സിample പേജ് 13 ലെ രജിസ്റ്ററുകൾ ഹാർഡ്വെയർ ഡിസൈനിനായി രജിസ്റ്റർ മാപ്പ് മുൻample.
- സിസ്റ്റം കൺസോൾ ഉപയോഗിച്ച് ഡിസൈനുകൾ വിശകലനം ചെയ്യുകയും ഡീബഗ്ഗിംഗ് ചെയ്യുകയും ചെയ്യുന്നു
ഡിസൈൻ എക്സിample വിവരണം
ഡിസൈൻ മുൻampIEEE 50ba സ്റ്റാൻഡേർഡ് CAUI-802.3 സ്പെസിഫിക്കേഷനുമായി പൊരുത്തപ്പെടുന്ന ട്രാൻസ്സിവർ ഇന്റർഫേസ് ഉപയോഗിച്ച് 4GbE കോറിന്റെ പ്രവർത്തനങ്ങൾ le കാണിക്കുന്നു. എക്സിയിൽ നിന്ന് നിങ്ങൾക്ക് ഡിസൈൻ സൃഷ്ടിക്കാൻ കഴിയുംamp50GbE പാരാമീറ്റർ എഡിറ്ററിൽ ഡിസൈൻ ടാബ്. ഡിസൈൻ സൃഷ്ടിക്കാൻ മുൻample, നിങ്ങളുടെ അന്തിമ ഉൽപ്പന്നത്തിൽ നിങ്ങൾ സൃഷ്ടിക്കാൻ ഉദ്ദേശിക്കുന്ന IP കോർ വേരിയേഷനായി നിങ്ങൾ ആദ്യം പാരാമീറ്റർ മൂല്യങ്ങൾ സജ്ജമാക്കണം. ഡിസൈൻ സൃഷ്ടിക്കുന്നു example IP കോറിന്റെ ഒരു പകർപ്പ് സൃഷ്ടിക്കുന്നു; ടെസ്റ്റ്ബെഞ്ചും ഹാർഡ്വെയർ ഡിസൈനും മുൻampഈ വ്യതിയാനം DUT ആയി ഉപയോഗിക്കുന്നു. നിങ്ങളുടെ അന്തിമ ഉൽപ്പന്നത്തിലെ പാരാമീറ്റർ മൂല്യങ്ങളുമായി പൊരുത്തപ്പെടുന്നതിന് DUT-നായി നിങ്ങൾ പാരാമീറ്റർ മൂല്യങ്ങൾ സജ്ജീകരിച്ചിട്ടില്ലെങ്കിൽ, ഡിസൈൻ മുൻampനിങ്ങൾ ജനറേറ്റ് ചെയ്യുന്നത് നിങ്ങൾ ഉദ്ദേശിക്കുന്ന IP കോർ വ്യത്യാസം പ്രയോഗിക്കുന്നില്ല.
കുറിപ്പ്: ടെസ്റ്റ്ബെഞ്ച് ഐപി കോറിന്റെ അടിസ്ഥാന പരിശോധന കാണിക്കുന്നു. പൂർണ്ണമായ സ്ഥിരീകരണ പരിതസ്ഥിതിക്ക് പകരമാകാൻ ഇത് ഉദ്ദേശിച്ചിട്ടില്ല. സിമുലേഷനിലും ഹാർഡ്വെയറിലും നിങ്ങളുടെ സ്വന്തം 50GbE ഡിസൈനിന്റെ കൂടുതൽ വിപുലമായ പരിശോധന നിങ്ങൾ നടത്തണം.
ബന്ധപ്പെട്ട വിവരങ്ങൾ
Intel Arria® 10 50Gbps ഇഥർനെറ്റ് IP കോർ ഉപയോക്തൃ ഗൈഡ്
ഡിസൈൻ എക്സിampലെ പെരുമാറ്റം
ടെസ്റ്റ്ബെഞ്ച് IP കോറിലൂടെ ട്രാഫിക് അയയ്ക്കുന്നു, IP കോറിന്റെ ട്രാൻസ്മിറ്റ് സൈഡും സ്വീകരിക്കുന്ന വശവും വ്യായാമം ചെയ്യുന്നു. ഹാർഡ്വെയർ ഡിസൈനിൽ മുൻampലെ, നിങ്ങൾക്ക് ഇന്റേണൽ സീരിയൽ ലൂപ്പ്ബാക്ക് മോഡിൽ IP കോർ പ്രോഗ്രാം ചെയ്യാനും സ്വീകരിക്കുന്ന വശത്തിലൂടെ തിരികെ ലൂപ്പ് ചെയ്യുന്ന ട്രാൻസ്മിറ്റ് ഭാഗത്ത് ട്രാഫിക് സൃഷ്ടിക്കാനും കഴിയും.
ഡിസൈൻ എക്സിampലെ ഇന്റർഫേസ് സിഗ്നലുകൾ
50GbE ടെസ്റ്റ്ബെഞ്ച് സ്വയം ഉൾക്കൊള്ളുന്നു, കൂടാതെ ഇൻപുട്ട് സിഗ്നലുകളൊന്നും ഡ്രൈവ് ചെയ്യേണ്ട ആവശ്യമില്ല.
പട്ടിക 4. 50GbE ഹാർഡ്വെയർ ഡിസൈൻ എക്സ്ampലെ ഇന്റർഫേസ് സിഗ്നലുകൾ
സിഗ്നൽ | ദിശ | അഭിപ്രായങ്ങൾ |
clk50 |
ഇൻപുട്ട് |
50 മെഗാഹെർട്സിൽ ഡ്രൈവ് ചെയ്യുക. ബോർഡിലെ 50 മെഗാഹെർട്സ് ഓസിലേറ്ററിൽ നിന്ന് ഇത് ഡ്രൈവ് ചെയ്യുക എന്നതാണ് ഉദ്ദേശം. |
clk_ref | ഇൻപുട്ട് | 644.53125 MHz-ൽ ഡ്രൈവ് ചെയ്യുക. |
cpu_resetn |
ഇൻപുട്ട് |
IP കോർ പുനഃസജ്ജമാക്കുന്നു. സജീവ കുറവാണ്. ആഗോള ഹാർഡ് റീസെറ്റ് csr_reset_n IP കോറിലേക്ക് നയിക്കുന്നു. |
തുടർന്നു… |
ഇന്റൽ കോർപ്പറേഷൻ. എല്ലാ അവകാശങ്ങളും നിക്ഷിപ്തം. ഇന്റൽ, ഇന്റൽ ലോഗോ, മറ്റ് ഇന്റൽ മാർക്കുകൾ എന്നിവ ഇന്റൽ കോർപ്പറേഷന്റെയോ അതിന്റെ അനുബന്ധ സ്ഥാപനങ്ങളുടെയോ വ്യാപാരമുദ്രകളാണ്. ഇന്റലിന്റെ സ്റ്റാൻഡേർഡ് വാറന്റിക്ക് അനുസൃതമായി അതിന്റെ FPGA, അർദ്ധചാലക ഉൽപ്പന്നങ്ങളുടെ പ്രകടനം നിലവിലെ സ്പെസിഫിക്കേഷനുകളിലേക്ക് Intel വാറന്റ് ചെയ്യുന്നു, എന്നാൽ അറിയിപ്പ് കൂടാതെ ഏത് സമയത്തും ഏത് ഉൽപ്പന്നങ്ങളിലും സേവനങ്ങളിലും മാറ്റങ്ങൾ വരുത്താനുള്ള അവകാശം നിക്ഷിപ്തമാണ്. Intel രേഖാമൂലം സമ്മതിച്ചിട്ടുള്ളതല്ലാതെ ഇവിടെ വിവരിച്ചിരിക്കുന്ന ഏതെങ്കിലും വിവരങ്ങളുടെയോ ഉൽപ്പന്നത്തിന്റെയോ സേവനത്തിന്റെയോ ആപ്ലിക്കേഷനിൽ നിന്നോ ഉപയോഗത്തിൽ നിന്നോ ഉണ്ടാകുന്ന ഉത്തരവാദിത്തമോ ബാധ്യതയോ Intel ഏറ്റെടുക്കുന്നില്ല. പ്രസിദ്ധീകരിച്ച ഏതെങ്കിലും വിവരങ്ങളെ ആശ്രയിക്കുന്നതിന് മുമ്പും ഉൽപ്പന്നങ്ങൾക്കോ സേവനങ്ങൾക്കോ ഓർഡറുകൾ നൽകുന്നതിനുമുമ്പ് ഉപകരണ സവിശേഷതകളുടെ ഏറ്റവും പുതിയ പതിപ്പ് നേടുന്നതിന് ഇന്റൽ ഉപഭോക്താക്കൾക്ക് നിർദ്ദേശിക്കുന്നു. *മറ്റ് പേരുകളും ബ്രാൻഡുകളും മറ്റുള്ളവരുടെ സ്വത്തായി അവകാശപ്പെടാം.
സിഗ്നൽ | ദിശ | അഭിപ്രായങ്ങൾ |
tx_serial[1:0] | ഔട്ട്പുട്ട് | ട്രാൻസ്സിവർ PHY ഔട്ട്പുട്ട് സീരിയൽ ഡാറ്റ. |
rx_serial[1:0] | ഇൻപുട്ട് | ട്രാൻസ്സിവർ PHY ഇൻപുട്ട് സീരിയൽ ഡാറ്റ. |
user_led[7:0] |
ഔട്ട്പുട്ട് |
സ്റ്റാറ്റസ് സിഗ്നലുകൾ. ഹാർഡ്വെയർ ഡിസൈൻ മുൻampടാർഗെറ്റ് ബോർഡിൽ LED-കൾ ഓടിക്കാൻ le ഈ ബിറ്റുകളെ ബന്ധിപ്പിക്കുന്നു. വ്യക്തിഗത ബിറ്റുകൾ ഇനിപ്പറയുന്ന സിഗ്നൽ മൂല്യങ്ങളും ക്ലോക്ക് സ്വഭാവവും പ്രതിഫലിപ്പിക്കുന്നു:
• [0]: IP കോറിലേക്കുള്ള പ്രധാന റീസെറ്റ് സിഗ്നൽ • [1]: clk_ref-ന്റെ വിഭജിത പതിപ്പ് • [2]: clk50-ന്റെ വിഭജിത പതിപ്പ് • [3]: 100 MHz സ്റ്റാറ്റസ് ക്ലോക്കിന്റെ വിഭജിച്ച പതിപ്പ് • [4]: tx_lanes_stable • [5]: rx_block_lock • [6]: rx_am_lock • [7]: rx_pcs_ready |
ബന്ധപ്പെട്ട വിവരങ്ങൾ
ഇന്റർഫേസുകളും സിഗ്നൽ വിവരണങ്ങളും 50GbE IP കോർ സിഗ്നലുകളെക്കുറിച്ചും അവ ഉൾപ്പെടുന്ന ഇന്റർഫേസുകളെക്കുറിച്ചും വിശദമായ വിവരണങ്ങൾ നൽകുന്നു.
50GbE ഡിസൈൻ എക്സിampലെ രജിസ്റ്ററുകൾ
പട്ടിക 5. 50GbE ഹാർഡ്വെയർ ഡിസൈൻ എക്സ്ample രജിസ്റ്റർ മാപ്പ്
ഹാർഡ്വെയർ ഡിസൈനിനായി മെമ്മറി മാപ്പ് ചെയ്ത രജിസ്റ്റർ ശ്രേണികൾ ലിസ്റ്റുചെയ്യുന്നുample. സിസ്റ്റം കൺസോളിലെ reg_read, reg_write ഫംഗ്ഷനുകൾ ഉപയോഗിച്ച് നിങ്ങൾ ഈ രജിസ്റ്ററുകൾ ആക്സസ് ചെയ്യുന്നു.
വേഡ് ഓഫ്സെറ്റ് | വിഭാഗം രജിസ്റ്റർ ചെയ്യുക |
0x300–0x5FF | 50GbE IP കോർ രജിസ്റ്ററുകൾ. |
0x4000–0x4C00 | Arria 10 ഡൈനാമിക് റീകോൺഫിഗറേഷൻ രജിസ്റ്ററുകൾ. ലെയ്ൻ 0 ന് 4000x0 ഉം ലെയ്ൻ 0 ന് 4400x1 ഉം ആണ് രജിസ്ട്രേഷൻ അടിസ്ഥാന വിലാസം. |
ബന്ധപ്പെട്ട വിവരങ്ങൾ
- 50GbE ഹാർഡ്വെയർ ഡിസൈൻ പരീക്ഷിക്കുന്നു Example പേജ് 11-ൽ IP കോർ, നേറ്റീവ് PHY രജിസ്റ്ററുകൾ ആക്സസ് ചെയ്യാൻ സിസ്റ്റം കൺസോൾ കമാൻഡുകൾ നൽകുന്നു.
- 50GbE നിയന്ത്രണവും സ്റ്റാറ്റസ് രജിസ്റ്റർ വിവരണങ്ങളും 50GbE IP കോർ രജിസ്റ്ററുകളെ വിവരിക്കുന്നു.
ഡോക്യുമെൻ്റ് റിവിഷൻ ചരിത്രം
പട്ടിക 6. 50G ഇഥർനെറ്റ് ഡിസൈൻ എക്സിample ഉപയോക്തൃ ഗൈഡ് റിവിഷൻ ചരിത്രം
തീയതി | റിലീസ് | മാറ്റങ്ങൾ |
2019.04.03 | 17.0 | Xcelium സിമുലേഷനുകൾ പ്രവർത്തിപ്പിക്കുന്നതിനുള്ള കമാൻഡ് ചേർത്തു. |
2017.11.08 |
17.0 |
IP കോറിലെ ATX PLL-കൾ കാസ്കേഡുചെയ്യുന്നത് കാരണം Intel Arria® 10 ഉപകരണങ്ങളിൽ ഉണ്ടാകാൻ സാധ്യതയുള്ള കുഴപ്പങ്ങൾക്ക് പരിഹാരം നൽകുന്ന KDB ഉത്തരത്തിലേക്ക് ലിങ്ക് ചേർത്തു.
റഫർ ചെയ്യുക ഡിസൈൻ എക്സി ജനറേറ്റുചെയ്യുന്നുample പേജിലും 7 ലും സമാഹരിക്കുന്നു ഒപ്പം ഡിസൈൻ കോൺഫിഗർ ചെയ്യുന്നു Exampഹാർഡ്വെയറിൽ le പേജ് 10-ൽ. ഈ ഡിസൈൻ മുൻample ഉപയോക്തൃ ഗൈഡ് പ്രതിഫലിപ്പിക്കുന്നതിന് അപ്ഡേറ്റ് ചെയ്തിട്ടില്ല കുറിപ്പ്: ഇന്റൽ ക്വാർട്ടസ് പ്രൈം സോഫ്റ്റ്വെയർ റിലീസിന് ശേഷമുള്ള ഇന്റൽ ക്വാർട്ടസ് പ്രൈം റിലീസുകളിൽ ഡിസൈൻ ജനറേഷനിൽ ചെറിയ മാറ്റങ്ങൾ v17.0. |
2017.05.08 | 17.0 | പ്രാരംഭ പൊതു റിലീസ്. |
ഇന്റൽ കോർപ്പറേഷൻ. എല്ലാ അവകാശങ്ങളും നിക്ഷിപ്തം. ഇന്റൽ, ഇന്റൽ ലോഗോ, മറ്റ് ഇന്റൽ മാർക്കുകൾ എന്നിവ ഇന്റൽ കോർപ്പറേഷന്റെയോ അതിന്റെ അനുബന്ധ സ്ഥാപനങ്ങളുടെയോ വ്യാപാരമുദ്രകളാണ്. ഇന്റലിന്റെ സ്റ്റാൻഡേർഡ് വാറന്റിക്ക് അനുസൃതമായി അതിന്റെ FPGA, അർദ്ധചാലക ഉൽപ്പന്നങ്ങളുടെ പ്രകടനം നിലവിലെ സ്പെസിഫിക്കേഷനുകളിലേക്ക് Intel വാറന്റ് ചെയ്യുന്നു, എന്നാൽ അറിയിപ്പ് കൂടാതെ ഏത് സമയത്തും ഏത് ഉൽപ്പന്നങ്ങളിലും സേവനങ്ങളിലും മാറ്റങ്ങൾ വരുത്താനുള്ള അവകാശം നിക്ഷിപ്തമാണ്. Intel രേഖാമൂലം സമ്മതിച്ചിട്ടുള്ളതല്ലാതെ ഇവിടെ വിവരിച്ചിരിക്കുന്ന ഏതെങ്കിലും വിവരങ്ങളുടെയോ ഉൽപ്പന്നത്തിന്റെയോ സേവനത്തിന്റെയോ ആപ്ലിക്കേഷനിൽ നിന്നോ ഉപയോഗത്തിൽ നിന്നോ ഉണ്ടാകുന്ന ഉത്തരവാദിത്തമോ ബാധ്യതയോ Intel ഏറ്റെടുക്കുന്നില്ല. പ്രസിദ്ധീകരിച്ച ഏതെങ്കിലും വിവരങ്ങളെ ആശ്രയിക്കുന്നതിന് മുമ്പും ഉൽപ്പന്നങ്ങൾക്കോ സേവനങ്ങൾക്കോ ഓർഡറുകൾ നൽകുന്നതിനുമുമ്പ് ഉപകരണ സവിശേഷതകളുടെ ഏറ്റവും പുതിയ പതിപ്പ് നേടുന്നതിന് ഇന്റൽ ഉപഭോക്താക്കൾക്ക് നിർദ്ദേശിക്കുന്നു. *മറ്റ് പേരുകളും ബ്രാൻഡുകളും മറ്റുള്ളവരുടെ സ്വത്തായി അവകാശപ്പെടാം.
പ്രമാണങ്ങൾ / വിഭവങ്ങൾ
![]() |
intel 50G ഇഥർനെറ്റ് ഡിസൈൻ എക്സ്ample [pdf] ഉപയോക്തൃ ഗൈഡ് 50G ഇഥർനെറ്റ് ഡിസൈൻ എക്സിample, 50G, ഇതർനെറ്റ് ഡിസൈൻ എക്സ്ampലെ, ഡിസൈൻ എക്സിample |