intel 50G Ethernet Design Example
50GbE ඉක්මන් ආරම්භක මාර්ගෝපදේශය
50GbE IP හරය සමාකරණ පරීක්ෂණ බංකුවක් සහ දෘඪාංග නිර්මාණයක් සපයයිampසම්පාදනය සහ දෘඪාංග පරීක්ෂණ සඳහා සහය වන le. ඔබ නිර්මාණය උත්පාදනය කරන විට example, පරාමිති සංස්කාරකය ස්වයංක්රීයව නිර්මාණය කරයි fileදෘඩාංග තුළ නිර්මාණය අනුකරණය කිරීමට, සම්පාදනය කිරීමට සහ පරීක්ෂා කිරීමට අවශ්ය වේ. ඔබට සම්පාදනය කරන ලද දෘඩාංග නිර්මාණය Arria 10 GT උපාංගයකට බාගත කළ හැක.
සටහන: මෙම නිර්මාණය හිටපුample Arria 10 GT උපාංගය ඉලක්ක කරන අතර 25G retimer අවශ්ය වේ. මෙම දෘඩාංග හිටපු ධාවනය කිරීමට සුදුසු වේදිකාවක් ගැන විමසීමට කරුණාකර ඔබේ Intel FPGA නියෝජිතයා අමතන්නample. සමහර අවස්ථාවලදී සුදුසු දෘඩාංග ණයක් ලබා ගත හැකිය. මීට අමතරව, Intel විසින් සම්පාදනය-පමණක් හිටපු සපයයිampIP මූලික ප්රදේශය සහ වේලාව ඉක්මනින් තක්සේරු කිරීමට ඔබට භාවිතා කළ හැකි le ව්යාපෘතිය.
රූපය 1. නිර්මාණ Example භාවිතය
ඉන්ටෙල් සංස්ථාව. සියලු හිමිකම් ඇවිරිණි. Intel, Intel ලාංඡනය සහ අනෙකුත් Intel සලකුණු Intel Corporation හෝ එහි අනුබද්ධිත සමාගම්වල වෙළඳ ලකුණු වේ. Intel හි FPGA සහ අර්ධ සන්නායක නිෂ්පාදනවල ක්රියාකාරීත්වය Intel හි සම්මත වගකීම් වලට අනුකූලව වත්මන් පිරිවිතරයන්ට අනුකූලව සහතික කරයි, නමුත් දැනුම්දීමකින් තොරව ඕනෑම වේලාවක ඕනෑම භාණ්ඩයක් සහ සේවාවක් වෙනස් කිරීමට අයිතිය රඳවා තබා ගනී. Intel විසින් ලිඛිතව ලිඛිතව එකඟ වී ඇති පරිදි හැර මෙහි විස්තර කර ඇති ඕනෑම තොරතුරක්, නිෂ්පාදනයක් හෝ සේවාවක් යෙදුමෙන් හෝ භාවිතා කිරීමෙන් පැන නගින කිසිදු වගකීමක් හෝ වගකීමක් Intel භාර නොගනී. Intel පාරිභෝගිකයින්ට ඕනෑම ප්රකාශිත තොරතුරු මත විශ්වාසය තැබීමට පෙර සහ නිෂ්පාදන හෝ සේවා සඳහා ඇණවුම් කිරීමට පෙර උපාංග පිරිවිතරවල නවතම අනුවාදය ලබා ගැනීමට උපදෙස් දෙනු ලැබේ. *අනෙකුත් නම් සහ වෙළඳ නාම වෙනත් අයගේ දේපළ ලෙස හිමිකම් පෑමට හැකිය.
නිර්මාණ Example නාමාවලි ව්යුහය
Figure 2. 50GbE Design Example නාමාවලි ව්යුහය
දෘඪාංග වින්යාසය සහ පරීක්ෂණය files (දෘඪාංග නිර්මාණය උදාample) පිහිටා ඇතample_dir>/hardware_test_design. අනුකරණය files (පරික්ෂණ බංකුව අනුකරණය සඳහා පමණි) පිහිටා ඇතample_dir>/ උදාample_testbench. සම්පාදනය-පමණි නිර්මාණය example පිහිටා ඇතample_dir>/compilation_test_design.
සමාකරණ සැලසුම් Example සංරචක
රූපය 3. 50GbE සමාකරණ සැලසුම් Example බ්ලොක් රූප සටහන
අනුකරණය example නිර්මාණ ඉහළ මට්ටමේ පරීක්ෂණය file මූලික_avl_tb_top.sv මෙයයි file ATX PLL ක්ෂණිකව සම්බන්ධ කරයි. පැකට් 50ක් යැවීමට සහ ලැබීමට, send_packets_10g_avl, කාර්යයක් එයට ඇතුළත් වේ.
වගුව 1. 50GbE IP Core Testbench File විස්තර
File නම | විස්තරය |
ටෙස්ට් බංකු සහ අනුකරණය Files | |
මූලික_avl_tb_top.sv | ඉහළ මට්ටමේ පරීක්ෂණ බංකුව file. පරීක්ෂණ බංකුව DUT ක්රියාත්මක කරන අතර පැකට් ජනනය කිරීමට සහ පිළිගැනීමට Verilog HDL කාර්යයන් ක්රියාත්මක කරයි. |
ටෙස්ට් බංකු ස්ක්රිප්ට් | |
run_vsim.do | පරීක්ෂණ බංකුව ධාවනය කිරීමට ModelSim පිටපත. |
run_vcs.sh | පරීක්ෂණ බංකුව ධාවනය කිරීමට Synopsys VCS ස්ක්රිප්ට්. |
run_ncsim.sh | පරීක්ෂණ බංකුව ධාවනය කිරීමට Cadence NCSim පිටපත. |
run_xcelium.sh | පරීක්ෂණ බංකුව ධාවනය කිරීමට Cadence Xcelium* ස්ක්රිප්ට්. |
rdware Design Example සංරචක
රූපය 4. 50GbE දෘඪාංග නිර්මාණය Example ඉහළ මට්ටමේ බ්ලොක් රූප සටහන
50GbE දෘඪාංග නිර්මාණය හිටපුample පහත සඳහන් සංරචක ඇතුළත් වේ
- 50GbE IP හරය.
- IP හරය සහ පැකට් උත්පාදනය වැඩසටහන්කරණය සම්බන්ධීකරණය කරන සේවාලාභී තර්කනය.
- උපාංග සම්ප්රේෂක නාලිකා ධාවනය කිරීමට ATX PLL.
- IOPLL විසින් 100 MHz ඔරලෝසුවක් 50 MHz ආදාන ඔරලෝසුවක සිට දෘඪාංග නිර්මාණය දක්වා උත්පාදනය කිරීමටample.
- JTAG පද්ධති කොන්සෝලය සමඟ සන්නිවේදනය කරන පාලකය. ඔබ පද්ධති කොන්සෝලය හරහා සේවාදායක තර්කනය සමඟ සන්නිවේදනය කරයි.
වගුව 2. 50GbE IP Core දෘඪාංග නිර්මාණය Example File විස්තර
File නම් | විස්තරය |
eth_ex_50g.qpf | ක්වාටස් ප්රයිම් ව්යාපෘතිය file |
eth_ex_50g.qsf | Quartus ව්යාපෘති සැකසුම් file |
eth_ex_50g.sdc | සාරාංශ සැලසුම් සීමා කිරීම් file. ඔබට මෙය පිටපත් කර වෙනස් කළ හැක file ඔබගේම 50GbE නිර්මාණය සඳහා. |
දිගටම… |
50GbE ඉක්මන් ආරම්භක මාර්ගෝපදේශය
File නම් | විස්තරය |
eth_ex_50g.v | ඉහළම මට්ටමේ Verilog HDL නිර්මාණය example file |
පොදු/ | දෘඪාංග නිර්මාණය example සහාය files |
hwtest/main.tcl | ප්රධාන file පද්ධති කොන්සෝලය වෙත ප්රවේශ වීම සඳහා |
නිර්මාණය උත්පාදනය Example
රූපය 5. ක්රියා පටිපාටිය
රූපය 6. උදාample Design Tab 50GbE පරාමිති සංස්කාරකයේ
දෘඪාංග නිර්මාණය උත්පාදනය කිරීමට මෙම පියවර අනුගමනය කරන්නample සහ testbench
- ඔබ Intel Quartus® Prime Pro සංස්කරණ මෘදුකාංගය හෝ Intel Quartus Prime Standard Edition මෘදුකාංගය භාවිතා කරන්නේද යන්න මත පදනම්ව, පහත ක්රියා වලින් එකක් කරන්න: Intel Quartus Prime Pro සංස්කරණයේ, ක්ලික් කරන්න File ➤ නව Quartus Prime ව්යාපෘතියක් නිර්මාණය කිරීමට නව ව්යාපෘති විශාරද, හෝ File ➤ දැනට පවතින Quartus Prime ව්යාපෘතියක් විවෘත කිරීමට ව්යාපෘතිය විවෘත කරන්න. විශාරද උපාංගයක් සඳහන් කිරීමට ඔබෙන් විමසයි. Intel Quartus Prime Standard Edition මෘදුකාංගයේ, IP නාමාවලියෙහි (මෙවලම් IP නාමාවලිය), Arria 10 ඉලක්ක උපාංග පවුල තෝරන්න.
- IP නාමාවලියෙහි, 50G ඊතර්නෙට් සොයාගෙන තෝරන්න. නව IP විචලනය කවුළුව දිස්වේ.
- ඔබගේ IP විචලනය සඳහා ඉහළ මට්ටමේ නමක් සඳහන් කර OK ක්ලික් කරන්න. පරාමිති සංස්කාරකය ඉහළ මට්ටමේ .qsys (Intel Quartus Prime Standard Edition හි) හෝ .ip (Intel Quartus Prime Pro සංස්කරණයේ) එක් කරයි. file වත්මන් ව්යාපෘතියට ස්වයංක්රීයව. .qsys හෝ .ip අතින් එකතු කිරීමට ඔබෙන් විමසන්නේ නම් file ව්යාපෘතියට, Project ➤ Add/Remove ක්ලික් කරන්න Fileඑකතු කිරීමට ව්යාපෘතියේ s file.
- Intel Quartus Prime Standard Edition මෘදුකාංගය තුළ, ඔබ උපාංග ක්ෂේත්රයේ නිශ්චිත Arria 10 උපාංගයක් තෝරාගත යුතුය, නැතහොත් Quartus Prime මෘදුකාංගය යෝජනා කරන පෙරනිමි උපාංගය තබා ගත යුතුය.
සටහන: දෘඪාංග නිර්මාණය example විසින් ඉලක්ක පුවරුවේ ඇති උපාංගය සමඟ තේරීම නැවත ලියයි. ඔබ සැලසුම් හිටපු මෙනුවෙන් ඉලක්ක පුවරුව සඳහන් කරන්නampEx හි le විකල්පample සැලසුම් පටිත්ත (පියවර 8). - හරි ක්ලික් කරන්න. පරාමිති සංස්කාරකය දිස්වේ.
- IP පටිත්තෙහි, ඔබගේ IP මූලික විචලනය සඳහා පරාමිතීන් සඳහන් කරන්න.
- හිටපු මතample Design tab, Example නිර්මාණය Files, testbench ජනනය කිරීමට Simulation විකල්පය තෝරන්න, සහ දෘඪාංග නිර්මාණය උත්පාදනය කිරීමට Synthesis විකල්පය තෝරන්න.ample. Verilog HDL පමණි files ජනනය වේ.
සටහන: ක්රියාකාරී VHDL IP හරයක් නොමැත. Verilog HDL පමණක් සඳහන් කරන්න, ඔබේ IP core නිර්මාණය සඳහාample. - දෘඪාංග මණ්ඩලය සඳහා Arria 10 GX Transceiver Signal Integrity Development Kit තෝරන්න.
සටහන: මෙම දෘඩාංග හිටපු ධාවනය කිරීමට සුදුසු වේදිකාවක් පිළිබඳ තොරතුරු සඳහා ඔබේ Intel FPGA නියෝජිතයා අමතන්නample. - උත්පාදනය Ex ක්ලික් කරන්නample නිර්මාණ බොත්තම. Select Example Design Directory කවුළුව දිස්වේ.
- ඔබට නිර්මාණය වෙනස් කිරීමට අවශ්ය නම් example බහලුම මාර්ගය හෝ සංදර්ශණය වන පෙරනිමි වලින් නම (alt_e50_0_example_design), නව මාර්ගය වෙත බ්රවුස් කර නව මෝස්තරය ටයිප් කරන්නampලේ නාමාවලියේ නම (ample_dir>).
- හරි ක්ලික් කරන්න.
- KDB පිළිතුර වෙත යොමු වන්න Arria 10 PLL සමුද්දේශ ඔරලෝසුව සඳහා PLL කැස්කැඩින් හෝ කැප නොවූ ඔරලෝසු පථයේ ජ්වලිතය සඳහා මම වන්දි ගෙවන්නේ කෙසේද? විසඳුමක් සඳහා ඔබ .sdc හි Hardware_test_design නාමාවලියෙහි යෙදිය යුතුය file.
සටහන: 50GbE IP හරයේ ඇති RX මාර්ගයට කැස්කැඩ් PLL ඇතුළත් වන බැවින් ඔබ මෙම KDB පිළිතුර විමසිය යුතුය. එබැවින්, Arria 10 උපාංගවල IP core ඔරලෝසුවලට අමතර කම්පනයක් අත්විඳිය හැකිය. මෙම KDB පිළිතුර මගින් විසඳුම් අවශ්ය මෘදුකාංග නිකුතු පැහැදිලි කරයි.
අදාළ තොරතුරු
KDB පිළිතුර: Arria 10 PLL සමුද්දේශ ඔරලෝසුව සඳහා PLL කැස්කැඩින් හෝ කැප නොවූ ඔරලෝසු පථයේ ජ්වලිතය සඳහා මම වන්දි ගෙවන්නේ කෙසේද?
50GbE නිර්මාණය අනුකරණය කිරීම Example Testbench
රූපය 7. ක්රියා පටිපාටිය
ටෙස්ට් බංකුව අනුකරණය කිරීමට මෙම පියවර අනුගමනය කරන්න
- testbench සමාකරණ නාමාවලිය වෙත වෙනස් කරන්නample_dir>/ උදාample_testbench.
- ඔබ කැමති සහය දක්වන සිමියුලේටරය සඳහා සමාකරණ ස්ක්රිප්ට් ධාවනය කරන්න. ස්ක්රිප්ට් එක සිමියුලේටරය තුළ පරීක්ෂණ බංකුව සම්පාදනය කර ධාවනය කරයි. "ටෙස්ට්බෙන්ච් අනුකරණය කිරීමට පියවර" වගුව වෙත යොමු වන්න.
- ප්රතිඵල විශ්ලේෂණය කරන්න. සාර්ථක ටෙස්ට් බංකුව පැකට් දහයක් යවයි, පැකට් දහයක් ලබා ගනී, සහ "ටෙස්ට්බෙන්ච් සම්පූර්ණයි" පෙන්වයි.
වගුව 3. ටෙස්ට් බංකුව අනුකරණය කිරීමට පියවර
සිමියුලේටරය | උපදෙස් |
ModelSim | විධාන රේඛාවේ, vsim -do run_vsim.do ටයිප් කරන්න
ඔබ ModelSim GUI ගෙන ඒමකින් තොරව අනුකරණය කිරීමට කැමති නම්, vsim -c -do run_vsim.do ටයිප් කරන්න. සටහන: ModelSim* - Intel FPGA සංස්කරණ සිමියුලේටරයට මෙම IP හරය අනුකරණය කිරීමට හැකියාවක් නොමැත. ඔබ ModelSim SE වැනි තවත් සහාය දක්වන ModelSim සිමියුලේටරයක් භාවිතා කළ යුතුය. |
NCSim | විධාන රේඛාවේ, sh run_ncsim.sh ටයිප් කරන්න |
VCS | විධාන රේඛාවේ, sh run_vcs.sh ටයිප් කරන්න |
Xcelium | විධාන රේඛාවේ, sh run_xcelium.sh ලෙස ටයිප් කරන්න |
සාර්ථක පරීක්ෂණ ධාවනය පහත හැසිරීම සනාථ කරමින් ප්රතිදානය පෙන්වයි
- RX ඔරලෝසුව නිරවුල් වන තෙක් රැඳී සිටිමින්
- PHY තත්ත්වය මුද්රණය කිරීම
- පැකට් 10ක් එවනවා
- පැකට් 10 ක් ලැබීම
- "ටෙස්ට්බෙන්ච් සම්පූර්ණයි" සංදර්ශනය කරමින්
පහත එස්ample ප්රතිදානය සාර්ථක සමාකරණ පරීක්ෂණ ධාවනයක් පෙන්නුම් කරයි
- #Ref ඔරලෝසුව 625 MHz දී ධාවනය වන නිසා සියලුම ඔරලෝසු කාල පරිච්ඡේද සඳහා සම්පූර්ණ සංඛ්යා භාවිතා කළ හැක.
- #සැබෑ ඔරලෝසු සංඛ්යාත ලබා ගැනීමට වාර්තා කළ සංඛ්යාත 33/32 න් ගුණ කරන්න.
- #RX පෙළගැස්ම සඳහා රැඳී සිටිමින්
- #RX deskew අගුලු දමා ඇත
- #RX මංතීරු පෙළගැස්ම අගුලු දමා ඇත
- #TX සබල කර ඇත
- #**පැකට් 1 යවමින්…
- #**පැකට් 2 යවමින්…
- #**පැකට් 3 යවමින්…
- #**පැකට් 4 යවමින්…
- #**පැකට් 5 යවමින්…
- #**පැකට් 6 යවමින්…
- #**පැකට් 7 යවමින්…
- #**ලැබුණු පැකට් 1...
- #**පැකට් 8 යවමින්…
- #**ලැබුණු පැකට් 2...
- #**පැකට් 9 යවමින්…
- #**ලැබුණු පැකට් 3...
- #**පැකට් 10 යවමින්…
- #**ලැබුණු පැකට් 4...
- #**ලැබුණු පැකට් 5...
- #**ලැබුණු පැකට් 6...
- #**ලැබුණු පැකට් 7...
- #**ලැබුණු පැකට් 8...
- #**ලැබුණු පැකට් 9...
- #**ලැබුණු පැකට් 10...
- #**
- #** ටෙස්ට් බංකුව සම්පූර්ණයි.
- #**
- #*******************************************
නිර්මාණය සම්පාදනය කිරීම සහ වින්යාස කිරීම Exampදෘඪාංගයේ le
දෘඪාංග නිර්මාණය සම්පාදනය කිරීමට example සහ එය ඔබගේ Arria 10 GT උපාංගය මත වින්යාස කරන්න, මෙම පියවර අනුගමනය කරන්න
- දෘඪාංග නිර්මාණය සහතික කරන්න example පරම්පරාව සම්පූර්ණයි.
- Intel Quartus Prime මෘදුකාංගය තුළ Intel Quartus Prime ව්යාපෘතිය විවෘත කරන්නample_dir>/hardware_test_design/eth_ex_50g.qpf.
- සම්පාදනය කිරීමට පෙර, ඔබ KDB පිළිතුරෙන් ප්රතිකර්ම ක්රියාත්මක කර ඇති බවට සහතික වන්න, Arria 10 PLL සමුද්දේශ ඔරලෝසුව සඳහා PLL කැස්කැඩින් හෝ කැප නොවූ ඔරලෝසු මාවතේ ජ්වලිතය සඳහා මම වන්දි ගෙවන්නේ කෙසේද? ඔබේ මෘදුකාංග නිකුතුව සඳහා අදාළ නම්.
- සැකසුම් මෙනුවෙහි, Start Compilation ක්ලික් කරන්න.
- ඔබ SRAM වස්තුවක් ජනනය කළ පසු file .sof, දෘඪාංග නිර්මාණය සඳහා මෙම පියවර අනුගමනය කරන්නampArria 10 උපාංගයේ le:
- මෙවලම් මෙනුවේ, ක්රමලේඛකයා ක්ලික් කරන්න.
- ක්රමලේඛකයා තුළ, දෘඪාංග සැකසුම ක්ලික් කරන්න.
- ක්රමලේඛන උපාංගයක් තෝරන්න.
- ඔබේ Intel Quartus Prime සැසියට 10G retimer සමඟ Arria 25 GT පුවරුව තෝරා එක් කරන්න.
- මාදිලිය J ලෙස සකසා ඇති බව සහතික කර ගන්නTAG.
- Arria 10 උපාංගය තෝරන්න සහ උපාංගය එකතු කරන්න ක්ලික් කරන්න. ක්රමලේඛකයා ඔබගේ පුවරුවේ ඇති උපාංග අතර සම්බන්ධතා වල බ්ලොක් රූප සටහනක් පෙන්වයි.
- ඔබේ .sof සහිත පේළියේ, .sof සඳහා කොටුව සලකුණු කරන්න.
- වැඩසටහන්/වින්යාස තීරුවේ ඇති කොටුව සලකුණු කරන්න.
- Start ක්ලික් කරන්න
සටහන: මෙම නිර්මාණය හිටපුample ඉලක්ක කරන්නේ Arria 10 GT උපාංගයයි. මෙම දෘඩාංග හිටපු ධාවනය කිරීමට සුදුසු වේදිකාවක් ගැන විමසීමට කරුණාකර ඔබේ Intel FPGA නියෝජිතයා අමතන්නample
අදාළ තොරතුරු
- KDB පිළිතුර: Arria 10 PLL සමුද්දේශ ඔරලෝසුව සඳහා PLL කැස්කැඩින් හෝ වෙන් නොකළ ඔරලෝසු පථයේ ජ්වලිතය සඳහා මම වන්දි ගෙවන්නේ කෙසේද?
- ධූරාවලි සහ කණ්ඩායම් පාදක නිර්මාණය සඳහා වර්ධක සම්පාදනය
- Intel FPGA උපාංග ක්රමලේඛනය කිරීම
50GbE දෘඪාංග නිර්මාණය පරීක්ෂා කිරීම Example
ඔබ 50GbE IP core නිර්මාණය සම්පාදනය කිරීමෙන් පසු example සහ එය ඔබගේ Arria 10 GT උපාංගය මත වින්යාස කරන්න, ඔබට IP හරය සහ එහි එබ්බවූ Native PHY IP core රෙජිස්ටර් ක්රමලේඛනය කිරීමට System Console භාවිතා කළ හැක. පද්ධති කොන්සෝලය සක්රිය කිරීමට සහ දෘඪාංග නිර්මාණය පරීක්ෂා කිරීමට example, මෙම පියවර අනුගමනය කරන්න:
- දෘඪාංග නිර්මාණයෙන් පසු හිටපුample වින්යාස කර ඇත්තේ Arria 10 උපාංගයේ, Intel Quartus Prime මෘදුකාංගයේ, මෙවලම් මෙනුවේ, System Debugging Tools ➤ System Console ක්ලික් කරන්න.
- Tcl Console කවුළුව තුළ, නාමාවලිය වෙනස් කිරීමට cd hwtest ටයිප් කරන්නample_dir>/hardware_test_design/hwtest.
- J වෙත සම්බන්ධතාවයක් විවෘත කිරීමට source main.tcl ටයිප් කරන්නTAG ස්වාමියා.
ඔබට පහත සැලසුම සමඟ IP හරය වැඩසටහන්ගත කළ හැකample විධාන
- chkphy_status: ඔරලෝසු සංඛ්යාත සහ PHY අගුළු තත්ත්වය පෙන්වයි.
- start_pkt_gen: පැකට් උත්පාදක යන්ත්රය ආරම්භ කරයි.
- stop_pkt_gen: පැකට් උත්පාදක යන්ත්රය නවත්වයි.
- loop_on: අභ්යන්තර අනුක්රමික ලූප්බැක් ක්රියාත්මක කරයි
- loop_off: අභ්යන්තර අනුක්රමික ලූප්බැක් අක්රිය කරයි.
- reg_කියවන්න : දී IP core රෙජිස්ටර් අගය ලබා දෙයි .
- reg_write : ලියනවා ලිපිනයේ ඇති IP මූලික ලේඛනයට .
අදාළ තොරතුරු
- 50GbE Design Example Registers on page 13 දෘඪාංග නිර්මාණය සඳහා සිතියම ලියාපදිංචි කරන්න example.
- පද්ධති කොන්සෝලය සමඟ සැලසුම් විශ්ලේෂණය කිරීම සහ දෝෂහරණය කිරීම
නිර්මාණ Example විස්තරය
නිර්මාණය හිටපුample විසින් IEEE 50ba සම්මත CAUI-802.3 පිරිවිතරයන්ට අනුකූලව සම්ප්රේෂක අතුරුමුහුණත සමඟ 4GbE හරයේ ක්රියාකාරිත්වය පෙන්නුම් කරයි. ඔබට Ex වෙතින් නිර්මාණය උත්පාදනය කළ හැකියample Design tab එක 50GbE පරාමිති සංස්කාරකයේ. නිර්මාණය උත්පාදනය කිරීමට example, ඔබ ප්රථමයෙන් ඔබේ අවසාන නිෂ්පාදනයේ උත්පාදනය කිරීමට අදහස් කරන IP මූලික විචලනය සඳහා පරාමිති අගයන් සැකසිය යුතුය. නිර්මාණය උත්පාදනය කිරීම example IP හරයේ පිටපතක් නිර්මාණය කරයි; ටෙස්ට් බංකුව සහ දෘඪාංග නිර්මාණය exampමෙම විචලනය DUT ලෙස භාවිතා කරයි. ඔබ DUT සඳහා පරාමිති අගයන් ඔබේ අවසාන නිෂ්පාදනයේ පරාමිති අගයන්ට ගැළපෙන්නේ නැතිනම්, සැලසුම් exampඔබ උත්පාදනය කිරීම ඔබ අදහස් කරන IP මූලික විචලනය ක්රියාත්මක නොකරයි.
සටහන: ටෙස්ට් බංකුව IP හරයේ මූලික පරීක්ෂණයක් පෙන්නුම් කරයි. එය සම්පූර්ණ සත්යාපන පරිසරයක් සඳහා ආදේශකයක් වීමට අදහස් නොකෙරේ. ඔබ ඔබේම 50GbE සැලසුම අනුකරණයේදී සහ දෘඪාංග තුළ වඩාත් පුළුල් සත්යාපනයක් සිදු කළ යුතුය.
අදාළ තොරතුරු
Intel Arria® 10 50Gbps Ethernet IP Core පරිශීලක මාර්ගෝපදේශය
නිර්මාණ Example හැසිරීම
පරීක්ෂණ බංකුව IP හරය හරහා ගමනාගමනය යවයි, සම්ප්රේෂණ පැත්ත සහ IP හරයේ ලැබෙන පැත්ත ව්යායාම කරයි. දෘඪාංග නිර්මාණයේදී හිටපුample, ඔබට අභ්යන්තර අනුක්රමික ලූප්බැක් ප්රකාරයේදී IP හරය ක්රමලේඛනය කර සම්ප්රේෂණ පැත්තේ ගමනාගමනය උත්පාදනය කළ හැකි අතර එය ලැබීමේ පැත්ත හරහා ආපසු හැරේ.
නිර්මාණ Example අතුරුමුහුණත් සංඥා
50GbE testbench ස්වයං අන්තර්ගත වන අතර ඔබට ආදාන සංඥා ධාවනය කිරීමට අවශ්ය නොවේ.
වගුව 4. 50GbE දෘඪාංග නිර්මාණය Example අතුරුමුහුණත් සංඥා
සංඥාව | දිශාව | අදහස් |
clk50 |
ආදානය |
50 MHz වේගයෙන් ධාවනය කරන්න. අභිප්රාය වන්නේ පුවරුවේ ඇති 50 Mhz oscillator එකකින් මෙය ධාවනය කිරීමයි. |
clk_ref | ආදානය | 644.53125 MHz දී ධාවනය කරන්න. |
cpu_resetn |
ආදානය |
IP හරය නැවත සකසයි. ක්රියාකාරී අඩු. ගෝලීය දෘඪ යළි පිහිටුවීම csr_reset_n IP හරය වෙත ධාවනය කරයි. |
දිගටම… |
ඉන්ටෙල් සංස්ථාව. සියලු හිමිකම් ඇවිරිණි. Intel, Intel ලාංඡනය සහ අනෙකුත් Intel සලකුණු Intel Corporation හෝ එහි අනුබද්ධිත සමාගම්වල වෙළඳ ලකුණු වේ. Intel හි FPGA සහ අර්ධ සන්නායක නිෂ්පාදනවල කාර්ය සාධනය වර්තමාන පිරිවිතරයන්ට අනුව Intel හි සම්මත වගකීම් සහතිකයට අනුකූලව සහතික කරයි, නමුත් දැනුම්දීමකින් තොරව ඕනෑම වේලාවක ඕනෑම නිෂ්පාදනයක් සහ සේවාවක් වෙනස් කිරීමට අයිතිය රඳවා තබා ගනී. Intel විසින් ලිඛිතව ලිඛිතව එකඟ වී ඇති පරිදි හැර මෙහි විස්තර කර ඇති ඕනෑම තොරතුරක්, නිෂ්පාදනයක් හෝ සේවාවක් යෙදුමෙන් හෝ භාවිතා කිරීමෙන් පැන නගින කිසිදු වගකීමක් හෝ වගකීමක් Intel භාර නොගනී. Intel පාරිභෝගිකයින්ට ඕනෑම ප්රකාශිත තොරතුරු මත විශ්වාසය තැබීමට පෙර සහ නිෂ්පාදන හෝ සේවා සඳහා ඇණවුම් කිරීමට පෙර උපාංග පිරිවිතරවල නවතම අනුවාදය ලබා ගැනීමට උපදෙස් දෙනු ලැබේ. *අනෙකුත් නම් සහ වෙළඳ නාම වෙනත් අයගේ දේපළ ලෙස හිමිකම් පෑමට හැකිය.
සංඥාව | දිශාව | අදහස් |
tx_serial[1:0] | ප්රතිදානය | Transceiver PHY ප්රතිදාන අනුක්රමික දත්ත. |
rx_serial[1:0] | ආදානය | Transceiver PHY ආදාන අනුක්රමික දත්ත. |
user_led[7:0] |
ප්රතිදානය |
තත්ව සංඥා. දෘඪාංග නිර්මාණය example විසින් ඉලක්ක පුවරුවේ LED ධාවනය කිරීමට මෙම බිටු සම්බන්ධ කරයි. තනි බිටු පහත සංඥා අගයන් සහ ඔරලෝසු හැසිරීම් පිළිබිඹු කරයි:
• [0]: IP හරයට ප්රධාන යළි පිහිටුවීමේ සංඥාව • [1]: clk_ref හි බෙදුණු අනුවාදය • [2]: clk50 හි බෙදුණු අනුවාදය • [3]: 100 MHz තත්ව ඔරලෝසුවේ බෙදුණු අනුවාදය • [4]: tx_lanes_stable • [5]: rx_block_lock • [6]: rx_am_lock • [7]: rx_pcs_ready |
අදාළ තොරතුරු
අතුරුමුහුණත් සහ සංඥා විස්තර 50GbE IP core සංඥා සහ ඒවා අයත් වන අතුරු මුහුණත් පිළිබඳ සවිස්තරාත්මක විස්තර සපයයි.
50GbE Design Example රෙජිස්ටර්
වගුව 5. 50GbE දෘඪාංග නිර්මාණය Example රෙජිස්ටර් සිතියම
දෘඪාංග නිර්මාණය සඳහා මතක සිතියම්ගත රෙජිස්ටර් පරාසයන් ලැයිස්තුගත කරයිample. පද්ධති කොන්සෝලයේ ඇති reg_read සහ reg_write ශ්රිතයන් සමඟින් ඔබ මෙම රෙජිස්ටර් වෙත ප්රවේශ වේ.
වචන ඕෆ්සෙට් | කාණ්ඩය ලියාපදිංචි කරන්න |
0x300-0x5FF | 50GbE IP core රෙජිස්ටර්. |
0x4000–0x4C00 | Arria 10 ගතික ප්රතිසංවිධාන රෙජිස්ටර්. ලේන් 0 සඳහා මූලික ලිපිනය 4000x0 සහ මංතීරුව 0 සඳහා 4400x1 වේ. |
අදාළ තොරතුරු
- 50GbE දෘඪාංග නිර්මාණය පරීක්ෂා කිරීම Example on page 11 System Console IP core සහ Native PHY රෙජිස්ටර් වෙත ප්රවේශ වීමට විධාන කරයි.
- 50GbE පාලන සහ තත්ව ලේඛන විස්තර 50GbE IP මූලික ලේඛන විස්තර කරයි.
ලේඛන සංශෝධන ඉතිහාසය
වගුව 6. 50G Ethernet Design Example පරිශීලක මාර්ගෝපදේශ සංශෝධන ඉතිහාසය
දිනය | නිදහස් කරන්න | වෙනස්කම් |
2019.04.03 | 17.0 | Xcelium සමාකරණ ධාවනය කිරීමට විධානය එක් කරන ලදී. |
2017.11.08 |
17.0 |
IP හරය තුළ ATX PLLs කැස්කැඩින් වීම හේතුවෙන් Intel Arria® 10 උපාංගවල ඇති විය හැකි කම්පනය සඳහා විසඳුම් සපයන KDB පිළිතුර වෙත සබැඳිය එක් කරන ලදී.
වෙත යොමු කරන්න නිර්මාණය උත්පාදනය Example 7 වන පිටුවේ සහ සම්පාදනය සහ නිර්මාණය වින්යාස කිරීම Exampදෘඪාංගයේ le 10 පිටුවේ. මෙම නිර්මාණය හිටපුample පරිශීලක මාර්ගෝපදේශය පිළිබිඹු කිරීමට යාවත්කාලීන කර නොමැත සටහන: Intel Quartus Prime මෘදුකාංග නිකුතුවට වඩා පසුව Intel Quartus Prime නිකුතුවේ නිර්මාණ උත්පාදනයේ සුළු වෙනස්කම් v17.0. |
2017.05.08 | 17.0 | මූලික මහජන නිකුතුව. |
ඉන්ටෙල් සංස්ථාව. සියලු හිමිකම් ඇවිරිණි. Intel, Intel ලාංඡනය සහ අනෙකුත් Intel සලකුණු Intel Corporation හෝ එහි අනුබද්ධිත සමාගම්වල වෙළඳ ලකුණු වේ. Intel හි FPGA සහ අර්ධ සන්නායක නිෂ්පාදනවල කාර්ය සාධනය වර්තමාන පිරිවිතරයන්ට අනුව Intel හි සම්මත වගකීම් සහතිකයට අනුකූලව සහතික කරයි, නමුත් දැනුම්දීමකින් තොරව ඕනෑම වේලාවක ඕනෑම නිෂ්පාදනයක් සහ සේවාවක් වෙනස් කිරීමට අයිතිය රඳවා තබා ගනී. Intel විසින් ලිඛිතව ලිඛිතව එකඟ වී ඇති පරිදි හැර මෙහි විස්තර කර ඇති ඕනෑම තොරතුරක්, නිෂ්පාදනයක් හෝ සේවාවක් යෙදුමෙන් හෝ භාවිතා කිරීමෙන් පැන නගින කිසිදු වගකීමක් හෝ වගකීමක් Intel භාර නොගනී. Intel පාරිභෝගිකයින්ට ඕනෑම ප්රකාශිත තොරතුරු මත විශ්වාසය තැබීමට පෙර සහ නිෂ්පාදන හෝ සේවා සඳහා ඇණවුම් කිරීමට පෙර උපාංග පිරිවිතරවල නවතම අනුවාදය ලබා ගැනීමට උපදෙස් දෙනු ලැබේ. *අනෙකුත් නම් සහ වෙළඳ නාම වෙනත් අයගේ දේපළ ලෙස හිමිකම් පෑමට හැකිය.
ලේඛන / සම්පත්
![]() |
intel 50G Ethernet Design Example [pdf] පරිශීලක මාර්ගෝපදේශය 50G Ethernet Design Example, 50G, Ethernet Design Example, Design Example |