LOGO

Intel 50G Ethernet Design Example

Intel-50G-Ethernet-Дизайн-Example-PRODACT-IMG

Дастури оғози зуд 50GbE

50GbE асосии IP як озмоишгоҳи симулятсия ва тарҳи сахтафзорро таъмин мекунадample, ки таҳия ва санҷиши сахтафзорро дастгирӣ мекунад. Вақте ки шумо тарроҳии собиқро тавлид мекунедample, муҳаррири параметр ба таври худкор эҷод fileбарои тақлид, тартиб додан ва озмоиши тарҳ дар сахтафзор зарур аст. Шумо метавонед тарҳи сахтафзори тартибдодашударо ба дастгоҳи Arria 10 GT зеркашӣ кунед.

Шарҳ: Ин тарҳ, собиқample дастгоҳи Arria 10 GT-ро ҳадаф қарор медиҳад ва таймери 25G-ро талаб мекунад. Лутфан бо намояндаи Intel FPGA-и худ дар тамос шавед, то дар бораи платформае, ки барои иҷрои ин сахтафзор мувофиқ аст, пурседampле. Дар баъзе мавридҳо қарзи таҷҳизоти мувофиқ дастрас аст. Илова бар ин, Intel як собикро танҳо барои тартиб медиҳадample лоиҳае, ки шумо метавонед барои зуд ҳисоб кардани майдони асосии IP ва вақт истифода баред.

Расми 1. Тарҳрезӣ Example ИстифодаIntel-50G-Ethernet-Дизайн-Example-FIG-1

Корпоратсияи Intel. Ҳамаи ҳуқуқ маҳфуз аст. Intel, логотипи Intel ва дигар тамғаҳои Intel тамғаҳои тиҷоратии Intel Corporation ё филиалҳои он мебошанд. Intel иҷрои маҳсулоти FPGA ва нимноқилҳои худро мувофиқи мушаххасоти ҷорӣ мувофиқи кафолати стандартии Intel кафолат медиҳад, аммо ҳуқуқ дорад дар вақти дилхоҳ бидуни огоҳӣ ба ҳама гуна маҳсулот ва хидматҳо тағйирот ворид кунад. Intel ҳеҷ гуна масъулият ё масъулиятеро, ки аз барнома ё истифодаи ҳама гуна маълумот, маҳсулот ё хидмати дар ин ҷо тавсифшуда бармеояд, ба дӯш намегирад, ба истиснои ҳолатҳое, ки Intel дар шакли хаттӣ розӣ шудааст. Ба муштариёни Intel тавсия дода мешавад, ки пеш аз такя ба ҳама гуна маълумоти нашршуда ва пеш аз фармоиш барои маҳсулот ё хидматҳо версияи охирини мушаххасоти дастгоҳро дастрас кунанд. * Дигар номҳо ва брендҳо метавонанд ҳамчун моликияти дигарон даъво карда шаванд.

Дизайн Example Сохтори директория

Тасвири 2. 50GbE Design Example Сохтори директорияIntel-50G-Ethernet-Дизайн-Example-FIG-2

Конфигуратсия ва санҷиши сахтафзор files (тарроҳии сахтафзор, пешampле) вокеъ гардидаандample_dir>/hardware_test_design. Симуляция files (танҳо testbench барои моделиронӣ) ҷойгир шудаандample_dir>/ собиқample_testbench.Дар тарҳрезии танҳо барои маҷмӯаи собиқampле воқеъ астample_dir>/compilation_test_design.

Тарҳрезии симулятсия Мисample Компонентҳо

Тасвири 3. 50GbE Тарҳрезии Simulation Example Диаграммаи блокIntel-50G-Ethernet-Дизайн-Example-FIG-3

Симуляция собиқample тарроҳии санҷиши сатҳи боло file basic_avl_tb_top.sv аст Ин file ATX PLL-ро ба вуҷуд меорад ва мепайвандад. Он вазифаеро дар бар мегирад, send_packets_50g_avl барои фиристодан ва қабул кардани 10 баста.

Љадвали 1. 50GbE IP Core Testbench File Тавсифҳо

File Ном Тавсифи
Testbench ва Simulation Files
basic_avl_tb_top.sv Санҷиши сатҳи олӣ file. Testbench DUT-ро ба вуҷуд меорад ва вазифаҳои Verilog HDL-ро барои тавлид ва қабули бастаҳо иҷро мекунад.
Скриптҳои Testbench
run_vsim.do Скрипти ModelSim барои идора кардани testbench.
run_vcs.sh Скрипти Synopsys VCS барои идора кардани testbench.
run_ncsim.sh Скрипти Cadence NCSim барои идора кардани testbench.
run_xcelium.sh Скрипти Cadence Xcelium* барои идора кардани тест.

rdware Design Example Компонентҳо

Тасвири 4. 50GbE Hardware Design Example Диаграммаи блоки сатҳи баландIntel-50G-Ethernet-Дизайн-Example-FIG-4

Тарҳрезии сахтафзори 50GbE собиқample ҷузъҳои зеринро дар бар мегирад

  • 50GbE асосии IP.
  • Мантиқи муштарӣ, ки барномасозии асосии IP ва тавлиди бастаҳоро ҳамоҳанг мекунад.
  • ATX PLL барои рондани каналҳои интиқолдиҳандаи дастгоҳ.
  • IOPLL барои тавлиди соати 100 МГс аз соати вуруди 50 МГс то тарҳи сахтафзор собиқampле.
  • JTAG контролер, ки бо Консоли Система муошират мекунад. Шумо бо мантиқи муштарӣ тавассути Console System муошират мекунед.

Љадвали 2. 50GbE IP асосии сахтафзор Design Example File Тавсифҳо

File Номҳо Тавсифи
eth_ex_50g.qpf Лоиҳаи Quartus Prime file
eth_ex_50g.qsf Танзимоти лоиҳаи Quartus file
eth_ex_50g.sdc Маҳдудиятҳои тарҳрезии Synopsys file. Шумо метавонед инро нусхабардорӣ ва тағир диҳед file барои тарҳи 50GbE худ.
идома дод…

Дастури оғози зуд 50GbE

File Номҳо Тавсифи
eth_ex_50g.v Тарҳрезии сатҳи баландтарин Verilog HDL собиқample file
умумӣ/ Тарҳрезии сахтафзор собиқampдастгири кунед files
hwtest/main.tcl Асосӣ file барои дастрасӣ ба Console System

Таҳияи тарҳрезӣ Example

Расми 5. ТартибIntel-50G-Ethernet-Дизайн-Example-FIG-5

Расми 6. Мисample Design Tab дар муҳаррири параметрҳои 50GbEIntel-50G-Ethernet-Дизайн-Example-FIG-6

Барои тавлиди тарҳи сахтафзори собиқ ин қадамҳоро иҷро кунедample ва testbench

  1. Вобаста аз он ки шумо нармафзори Intel Quartus® Prime Pro Edition ё нармафзори Intel Quartus Prime Standard Edition-ро истифода мебаред, яке аз амалҳои зеринро иҷро кунед: Дар Intel Quartus Prime Pro Edition, клик кунед. File ➤ Ёвари лоиҳаи нав барои сохтани лоиҳаи нави Quartus Prime ё File ➤ Лоиҳаи кушода барои кушодани лоиҳаи мавҷудаи Quartus Prime. Устод аз шумо хоҳиш мекунад, ки дастгоҳро муайян кунед. Дар нармафзори Intel Quartus Prime Standard Edition, дар Каталоги IP (Tools IP Catalog) оилаи дастгоҳи мавриди ҳадаф Arria 10 -ро интихоб кунед.
  2. Дар Каталоги IP, 50G Ethernet-ро ҷойгир кунед ва интихоб кунед. Равзанаи New Variation IP пайдо мешавад.
  3. Барои варианти IP-и худ номи сатҳи болоро муайян кунед ва OK-ро пахш кунед. Муҳаррири параметр сатҳи болоии .qsys (дар Intel Quartus Prime Standard Edition) ё .ip (дар Intel Quartus Prime Pro Edition) илова мекунад. file ба лоиҳаи ҷорӣ ба таври худкор. Агар аз шумо хоҳиш карда шавад, ки .qsys ё .ip -ро дастӣ илова кунед file ба лоиҳа, клик кунед Лоиҳа ➤ Илова/Нест кардан Files дар Лоиҳа барои илова кардани file.
  4. Дар нармафзори Intel Quartus Prime Standard Edition, шумо бояд як дастгоҳи мушаххаси Arria 10-ро дар майдони Дастгоҳ интихоб кунед ё дастгоҳи пешфарзро, ки нармафзори Quartus Prime пешниҳод мекунад, нигоҳ доред.
    Шарҳ: Тарҳрезии сахтафзор собиқample интихобро бо дастгоҳ дар тахтаи мақсаднок сабт мекунад. Шумо панели ҳадафро аз менюи тарроҳии собиқ муайян мекунедample имконоти дар Example ҷадвали тарҳрезӣ (Қадами 8).
  5. OK-ро пахш кунед. Муҳаррири параметр пайдо мешавад.
  6. Дар ҷадвали IP, параметрҳои варианти асосии IP-и худро муайян кунед.
  7. Дар бораи Exampҷадвали тарроҳӣ, барои мисолample Design Files, имконоти Simulation-ро барои тавлиди тестӣ интихоб кунед ва версияи Синтезро барои тавлиди тарҳи сахтафзор, ки қаблан, интихоб кунедampле. Танҳо Verilog HDL fileс тавлид мешаванд.
    Шарҳ: Як ядрои функсионалии VHDL IP дастрас нест. Барои тарҳи асосии IP-и худ танҳо Verilog HDL-ро муайян кунедampле.
  8. Барои Шӯрои сахтафзор маҷмӯаи рушди якпорчагии сигнали Arria 10 GX Transceiver -ро интихоб кунед.
    Шарҳ: Бо намояндаи Intel FPGA-и худ тамос гиред, то маълумот дар бораи платформае, ки барои иҷрои ин сахтафзор мувофиқ астampле.
  9. Насли Ex-ро клик кунедampтугмаи тарроҳӣ. Интихоби Example Равзанаи Design Directory пайдо мешавад.
  10. Агар шумо хоҳед, ки тарҳро тағир диҳед, собиқample роҳ ё номи директория аз пешфарзҳои намоишшуда (alt_e50_0_example_design), ба роҳи нав паймоиш кунед ва тарҳи навро чоп кунедampноми директория (ample_dir>).
  11. OK-ро пахш кунед.
  12. Ба Ҷавоби KDB муроҷиат кунед. Чӣ тавр ман ҷиттери пай дар пайи PLL ё роҳи соатҳои ҷудонашавандаро барои соати истинод ба Arria 10 PLL ҷуброн мекунам? барои ҳалли мушкилот шумо бояд дар феҳристи hardware_test_design дар .sdc муроҷиат кунед file.

Шарҳ: Шумо бояд ба ин ҷавоби KDB муроҷиат кунед, зеро роҳи RX дар 50GbE IP-и PLL-ҳои каскадиро дар бар мегирад. Аз ин рӯ, соатҳои асосии IP метавонанд дар дастгоҳҳои Arria 10 ҷиттери иловагӣ эҳсос кунанд. Ин Ҷавоби KDB версияҳои нармафзорро, ки дар онҳо роҳи ҳал зарур аст, равшан мекунад.

Маълумоти марбут
Ҷавоби KDB: Чӣ тавр ман ҷиттери каскади PLL ё роҳи соатҳои ҷудонашавандаро барои соати истинод ба Arria 10 PLL ҷуброн мекунам?

Моделсозии 50GbE Design Example Testbench

Расми 7. ТартибIntel-50G-Ethernet-Дизайн-Example-FIG-7

Барои тақлид кардани панели тестӣ ин қадамҳоро иҷро кунед

  1. Ба феҳристи симулятсияи testbench тағир диҳедample_dir>/ собиқample_testbench.
  2. Скрипти симулятсияро барои симулятори дастгирӣшавандаи интихобкардаатон иҷро кунед. Скрипт testbench-ро дар симулятор тартиб медиҳад ва идора мекунад. Ба ҷадвали "Қадамҳо барои симулятсияи Testbench" муроҷиат кунед.
  3. Натиҷаҳоро таҳлил кунед. Testbench бомуваффақият даҳ баста мефиристад, даҳ бастаро қабул мекунад ва "Testbench анҷом ёфт" -ро нишон медиҳад.

Љадвали 3. Қадамҳо барои Simulate Testbench

Симулятор Дастурҳо
Модели сим Дар сатри фармон, ворид кунед vsim -do run_vsim.do

Агар шумо бе эҷоди GUI ModelSim тақлид кардан мехоҳед, ворид кунед vsim -c -do run_vsim.do

Шарҳ: Симулятори ModelSim* - Intel FPGA Edition қобилияти тақлид кардани ин ядрои IP-ро надорад. Шумо бояд симулятори дигари дастгирӣшавандаи ModelSim, ба монанди ModelSim SE, истифода баред.

NCSim Дар сатри фармон, sh run_ncsim.sh -ро нависед
VCS Дар сатри фармон, sh run_vcs.sh -ро нависед
Xcelium Дар сатри фармон, sh run_xcelium.sh -ро нависед

Иҷрои бомуваффақияти санҷиш натиҷаеро нишон медиҳад, ки рафтори зеринро тасдиқ мекунад

  1. Мунтазири танзим шудани соати RX
  2. Ҳолати PHY чоп карда мешавад
  3. Интиқоли 10 баста
  4. Гирифтани 10 баста
  5. Намоиши "Testbench пурра."

Сample баромади бомуваффақияти санҷиши моделиронӣ нишон медиҳад

  • Соат #Ref дар 625 МГс кор мекунад, бинобар ин рақамҳои пурра метавонанд барои тамоми давраҳои соат истифода шаванд.
  • # Барои ба даст овардани басомадҳои воқеии соат басомадҳои гузоришшударо ба 33/32 зарб кунед.
  • #Интизории ҳамоҳангсозии RX
  • #RX deskew баста шудааст
  • Ҳамоҳангсозии хатти #RX қулф шудааст
  • #TX фаъол шуд
  • #**Иристодани бастаи 1…
  • #**Иристодани бастаи 2…
  • #**Иристодани бастаи 3…
  • #**Иристодани бастаи 4…
  • #**Иристодани бастаи 5…
  • #**Иристодани бастаи 6…
  • #**Иристодани бастаи 7…
  • #**Бастаи 1 гирифта шуд…
  • #**Иристодани бастаи 8…
  • #**Бастаи 2 гирифта шуд…
  • #**Иристодани бастаи 9…
  • #**Бастаи 3 гирифта шуд…
  • #**Иристодани бастаи 10…
  • #**Бастаи 4 гирифта шуд…
  • #**Бастаи 5 гирифта шуд…
  • #**Бастаи 6 гирифта шуд…
  • #**Бастаи 7 гирифта шуд…
  • #**Бастаи 8 гирифта шуд…
  • #**Бастаи 9 гирифта шуд…
  • #**Бастаи 10 гирифта шуд…
  • #**
  • #** Санҷиш ба итмом расид.
  • #**
  • #****************************************

Тартиб додан ва танзим кардани тарроҳии Example дар сахтафзор

Барои тартиб додани тарҳи сахтафзор собиқample ва онро дар дастгоҳи Arria 10 GT-и худ танзим кунед, ин қадамҳоро иҷро кунед

  1. Боварӣ ҳосил кунед, ки тарроҳии сахтафзор собиқampнасли le пурра аст.
  2. Дар нармафзори Intel Quartus Prime лоиҳаи Intel Quartus Prime -ро кушоедample_dir>/hardware_test_design/eth_ex_50g.qpf.
  3. Пеш аз тартиб додан, боварӣ ҳосил кунед, ки шумо роҳи ҳалли худро аз Ҷавоби KDB иҷро кардаед. Чӣ тавр ман ҷиттери каскади PLL ё масири соатҳои ҷудонашавандаро барои соати истинод ба Arria 10 PLL ҷуброн мекунам? агар барои нашри нармафзори шумо мувофиқ бошад.
  4. Дар менюи коркард, Оғоз кардани тартибро клик кунед.
  5. Пас аз тавлиди объекти SRAM file .sof, ин қадамҳоро иҷро кунед, то тарҳрезии сахтафзорро барномарезӣ кунедample дар дастгоҳи Arria 10:
  • Дар менюи Tools, Барномасозро клик кунед.
  • Дар Барномасоз, Танзимоти сахтафзорро клик кунед.
  • Дастгоҳи барномасозиро интихоб кунед.
  • Тахтаи Arria 10 GT-ро бо ретимери 25G ба сессияи Intel Quartus Prime интихоб кунед ва илова кунед.
  • Боварӣ ҳосил кунед, ки режим ба J муқаррар карда шудаастTAG.
  • Дастгоҳи Arria 10-ро интихоб кунед ва Иловаи дастгоҳро пахш кунед. Барномасоз диаграммаи блоки пайвастҳои байни дастгоҳҳоро дар тахтаи шумо нишон медиҳад.
  • Дар саф бо .sof-и худ, қуттии .sof-ро тафтиш кунед.
  • Қуттии сутуни Барнома/Танзимотро санҷед.
  • Оғозро пахш кунед

Шарҳ: Ин тарҳ, собиқample ба дастгоҳи Arria 10 GT нигаронида шудааст. Лутфан бо намояндаи Intel FPGA-и худ дар тамос шавед, то дар бораи платформае, ки барои иҷрои ин сахтафзор мувофиқ аст, пурседample

Маълумоти марбут

  • Ҷавоби KDB: Чӣ тавр ман ҷиттери каскади PLL ё роҳи соати ғайримуқаррариро барои соати истинод ба Arria 10 PLL ҷуброн мекунам?
  • Маҷмӯаи афзоянда барои тарҳрезии иерархӣ ва ба гурӯҳ асосёфта
  • Барномасозии дастгоҳҳои Intel FPGA

Озмоиши тарҳи сахтафзори 50GbE Example

Пас аз тартиб додани тарҳи асосии IP 50GbE, собиқample ва онро дар дастгоҳи Arria 10 GT танзим кунед, шумо метавонед Консоли Системаро барои барномарезии асосии IP ва регистрҳои аслии Native PHY IP истифода баред. Барои фаъол кардани консоли система ва санҷидани тарҳи сахтафзор собиқample, ин қадамҳоро иҷро кунед:

  1. Пас аз тарҳрезии сахтафзор собиқample дар дастгоҳи Arria 10 танзим шудааст, дар нармафзори Intel Quartus Prime, дар менюи Асбобҳо, Асбобҳои ислоҳи система ➤ Консоли системаро клик кунед.
  2. Дар панели Tcl Console, cd hwtest -ро нависед, то директорияро ба он тағир диҳедample_dir>/hardware_test_design/hwtest.
  3. Барои кушодани пайвастшавӣ ба J. source main.tcl -ро нависедTAG устод.

Шумо метавонед асосии IP-ро бо тарҳи зерини собиқ барномарезӣ кунедampфармон медиҳад

  • chkphy_status: Басомадҳои соат ва ҳолати қулфи PHY-ро нишон медиҳад.
  • start_pkt_gen: Генератори бастаҳоро оғоз мекунад.
  • stop_pkt_gen: Генератори бастаҳоро қатъ мекунад.
  • loop_on: Бозгашти силсилавии дохилиро фаъол мекунад
  • loop_off: Бозгашти силсилавии дохилиро хомӯш мекунад.
  • reg_read : Арзиши реестри асосии IP-ро дар бар мегардонад .
  • reg_write : менависад ба феҳристи асосии IP дар суроға .

Маълумоти марбут

  • 50GbE Design Example Реестрҳо дар саҳифаи 13 Харитаи бақайдгирӣ барои тарҳрезии сахтафзор собиқampле.
  • Таҳлил ва ислоҳи тарҳҳо бо консоли система

Дизайн Example Тавсифи

Дизайн собиқample функсияҳои асосии 50GbE-ро бо интерфейси интиқолдиҳанда, ки бо мушаххасоти стандартии IEEE 802.3ba CAUI-4 мувофиқ аст, нишон медиҳад. Шумо метавонед тарроҳиро аз Example Дизайн ҷадвали дар муҳаррири параметри 50GbE. Барои тавлиди тарҳрезии собиқample, шумо бояд аввал арзишҳои параметрро барои варианти асосии IP, ки шумо мехоҳед дар маҳсулоти ниҳоии худ тавлид кунед, муқаррар кунед. Эҷоди тарҳрезии собиқample нусхаи асосии IP эҷод мекунад; тарҳи testbench ва сахтафзор собиқampин вариантро ҳамчун DUT истифода баред. Агар шумо арзишҳои параметрро барои DUT барои мувофиқат бо арзишҳои параметр дар маҳсулоти ниҳоии худ муқаррар накунед, тарроҳии пешample шумо тавлид мекунед, варианти асосии IP-ро, ки шумо ният доред, иҷро намекунад.

Шарҳ: Testbench санҷиши асосии IP-ро нишон медиҳад. Он барои иваз кардани муҳити пурраи санҷиш пешбинӣ нашудааст. Шумо бояд тафтиши васеътари тарҳи 50GbE-и худро дар симулятсия ва сахтафзор анҷом диҳед.

Маълумоти марбут
Дастури корбар Intel Arria® 10 50Gbps Ethernet IP Core

Дизайн Exampрафтор
Testbench трафикро тавассути ядрои IP мефиристад, ки тарафи интиқол ва қабули ядрои IP-ро амалӣ мекунад. Дар тарҳрезии сахтафзор собиқample, шумо метавонед ядрои IP-ро дар реҷаи бозгашти силсилавии дохилӣ барномарезӣ кунед ва трафикро дар тарафи интиқол, ки тавассути тарафи қабул бармегардад, тавлид кунед.

Дизайн Example сигналҳои интерфейс
Testbench 50GbE мустақил аст ва аз шумо рондани ягон сигнали вурудро талаб намекунад.

Љадвали 4. 50GbE Hardware Design Example сигналҳои интерфейс

Сигнал Самт Шарҳҳо
 

clk50

 

Вуруд

Дар 50 МГс ҳаракат кунед. Мақсад ин аст, ки онро аз осциллятори 50 МГс дар тахта идора кунад.
clk_ref Вуруд Бо суръати 644.53125 МГс.
 

cpu_resetn

 

Вуруд

Асоси IP-ро аз нав барқарор мекунад. Кам фаъол. Csr_reset_n аз нав танзимкунии глобалии сахтро ба ядрои IP меронад.
идома дод…

Корпоратсияи Intel. Ҳамаи ҳуқуқ маҳфуз аст. Intel, логотипи Intel ва дигар тамғаҳои Intel тамғаҳои тиҷоратии Intel Corporation ё филиалҳои он мебошанд. Intel иҷрои маҳсулоти FPGA ва нимноқилҳои худро мувофиқи мушаххасоти ҷорӣ мувофиқи кафолати стандартии Intel кафолат медиҳад, аммо ҳуқуқ дорад, ки дар вақти дилхоҳ бидуни огоҳӣ ба ҳама гуна маҳсулот ва хидматҳо тағйирот ворид кунад. Intel ҳеҷ гуна масъулият ё масъулиятеро, ки аз барнома ё истифодаи ҳама гуна маълумот, маҳсулот ё хидмати дар ин ҷо тавсифшуда бармеояд, ба дӯш намегирад, ба истиснои ҳолатҳое, ки Intel дар шакли хаттӣ розӣ шудааст. Ба муштариёни Intel тавсия дода мешавад, ки пеш аз такя ба ҳама гуна маълумоти нашршуда ва пеш аз фармоиш барои маҳсулот ё хидматҳо версияи охирини мушаххасоти дастгоҳро дастрас кунанд. * Дигар номҳо ва брендҳо метавонанд ҳамчун моликияти дигарон даъво карда шаванд.

Сигнал Самт Шарҳҳо
tx_serial[1:0] Натиҷа Маълумоти силсилавии баромади интиқолдиҳандаи PHY.
rx_serial[1:0] Вуруд Маълумоти силсилавии вуруди интиқолдиҳандаи PHY.
 

 

 

 

 

 

user_led[7:0]

 

 

 

 

 

 

 

Натиҷа

Сигналҳои ҳолати. Тарҳрезии сахтафзор собиқample ин битҳоро барои рондани LEDs дар тахтаи ҳадаф пайваст мекунад. Битҳои инфиродӣ арзишҳои сигнал ва рафтори соати зеринро инъикос мекунанд:

• [0]: Сигнал аз нав танзимкунии асосӣ ба IP

• [1]: Нусхаи тақсимшудаи clk_ref

• [2]: Нусхаи тақсимшудаи clk50

• [3]: Версияи тақсимшудаи соати ҳолати 100 МГс

• [4]: ​​tx_lanes_stable

• [5]: rx_block_lock

• [6]: rx_am_lock

• [7]: rx_pcs_ready

Маълумоти марбут
Интерфейсҳо ва Тавсифи сигнал Тавсифи муфассали сигналҳои асосии IP 50GbE ва интерфейсҳоеро, ки ба онҳо тааллуқ доранд, пешкаш мекунад.

50GbE Design Example Реестрҳо

Љадвали 5. 50GbE Hardware Design ExampХаритаи бақайдгирӣ
Диапазони реестри хотираро барои тарҳрезии сахтафзор номбар мекунадampле. Шумо ба ин регистрҳо бо функсияҳои reg_read ва reg_write дар Консоли Система дастрасӣ пайдо мекунед.

Офсети калима Категорияро ба қайд гиред
0x300–0x5FF Сабтҳои асосии IP 50GbE.
0x4000–0x4C00 Arria 10 регистрҳои реконфигуратсияи динамикӣ. Суроғаи асосии бақайдгирӣ 0x4000 барои хатти 0 ва 0x4400 барои хатти 1 мебошад.

Маълумоти марбут

  • Озмоиши тарҳи сахтафзори 50GbE Example дар саҳифаи 11 Фармонҳои Console System барои дастрасӣ ба регистрҳои асосии IP ва Native PHY.
  • Тавсифи феҳристи назорат ва ҳолати 50GbE регистрҳои асосии 50GbE IP-ро тавсиф мекунад.

Таърихи таҷдиди ҳуҷҷат

Љадвали 6. 50G Ethernet Design Example Таърихи бознигарии дастури корбар

Сана Озод кардан Тағйирот
2019.04.03 17.0 Фармон барои иҷро кардани симулятсияҳои Xcelium илова карда шуд.
 

 

 

2017.11.08

 

 

 

17.0

Истиноди иловашуда ба ҷавоби KDB, ки ҳалли ҷиддии эҳтимолиро дар дастгоҳҳои Intel Arria® 10 аз сабаби каскади ATX PLL-ҳо дар ядрои IP таъмин мекунад.

Муроҷиат кунед Таҳияи тарҳрезӣ Example дар саҳифаи 7 ва Тартиб додан ва Танзими тарҳрезии Example дар сахтафзор дар саҳифаи 10.

Ин тарҳ, собиқample дастури корбар барои инъикос навсозӣ нашудааст

Шарҳ: тағйироти ночиз дар тавлиди тарроҳӣ дар нашрҳои Intel Quartus Prime дертар аз нашри нармафзори Intel Quartus Prime

v17.0.

2017.05.08 17.0 Нашри оммавии ибтидоӣ.

Корпоратсияи Intel. Ҳамаи ҳуқуқ маҳфуз аст. Intel, логотипи Intel ва дигар тамғаҳои Intel тамғаҳои тиҷоратии Intel Corporation ё филиалҳои он мебошанд. Intel иҷрои маҳсулоти FPGA ва нимноқилҳои худро мувофиқи мушаххасоти ҷорӣ мувофиқи кафолати стандартии Intel кафолат медиҳад, аммо ҳуқуқ дорад дар вақти дилхоҳ бидуни огоҳӣ ба ҳама гуна маҳсулот ва хидматҳо тағйирот ворид кунад. Intel ҳеҷ гуна масъулият ё масъулиятеро, ки аз барнома ё истифодаи ҳама гуна маълумот, маҳсулот ё хидмати дар ин ҷо тавсифшуда бармеояд, ба дӯш намегирад, ба истиснои ҳолатҳое, ки Intel дар шакли хаттӣ розӣ шудааст. Ба муштариёни Intel тавсия дода мешавад, ки пеш аз такя ба ҳама гуна маълумоти нашршуда ва пеш аз фармоиш барои маҳсулот ё хидматҳо версияи охирини мушаххасоти дастгоҳро дастрас кунанд. * Дигар номҳо ва брендҳо метавонанд ҳамчун моликияти дигарон даъво карда шаванд.

Ҳуҷҷатҳо / Сарчашмаҳо

Intel 50G Ethernet Design Example [pdf] Дастури корбар
50G Ethernet Design Example, 50G, Ethernet Design Example, Design Example

Иқтибосҳо

Назари худро гузоред

Суроғаи почтаи электронии шумо нашр намешавад. Майдонҳои зарурӣ қайд карда шудаанд *