intel 50G Ethernet Дизайн Example
50GbE Quick Start Guide
50GbE IP өзөгү симуляциялык тестирлөө жана аппараттык дизайнды камсыз кылатampкомпиляцияны жана аппараттык тестирлөөнү колдойт. Сиз дизайн эксample, параметр редактору автоматтык түрдө түзөт files моделдөө, компиляция жана жабдыкта дизайнды сыноо үчүн зарыл. Сиз Arria 10 GT түзмөгүнө түзүлгөн аппараттык дизайнды жүктөп алсаңыз болот.
Эскертүү: Бул дизайн эксample Arria 10 GT түзмөгүнө багытталган жана 25G ретаймерди талап кылат. Сураныч, бул жабдыкты иштетүүгө ылайыктуу платформаны билүү үчүн Intel FPGA өкүлүңүз менен байланышыңызample. Кээ бир учурларда, тиешелүү аппараттык насыя болушу мүмкүн. Мындан тышкары, Intel компиляцияны гана камсыз кылатampIP негизги аянтын жана убактысын тез баалоо үчүн колдоно турган долбоор.
Сүрөт 1. Дизайн Example Use
Intel корпорациясы. Бардык укуктар корголгон. Intel, Intel логотиби жана башка Intel белгилери Intel корпорациясынын же анын туунду компанияларынын соода белгилери болуп саналат. Intel өзүнүн FPGA жана жарым өткөргүч өнүмдөрүн Intelдин стандарттык гарантиясына ылайык учурдагы спецификацияларга кепилдик берет, бирок каалаган убакта эскертүүсүз каалаган өнүмгө жана кызматтарга өзгөртүү киргизүү укугун өзүнө калтырат. Intel бул жерде сүрөттөлгөн кандайдыр бир маалыматты, продуктуну же кызматты колдонуудан же колдонуудан келип чыккан эч кандай жоопкерчиликти же жоопкерчиликти өзүнө албайт, Intel тарабынан жазуу жүзүндө ачык макулдашылгандан башка учурларда. Intel кардарларына жарыяланган маалыматка таянардан мурун жана өнүмдөр же кызматтарга буйрутма берүүдөн мурун түзмөктүн спецификацияларынын акыркы версиясын алуу сунушталат. *Башка ысымдар жана бренддер башкалардын менчиги катары талап кылынышы мүмкүн.
Дизайн Example Directory структурасы
Сүрөт 2. 50GbE Дизайн Example Directory структурасы
Аппараттык конфигурация жана сыноо files (аппараттык дизайн, мисampле) жайгашканample_dir>/hardware_test_design. симуляция files (симуляция үчүн гана тест) жайгашканample_dir>/ example_testbench.Түзүү үчүн гана дизайн эксampле жайгашканample_dir>/compilation_test_design.
Симуляциялык дизайн Example Components
Сүрөт 3. 50GbE Simulation Design Example Block Diagram
Симуляция эксample design жогорку деңгээлдеги тест file is basic_avl_tb_top.sv Бул file ATX PLLди туташтырат жана туташтырат. Ал 50 пакетти жөнөтүү жана кабыл алуу үчүн send_packets_10g_avl тапшырмасын камтыйт.
Таблица 1. 50GbE IP Core Testbench File Сүрөттөмөлөр
File аты | Description |
Testbench жана симуляция Files | |
basic_avl_tb_top.sv | Жогорку деңгээлдеги тесттик стол file. Testbench DUTди ишке киргизет жана пакеттерди түзүү жана кабыл алуу үчүн Verilog HDL тапшырмаларын аткарат. |
Testbench скрипттери | |
run_vsim.do | Testbench иштетүү үчүн ModelSim сценарийи. |
run_vcs.sh | Testbench иштетүү үчүн Synopsys VCS скрипти. |
run_ncsim.sh | Testbench иштетүү үчүн Cadence NCSim сценарийи. |
run_xcelium.sh | Testbench иштетүү үчүн Cadence Xcelium* скрипти. |
rdware Design Example Components
Сүрөт 4. 50GbE Аппараттык камсыздоо Дизайн Example Жогорку деңгээлдеги блок диаграммасы
50GbE аппараттык дизайн эксample төмөнкү компоненттерди камтыйт
- 50GbE IP өзөгү.
- IP өзөгүн жана пакетти генерациялоону программалоону координациялаган кардар логикасы.
- ATX PLL аппараттын трансивер каналдарын айдайт.
- IOPLL 100 МГц киргизүү саатынан аппараттык дизайнга чейин 50 МГц саатты түзүү үчүнample.
- JTAG Системанын консолу менен байланышуучу контроллер. Системанын консолу аркылуу кардар логикасы менен байланышасыз.
Таблица 2. 50GbE IP Негизги Аппараттык Дизайн Example File Сүрөттөмөлөр
File Аты-жөнү | Description |
eth_ex_50g.qpf | Quartus Prime долбоору file |
eth_ex_50g.qsf | Quartus долбоорунун орнотуулары file |
eth_ex_50g.sdc | Synopsys Дизайн чектөөлөрү file. Сиз муну көчүрүп, өзгөртө аласыз file өзүңүздүн 50GbE дизайныңыз үчүн. |
уланды… |
50GbE Quick Start Guide
File Аты-жөнү | Description |
eth_ex_50g.v | Жогорку деңгээлдеги Verilog HDL дизайн эксample file |
жалпы/ | Аппараттык дизайн эксample колдоо files |
hwtest/main.tcl | Негизги file Системанын консолуна кирүү үчүн |
Дизайнды түзүү Example
Сүрөт 5. Процедура
Сүрөт 6. Мисample Design Tab 50GbE Параметр редакторунда
Аппараттык дизайнды түзүү үчүн бул кадамдарды аткарыңызample and testbench
- Intel Quartus® Prime Pro Edition программасын же Intel Quartus Prime Standard Edition программасын колдонуп жатканыңызга жараша, төмөнкү аракеттердин бирин аткарыңыз: Intel Quartus Prime Pro Edition программасында чыкылдатыңыз File ➤ New Project Wizard жаңы Quartus Prime долбоорун түзүү үчүн, же File ➤ Учурдагы Quartus Prime долбоорун ачуу үчүн Долбоорду ачыңыз. Устат сизден аспапты көрсөтүүнү сунуштайт. Intel Quartus Prime Standard Edition программасында, IP каталогунда (Tools IP Catalog) Arria 10 максаттуу түзмөк үй-бүлөсүн тандаңыз.
- IP каталогунан 50G Ethernetти таап, тандаңыз. Жаңы IP Variation терезеси пайда болот.
- IP вариацияңыз үчүн жогорку деңгээлдеги атын көрсөтүңүз жана OK басыңыз. Параметр редактору жогорку деңгээлдеги .qsys (Intel Quartus Prime Standard Edition) же .ip (Intel Quartus Prime Pro Edition) кошот. file учурдагы долбоорго автоматтык түрдө. Эгер сизден кол менен .qsys же .ip кошуу сунушталса file долбоорго, Долбоор ➤ Кошуу/Өчүрүү дегенди басыңыз Fileкошуу үчүн Долбоордо с file.
- Intel Quartus Prime Standard Edition программасында "Түзмөк" талаасында белгилүү бир Arria 10 түзмөгүн тандашыңыз керек же Quartus Prime программасы сунуштаган демейки түзмөктү сакташыңыз керек.
Эскертүү: Аппараттык дизайн эксample максаттуу тактадагы аппарат менен тандоонун үстүнөн жазат. Сиз дизайн экс менюсунан максаттуу тактаны белгилейсизample параметрлери Example Дизайн өтмөгү (8-кадам). - OK басыңыз. Параметр редактору пайда болот.
- IP өтмөгүндө IP негизги вариацияңыздын параметрлерин көрсөтүңүз.
- Экс боюнчаample Дизайн өтмөгү, мисалыample Design Files, тесттик столду түзүү үчүн Simulation опциясын тандаңыз жана аппараттык дизайнды түзүү үчүн Синтез опциясын тандаңыз.ample. Бир гана Verilog HDL fileлар түзүлөт.
Эскертүү: Функционалдуу VHDL IP өзөгү жеткиликтүү эмес. IP негизги дизайныңыз үчүн Verilog HDL гана көрсөтүңүзample. - Аппараттык кеңеш үчүн Arria 10 GX Transceiver Signal Integrity Development Kit тандаңыз.
Эскертүү: Бул жабдыкты иштетүүгө ылайыктуу платформа тууралуу маалымат алуу үчүн Intel FPGA өкүлү менен байланышыңызample. - Ex Generate дегенди басыңызample Дизайн баскычы. Select Example Design Directory терезеси пайда болот.
- Эгерде сиз дизайнды өзгөрткүңүз келсе, мурункуampКөрсөтүлгөн демейкилерден каталогдун жолу же аталышы (alt_e50_0_example_design), жаңы жолду карап чыгып, жаңы дизайн эксampкаталогдун аты (ample_dir>).
- OK басыңыз.
- KDB Жообуна кайрылыңыз. Arria 10 PLL маалымдама сааты үчүн PLL каскаддык же атайын эмес саат жолунун життерин кантип компенсациялай алам? убактылуу чечүү үчүн .sdc ичиндеги hardware_test_design каталогуна кайрылышыңыз керек file.
Эскертүү: Сиз бул KDB жообуна кайрылышыңыз керек, анткени 50GbE IP өзөгүндөгү RX жолу каскаддык PLLлерди камтыйт. Ошондуктан, IP негизги сааттары Arria 10 түзмөктөрүндө кошумча життерди пайда кылышы мүмкүн. Бул KDB жообу убактылуу чечүү зарыл болгон программалык релиздерди түшүндүрөт.
Тиешелүү маалымат
KDB Жооп: Мен Arria 10 PLL маалымдама сааты үчүн PLL каскаддык же атайын эмес саат жолунун жыттуулугун кантип компенсациялай алам?
50GbE Дизайнды имитациялоо Example Testbench
Сүрөт 7. Процедура
Testbench'ти имитациялоо үчүн бул кадамдарды аткарыңыз
- Testbench симуляция каталогуна өзгөртүүample_dir>/ example_testbench.
- Сиз тандаган колдоого алынган симулятор үчүн симуляция скриптин иштетиңиз. Скрипт симулятордо тестирлөө системасын түзөт жана иштетет. Таблицага кайрылыңыз "Testbenchти окшоштуруунун кадамдары".
- Натыйжаларды талдоо. Ийгиликтүү testbench он пакетти жөнөтөт, он пакетти кабыл алат жана "Testbench бүттү" көрсөтөт.
Таблица 3. Testbenchти окшоштуруунун кадамдары
Симулятор | Instructions |
ModelSim | Буйрук сабында vsim -do run_vsim.do териңиз
Эгерде сиз ModelSim GUIди ачпай эле окшоштурууну кааласаңыз, vsim -c -do run_vsim.do териңиз. Эскертүү: ModelSim* – Intel FPGA Edition симуляторунун бул IP өзөгүн окшоштурууга мүмкүнчүлүгү жок. Сиз ModelSim SE сыяктуу башка колдоого алынган ModelSim симуляторун колдонушуңуз керек. |
NCSim | Буйрук сабында sh run_ncsim.sh териңиз |
VCS | Буйрук сабында sh run_vcs.sh деп териңиз |
Xcelium | Буйрук сабында sh run_xcelium.sh териңиз |
Ийгиликтүү тестирлөө төмөнкү жүрүм-турумду тастыктаган жыйынтыкты көрсөтөт
- RX саатынын жөнгө салынышын күтүүдө
- PHY статусун басып чыгаруу
- 10 пакет жөнөтүү
- 10 пакет алуу
- "Testbench бүттү" көрсөтүлүүдө.
Төмөнкү сample чыгаруу ийгиликтүү симуляциялык сыноону көрсөтөт
- #Ref сааты 625 МГц ылдамдыгында иштейт, андыктан бүтүн сандар бардык саат мезгилдеринде колдонулушу мүмкүн.
- # Чыныгы сааттык жыштыктарды алуу үчүн кабарланган жыштыктарды 33/32ге көбөйтүңүз.
- #RX тегиздөөсүн күтүүдө
- #RX deskew кулпуланган
- #RX тилкесин тегиздөө кулпуланган
- #TX иштетилди
- #**Пакет 1 жөнөтүлүүдө…
- #**Пакет 2 жөнөтүлүүдө…
- #**Пакет 3 жөнөтүлүүдө…
- #**Пакет 4 жөнөтүлүүдө…
- #**Пакет 5 жөнөтүлүүдө…
- #**Пакет 6 жөнөтүлүүдө…
- #**Пакет 7 жөнөтүлүүдө…
- #**Пакет 1 алынды…
- #**Пакет 8 жөнөтүлүүдө…
- #**Пакет 2 алынды…
- #**Пакет 9 жөнөтүлүүдө…
- #**Пакет 3 алынды…
- #**Пакет 10 жөнөтүлүүдө…
- #**Пакет 4 алынды…
- #**Пакет 5 алынды…
- #**Пакет 6 алынды…
- #**Пакет 7 алынды…
- #**Пакет 8 алынды…
- #**Пакет 9 алынды…
- #**Пакет 10 алынды…
- #**
- #** Сыноочу стол бүттү.
- #**
- #****************************************
Дизайнды түзүү жана конфигурациялоо Example in Аппараттык
Аппараттык дизайнды түзүү үчүн эксample жана аны Arria 10 GT түзмөгүңүздө конфигурациялаңыз, бул кадамдарды аткарыңыз
- Аппараттык камсыздоонун дизайнын камсыз кылуу эксampле муун бүттү.
- Intel Quartus Prime программасында Intel Quartus Prime долбоорун ачыңызample_dir>/hardware_test_design/eth_ex_50g.qpf.
- Компиляциялоодон мурун, KDB Жоопунан убактылуу чечимди ишке ашырганыңызды текшериңиз. Arria 10 PLL маалымдама сааты үчүн PLL каскаддык же атайын эмес саат жолунун жыттуулугун кантип компенсациялайм? сиздин программалык камсыздооңуздун релизине тиешелүү болсо.
- Иштетүү менюсунда Компиляцияны баштоону басыңыз.
- SRAM объектисин жараткандан кийин file .sof, аппараттык дизайнды программалоо үчүн бул кадамдарды аткарыңызampArria 10 түзмөгүндө:
- Куралдар менюсунан Программист чыкылдатыңыз.
- Программистте, Hardware Setup чыкылдатыңыз.
- Программалоочу түзүлүштү тандаңыз.
- Intel Quartus Prime сессияңызга 10G ретаймери бар Arria 25 GT тактасын тандап, кошуңуз.
- Mode J деп коюлганын текшериңизTAG.
- Arria 10 аппаратты тандап, Түзмөк кошуу чыкылдатыңыз. Программист тактаңыздагы түзмөктөрдүн ортосундагы байланыштардын блок диаграммасын көрсөтөт.
- Сиздин .sof менен катарда .sof үчүн кутучаны белгилеңиз.
- Программа/Конфигурация тилкесиндеги кутучаны белгилеңиз.
- Start Click
Эскертүү: Бул дизайн эксample Arria 10 GT түзмөгүнө багытталган. Сураныч, бул жабдыкты иштетүүгө ылайыктуу платформаны билүү үчүн Intel FPGA өкүлүңүз менен байланышыңызample
Тиешелүү маалымат
- KDB Жооп: Arria 10 PLL маалымдама сааты үчүн PLL каскаддык же атайын эмес саат жолунун життерин кантип компенсациялай алам?
- Иерархиялык жана командалык дизайн үчүн кошумча компиляция
- Intel FPGA түзмөктөрүн программалоо
50GbE жабдык дизайнын сынап көрүү Example
50GbE IP негизги дизайнын түзгөндөн кийин, эксample жана аны Arria 10 GT түзмөгүңүздө конфигурациялаңыз, сиз IP өзөгүн жана анын камтылган Native PHY IP негизги регистрлерин программалоо үчүн Системалык Консолду колдоно аласыз. Системанын консолун күйгүзүү жана жабдык дизайнын сынап көрүү үчүнample, бул кадамдарды аткарыңыз:
- Аппараттык дизайндан кийин эксample Arria 10 түзмөгүндө конфигурацияланган, Intel Quartus Prime программасында, Куралдар менюсунан Системанын мүчүлүштүктөрүн оңдоо куралдары ➤ Системанын консолу дегенди басыңыз.
- Tcl Console панелинде каталогду өзгөртүү үчүн cd hwtest териңизample_dir>/hardware_test_design/hwtest.
- J менен туташууну ачуу үчүн булак main.tcl териңизTAG агай.
Сиз IP өзөгүн төмөнкү дизайн менен программалай аласызample буйруктары
- chkphy_status: Сааттын жыштыктарын жана PHY кулпусунун абалын көрсөтөт.
- start_pkt_gen: Пакет генераторун иштетет.
- stop_pkt_gen: Пакет генераторун токтотот.
- loop_on: Ички сериялык циклди күйгүзөт
- loop_off: Ички сериялык циклди өчүрөт.
- reg_read : IP негизги реестр маанисин кайтарат .
- reg_write : жазат дареги боюнча IP негизги реестрине .
Тиешелүү маалымат
- 50GbE Дизайн Example Регистрлер 13-бетте Аппараттык камсыздоонун дизайны үчүн регистр картасы эксample.
- Системалык консол менен дизайнды талдоо жана мүчүлүштүктөрдү оңдоо
Дизайн Example Description
Дизайн эксample IEEE 50ba стандарттуу CAUI-802.3 спецификациясына ылайык келген трансивер интерфейси менен 4GbE өзөктүн функцияларын көрсөтөт. Сиз дизайнды Example Дизайн өтмөгү 50GbE параметр редакторунда. Дизайнды түзүү үчүн эксample, сиз адегенде акыркы продуктуңузда түзүүгө ниеттенген IP негизги вариациясынын параметр маанилерин коюшуңуз керек. Дизайнды түзүү эксample IP ядросунун көчүрмөсүн түзөт; testbench жана аппараттык дизайн эксample бул вариацияны DUT катары колдонуңуз. Эгер сиз акыркы продуктуңуздагы параметр маанилерине дал келүү үчүн DUT үчүн параметр маанилерин койбосоңуз, анда дизайн мурункуample сиз түзгөн IP негизги вариациясын колдонбойт.
Эскертүү: Testbench IP ядросунун негизги тестин көрсөтөт. Бул толук текшерүү чөйрөсүн алмаштыруу үчүн арналган эмес. Сиз симуляцияда жана аппараттык жабдыкта өзүңүздүн 50GbE дизайныңызды кеңири текшерүүдөн өткөрүшүңүз керек.
Тиешелүү маалымат
Intel Arria® 10 50Gbps Ethernet IP Core Колдонуучунун колдонмосу
Дизайн Example Behavior
Testbench IP өзөгү аркылуу трафикти жөнөтүп, IP ядросунун берүү жана кабыл алуу тарабын жүзөгө ашырат. Аппараттык дизайнда эксample, сиз IP өзөгүн ички сериялык кайра артка кайтаруу режиминде программалай аласыз жана кабыл алуу тарабы аркылуу кайра айлануучу өткөрүү тарабында трафикти түзө аласыз.
Дизайн Example Interface Signals
50GbE testbench өзү камтылган жана эч кандай киргизүү сигналдарын айдашыңызды талап кылбайт.
Таблица 4. 50GbE Аппараттык камсыздоо Дизайн Example Interface Signals
Сигнал | Багыт | Комментарийлер |
clk50 |
Киргизүү |
50 МГц ылдамдыкта айдаңыз. Максаты муну тактадагы 50 МГц осциллятордон кууп чыгуу. |
clk_ref | Киргизүү | 644.53125 МГц ылдамдыкта айдаңыз. |
cpu_resetn |
Киргизүү |
IP өзөгүн баштапкы абалга келтирет. Активдүү төмөн. Csr_reset_n глобалдык катуу кайра орнотууну IP өзөгүнө айдайт. |
уланды… |
Intel корпорациясы. Бардык укуктар корголгон. Intel, Intel логотиби жана башка Intel белгилери Intel корпорациясынын же анын туунду компанияларынын соода белгилери болуп саналат. Intel өзүнүн FPGA жана жарым өткөргүч өнүмдөрүн Intelдин стандарттык гарантиясына ылайык учурдагы спецификацияларга кепилдик берет, бирок каалаган убакта эскертүүсүз каалаган өнүмгө жана кызматтарга өзгөртүү киргизүү укугун өзүнө калтырат. Intel бул жерде сүрөттөлгөн кандайдыр бир маалыматты, продуктуну же кызматты колдонуудан же колдонуудан келип чыккан эч кандай жоопкерчиликти же жоопкерчиликти өзүнө албайт, Intel тарабынан жазуу жүзүндө ачык макулдашылгандан башка учурларда. Intel кардарларына ар кандай жарыяланган маалыматка таянардан жана өнүмдөр же кызматтарга буйрутма берүүдөн мурун түзмөктүн спецификацияларынын акыркы версиясын алуу сунушталат. *Башка ысымдар жана бренддер башкалардын менчиги катары талап кылынышы мүмкүн.
Сигнал | Багыт | Комментарийлер |
tx_serial[1:0] | Чыгуу | Transceiver PHY чыгуу сериялык маалыматтар. |
rx_serial[1:0] | Киргизүү | Transceiver PHY киргизүү сериялык дайындары. |
user_led[7:0] |
Чыгуу |
Статус сигналдары. Аппараттык дизайн эксample бул биттерди максаттуу тактадагы светодиоддорду иштетүү үчүн туташтырат. Жеке биттер төмөнкү сигнал баалуулуктарын жана сааттын жүрүм-турумун чагылдырат:
• [0]: IP өзөгүнө баштапкы абалга келтирүү сигналы • [1]: clk_refтин бөлүнгөн версиясы • [2]: clk50нин бөлүнгөн версиясы • [3]: 100 МГц абалынын саатынын бөлүнгөн версиясы • [4]: tx_lanes_stable • [5]: rx_block_lock • [6]: rx_am_lock • [7]: rx_pcs_даяр |
Тиешелүү маалымат
Интерфейстер жана Сигнал сүрөттөмөлөрү 50GbE IP негизги сигналдарынын жана алар таандык болгон интерфейстердин деталдуу сүрөттөмөсүн берет.
50GbE Дизайн Example Registers
Таблица 5. 50GbE Аппараттык камсыздоо Дизайн Example Register Map
Аппараттык дизайн үчүн эстутум картасына түшүрүлгөн регистр диапазондорун тизмелейтample. Сиз бул регистрлерге Системанын консолундагы reg_read жана reg_write функциялары менен киресиз.
Word Offset | Каттоо категориясы |
0x300–0x5FF | 50GbE IP негизги регистрлери. |
0x4000–0x4C00 | Arria 10 динамикалык кайра конфигурациялоо регистрлери. Регистрдин базалык дареги 0-сап үчүн 4000x0 жана 0-линия үчүн 4400x1. |
Тиешелүү маалымат
- 50GbE жабдык дизайнын сынап көрүү Example 11-беттеги Системалык консол IP өзөгүнө жана Native PHY регистрлерине кирүү үчүн буйруктар.
- 50GbE Башкаруу жана Статус Реестринин Сүрөттөмөлөрү 50GbE IP негизги регистрлерин сүрөттөйт.
Документти кайра карап чыгуу тарыхы
Таблица 6. 50G Ethernet Дизайн Example User Guide Revision History
Дата | бошотуу | Өзгөрүүлөр |
2019.04.03 | 17.0 | Xcelium симуляцияларын иштетүү буйругу кошулду. |
2017.11.08 |
17.0 |
IP өзөгүндөгү каскаддык ATX PLL'леринен улам Intel Arria® 10 түзмөктөрүндөгү потенциалдуу життирүүнү чечүүчү KDB Answer шилтемеси кошулду.
кайрылыңыз Дизайнды түзүү Example 7 жана бетте Түзүү жана Дизайнды конфигурациялоо Example in Аппараттык 10-бетте. Бул дизайн эксample колдонуучу колдонмосу чагылдыруу үчүн жаңыртылган эмес Эскертүү: Intel Quartus Prime программалык релизине караганда кийинчерээк Intel Quartus Prime релиздеринде дизайнды түзүүдөгү кичине өзгөрүүлөр v17.0. |
2017.05.08 | 17.0 | Алгачкы коомдук чыгаруу. |
Intel корпорациясы. Бардык укуктар корголгон. Intel, Intel логотиби жана башка Intel белгилери Intel корпорациясынын же анын туунду компанияларынын соода белгилери болуп саналат. Intel өзүнүн FPGA жана жарым өткөргүч өнүмдөрүн Intelдин стандарттык гарантиясына ылайык учурдагы спецификацияларга кепилдик берет, бирок каалаган убакта эскертүүсүз каалаган өнүмгө жана кызматтарга өзгөртүү киргизүү укугун өзүнө калтырат. Intel бул жерде сүрөттөлгөн кандайдыр бир маалыматты, продуктуну же кызматты колдонуудан же колдонуудан келип чыккан эч кандай жоопкерчиликти же жоопкерчиликти өзүнө албайт, Intel тарабынан жазуу жүзүндө ачык макулдашылгандан башка учурларда. Intel кардарларына жарыяланган маалыматка таянардан мурун жана өнүмдөр же кызматтарга буйрутма берүүдөн мурун түзмөктүн спецификацияларынын акыркы версиясын алуу сунушталат. *Башка ысымдар жана бренддер башкалардын менчиги катары талап кылынышы мүмкүн.
Документтер / Ресурстар
![]() |
intel 50G Ethernet Дизайн Example [pdf] Колдонуучунун колдонмосу 50G Ethernet Дизайн Example, 50G, Ethernet Дизайн Example, Design Example |