Intel 50G Ethernet Design Example
Torolàlana fanombohana haingana 50GbE
Ny 50GbE IP core dia manome testbench simulation sy famolavolana fitaovana talohaample izay manohana compilation sy hardware fitiliana. Rehefa mamorona ny drafitra example, ny editor parameter dia mamorona ho azy ny fileIlaina ny manao simulate, manangona ary manandrana ny famolavolana amin'ny hardware. Azonao atao ny misintona ny famolavolana fitaovana natambatra amin'ny fitaovana Arria 10 GT.
Fanamarihana: Ity design example dia mikendry ny fitaovana Arria 10 GT ary mitaky retimer 25G. Azafady mba mifandraisa amin'ny solontenan'ny Intel FPGA anao mba hanontany momba ny sehatra mety hampandehanana an'io fitaovana io talohaample. Amin'ny toe-javatra sasany dia mety hisy fampindramam-bola fitaovana mety. Ankoatra izany, Intel dia manome compilation-only exampNy tetikasa azonao ampiasaina hanombantombanana haingana ny faritra sy ny fotoan'ny IP.
Sary 1. Design Example Usage
Intel Corporation. Zo rehetra voatokana. Ny Intel, ny logo Intel, ary ny marika Intel hafa dia marika famantarana an'ny Intel Corporation na ny sampany. Ny Intel dia manome antoka ny fahombiazan'ny vokatra FPGA sy ny semiconductor amin'ny fepetra ankehitriny mifanaraka amin'ny fiantohana manara-penitra an'ny Intel, saingy manana zo hanova ny vokatra sy serivisy amin'ny fotoana rehetra tsy misy filazana. Tsy mandray andraikitra na andraikitra avy amin'ny fampiharana na fampiasana fampahalalana, vokatra na serivisy voalaza eto ny Intel afa-tsy izay neken'ny Intel an-tsoratra. Manoro hevitra ny mpanjifa Intel mba hahazo ny kinova farany momba ny fanondroana fitaovana alohan'ny hianteherana amin'izay vaovao navoaka sy alohan'ny hametrahana baiko ho an'ny vokatra na serivisy. * Ny anarana sy ny marika hafa dia azo lazaina ho fananan'ny hafa.
Design Example Directory Structure
Sary 2. 50GbE Design Example Directory Structure
Ny fanamafisana ny fitaovana sy ny fitsapana files (ny famolavolana fitaovana example) dia ao amin'nyample_dir>/hardware_test_design. Ny simulation files (testbench ho an'ny simulation ihany) dia hita aoample_dir>/ example_testbench.The compilation-only design example dia ao amin'nyample_dir>/compilation_test_design.
Famolavolana simulation Example Components
Sary 3. 50GbE Simulation Design Exampny Block Diagram
Ny simulation example design top-level test file dia basic_avl_tb_top.sv Ity file manamora sy mampifandray ny ATX PLL. Tafiditra ao anatin'izany ny asa, send_packets_50g_avl, handefasana sy handraisana fonosana 10.
Tabilao 1. 50GbE IP Core Testbench File Famaritana
File Anarana | Description |
Testbench sy Simulation Files | |
basic_avl_tb_top.sv | Top-level testbench file. Ny testbench dia mametraka ny DUT ary mampandeha ny asa Verilog HDL mba hamoronana sy hanaiky ny fonosana. |
Testbench Scripts | |
run_vsim.do | Ny script ModelSim hampandehanana ny testbench. |
run_vcs.sh | Ny script Synopsys VCS hampandehanana ny testbench. |
run_ncsim.sh | Ny script Cadence NCSim hampandeha ny testbench. |
run_xcelium.sh | Ny script Cadence Xcelium* hampandehanana ny testbench. |
rdware Design Example Components
Sary 4. 50GbE Hardware Design Example High Level Block Diagram
Ny famolavolana hardware 50GbE example ahitana ireto singa manaraka ireto
- 50GbE IP fototra.
- Lojika mpanjifa izay mandrindra ny fandaharana ny IP core sy ny packet generation.
- ATX PLL hitondra ny fantsona transceiver fitaovana.
- IOPLL hamokatra famantaranandro 100 MHz avy amin'ny famantaranandro fampidirana 50 MHz mankany amin'ny famolavolana fitaovana talohaample.
- JTAG controller izay mifandray amin'ny System Console. Mifandray amin'ny lojika mpanjifa amin'ny alàlan'ny System Console ianao.
Tabilao 2. 50GbE IP Core Hardware Design Example File Famaritana
File anarana | Description |
eth_ex_50g.qpf | Tetikasa Quartus Prime file |
eth_ex_50g.qsf | Fikirana tetikasa Quartus file |
eth_ex_50g.sdc | Synopsys Design Constraints file. Azonao atao ny mandika sy manova izany file ho an'ny endrikao manokana 50GbE. |
nitohy… |
Torolàlana fanombohana haingana 50GbE
File anarana | Description |
eth_ex_50g.v | Famolavolana Verilog HDL ambony indrindra example file |
mahazatra/ | Fitaovana famolavolana example fanohanana files |
hwtest/main.tcl | Main file mba hidirana amin'ny System Console |
Mamorona ny Design Example
Sary 5. Fomba fiasa
Sary 6. Eksample Design Tab ao amin'ny 50GbE Parameter Editor
Araho ireto dingana ireto mba hamoronana ny famolavolana fitaovana example sy testbench
- Miankina amin'ny fampiasanao ny rindrambaiko Intel Quartus Prime Pro Edition na ny rindrambaiko Intel Quartus Prime Standard Edition, ataovy ny iray amin'ireto hetsika manaraka ireto: Ao amin'ny Intel Quartus Prime Pro Edition, tsindrio File ➤ New Project Wizard hamorona tetikasa Quartus Prime vaovao, na File ➤ Open Project hanokafana tetikasa Quartus Prime efa misy. Manosika anao hamaritra fitaovana iray ny mpamosavy. Ao amin'ny rindrambaiko Intel Quartus Prime Standard Edition, ao amin'ny IP Catalog (Tools IP Catalog), safidio ny fianakaviana fitaovana kendrena Arria 10.
- Ao amin'ny Catalog IP, tadiavo ary mifidiana 50G Ethernet. Mipoitra ny fikandrana New IP Variation.
- Manorata anarana ambony indrindra ho an'ny fiovaovan'ny IP anao ary tsindrio OK. Manampy ny .qsys (ao amin'ny Intel Quartus Prime Standard Edition) na .ip (ao amin'ny Intel Quartus Prime Pro Edition) ny mpamoaka mari-pamantarana. file ho azy ny tetikasa ankehitriny. Raha asaina ianao hampiditra tanana ny .qsys na .ip file amin'ny tetikasa, tsindrio Project ➤ Add/Remove Files ao amin'ny Tetikasa hanampiana ny file.
- Ao amin'ny rindrambaiko Intel Quartus Prime Standard Edition dia tsy maintsy misafidy fitaovana Arria 10 manokana ianao ao amin'ny sahan'ny Device, na mitazona ny fitaovana mahazatra atolotry ny rindrambaiko Quartus Prime.
Fanamarihana: Ny famolavolana hardware example overwrite ny fifantenana amin'ny fitaovana eo amin'ny solaitrabe kendrena. Manondro ny birao kendrena avy amin'ny menio famolavolana example safidy ao amin'ny Example Design tab (dingana 8). - Tsindrio OK. Mipoitra ny tonian-dahatsoratra parameter.
- Ao amin'ny tabilao IP, mariho ny mari-pamantarana ho an'ny fiovaovana fototra IP anao.
- Ao amin'ny Example Design tab, ho an'ny Eksample Design Files, safidio ny safidy Simulation hamoronana ny testbench, ary safidio ny safidy Synthesis hamoronana ny famolavolana fitaovana example. Verilog HDL ihany files no vokarina.
Fanamarihana: Tsy misy fototra VHDL IP azo ampiasaina. Soraty ny Verilog HDL ihany, ho an'ny famolavolana fototra IP anao example. - Ho an'ny Hardware Board safidio ny Arria 10 GX Transceiver Signal Integrity Development Kit.
Fanamarihana: Mifandraisa amin'ny solontena Intel FPGA anao raha mila fanazavana momba ny sehatra mety hampandehanana an'io fitaovana io talohaample. - Tsindrio ny Generate Exampny bokotra Design. Ny Select ExampMiseho ny varavarankely Design Directory.
- Raha te hanova ny endrika exampny lalan'ny lahatahiry na anarana avy amin'ny default aseho (alt_e50_0_example_design), mijery ny lalana vaovao ary soraty ny endrika vaovao exampny anaran'ny lahatahiry (ample_dir>).
- Tsindrio OK.
- Refer to the KDB Valiny Ahoana no hanonerana ny jitter ny PLL cascading na tsy natokana ho an'ny famantaranandro famantaranandro Arria 10 PLL? ho an'ny vahaolana dia tokony hampiharina ao amin'ny lahatahiry hardware_test_design ao amin'ny .sdc file.
Fanamarihana: Tsy maintsy mijery ity Valiny KDB ity ianao satria ny lalan'ny RX ao amin'ny 50GbE IP core dia ahitana PLLs cascaded. Noho izany, ny famantaranandro fototra IP dia mety hiaina jitter fanampiny amin'ny fitaovana Arria 10. Ity Valin'ny KDB ity dia manazava ny famoahana rindrambaiko izay ilaina ny vahaolana.
Fampahafantarana mifandraika
Valiny KDB: Ahoana no hanonerana ny jitter ny PLL cascading na tsy natokana ho an'ny famantaranandro famantarana Arria 10 PLL?
Famolavolana ny 50GbE Design Exampny Testbench
Sary 7. Fomba fiasa
Araho ireto dingana ireto mba hanaovana simulate ny testbench
- Hanova ny lahatahiry simulation testbenchample_dir>/ example_testbench.
- Alefaso ny script simulation ho an'ny simulator tohanana tianao. Ny script dia manangona sy mitantana ny testbench ao amin'ny simulator. Jereo ny tabilao "Steps to simulate the Testbench".
- Diniho ny vokatra. Ny testbench mahomby dia mandefa fonosana folo, mandray fonosana folo ary mampiseho ny "Testbench feno."
Tabilao 3. Dingana hanaovana simulate ny Testbench
Simulator | torolalana |
ModelSim | Ao amin'ny andalana baiko, soraty vsim -do run_vsim.do
Raha tianao ny manao simulate nefa tsy mitondra ny ModelSim GUI dia midira vsim -c -do run_vsim.do Fanamarihana: Ny ModelSim * - Intel FPGA Edition simulator dia tsy manana fahafahana hanao simulate an'ity IP core ity. Tsy maintsy mampiasa simulator ModelSim hafa tohana ianao toy ny ModelSim SE. |
NCSim | Ao amin'ny andalana baiko, soraty sh run_ncsim.sh |
VCS | Ao amin'ny andalana baiko, soraty ny sh run_vcs.sh |
Xcelium | Ao amin'ny andalana baiko, soraty ny sh run_xcelium.sh |
Ny andrana mahomby dia mampiseho vokatra manamafy ity fihetsika manaraka ity
- Miandry ny famantaranandro RX hilamina
- Manonta ny sata PHY
- Mandefa fonosana 10
- Mahazo fonosana 10
- Mampiseho ny "Testbench complete."
Ireto manaraka ireto sampNy vokatra dia mampiseho ny fahombiazan'ny fitsapana simulation
- Ny famantaranandro #Ref dia mandeha amin'ny 625 MHz ka azo ampiasaina amin'ny vanim-potoana rehetra ny isa manontolo.
- #Ampitomboy amin'ny 33/32 ny fatran'ny tatitra mba hahazoana ny fatran'ny famantaranandro tena izy.
- # Miandry ny fampifanarahana RX
- #RX deskew mihidy
- #RX fampifanarahana ny lalana mihidy
- Nalefa ny #TX
- #**Mandefa fonosana 1…
- #**Mandefa fonosana 2…
- #**Mandefa fonosana 3…
- #**Mandefa fonosana 4…
- #**Mandefa fonosana 5…
- #**Mandefa fonosana 6…
- #**Mandefa fonosana 7…
- #**Nahazo fonosana 1…
- #**Mandefa fonosana 8…
- #**Nahazo fonosana 2…
- #**Mandefa fonosana 9…
- #**Nahazo fonosana 3…
- #**Mandefa fonosana 10…
- #**Nahazo fonosana 4…
- #**Nahazo fonosana 5…
- #**Nahazo fonosana 6…
- #**Nahazo fonosana 7…
- #**Nahazo fonosana 8…
- #**Nahazo fonosana 9…
- #**Nahazo fonosana 10…
- #**
- *** Vita ny Testbench.
- #**
- #****************************************
Manangona sy manamboatra ny Design Exampao amin'ny Hardware
Mba hanangonana ny famolavolana hardware exampary amboary amin'ny fitaovanao Arria 10 GT ianao, araho ireto dingana ireto
- Ataovy azo antoka ny famolavolana fitaovana exampvita le generation.
- Ao amin'ny rindrambaiko Intel Quartus Prime, sokafy ny tetikasa Intel Quartus Primeample_dir>/hardware_test_design/eth_ex_50g.qpf.
- Alohan'ny hanangonana, ataovy azo antoka fa nampihatra ny vahaolana avy amin'ny KDB Valiny Ahoana ny fomba hanonerana ny jitter an'ny PLL cascading na tsy natokana ho an'ny famantaranandro famantaranandro Arria 10 PLL? raha ilaina amin'ny famoahana rindrambaiko.
- Ao amin'ny menio Processing, tsindrio Start Compilation.
- Rehefa avy mamorona zavatra SRAM ianao file .sof, araho ireto dingana ireto mba hanomanana ny famolavolana fitaovana exampamin'ny fitaovana Arria 10:
- Ao amin'ny Tools menu, tsindrio Programmer.
- Ao amin'ny Programmer, tsindrio Hardware Setup.
- Mifidiana fitaovana fandaharana.
- Safidio ary ampio ny board Arria 10 GT miaraka amin'ny 25G retimer amin'ny fivoriana Intel Quartus Prime anao.
- Ataovy azo antoka fa napetraka amin'ny JTAG.
- Safidio ny fitaovana Arria 10 ary tsindrio Add Device. Ny Programmer dia mampiseho kisarisary sakana amin'ny fifandraisana misy eo amin'ny fitaovana eo amin'ny solaitrao.
- Eo amin'ny laharana miaraka amin'ny .sof-nao, jereo ny boaty misy ny .sof.
- Jereo ny boaty ao amin'ny tsanganana Program / Configure.
- Tsindrio Start
Fanamarihana: Ity design example mikendry ny fitaovana Arria 10 GT. Azafady mba mifandraisa amin'ny solontenan'ny Intel FPGA anao mba hanontany momba ny sehatra mety hampandehanana an'io fitaovana io talohaample
Fampahafantarana mifandraika
- Valiny KDB: Ahoana no hanonerana ny jitter ny PLL cascading na tsy voatokana famantarana famantarana famantaranandro Arria 10 PLL?
- Fanangonana fanampiny ho an'ny famolavolana ambaratonga sy ny ekipa
- Programming Intel FPGA Devices
Fitsapana ny 50GbE Hardware Design Example
Rehefa avy nanangona ny 50GbE IP core design exampary ampifanaraho amin'ny fitaovanao Arria 10 GT ianao, azonao atao ny mampiasa ny System Console mba handrindrana ny IP core sy ny rejisitra fototra native PHY IP. Hamelona ny System Console ary hizaha toetra ny famolavolana fitaovana example, araho ireto dingana ireto:
- Taorian'ny famolavolana fitaovana example dia namboarina amin'ny fitaovana Arria 10, ao amin'ny rindrambaiko Intel Quartus Prime, ao amin'ny menio Tools, tsindrio ny System Debugging Tools ➤ System Console.
- Ao amin'ny takelaka Tcl Console, soraty ny cd hwtest hanovana ny lahatahiryample_dir>/hardware_test_design/hwtest.
- Soraty ny source main.tcl hanokafana fifandraisana amin'ny JTAG tompo.
Azonao atao ny mandamina ny fototry ny IP miaraka amin'ireto endrika manaraka iretoample baiko
- chkphy_status: Mampiseho ny fahitan'ny famantaranandro sy ny toeran'ny fanakatonana PHY.
- start_pkt_gen: Manomboka ny famokarana fonosana.
- stop_pkt_gen: Atsaharo ny mpamokatra fonosana.
- loop_on: Mandeha ny loopback serial anatiny
- loop_off: Mamono serial loopback anatiny.
- reg_read : Mamerina ny sandan'ny rejisitra fototra IP amin'ny .
- reg_write : Manoratra mankany amin'ny rejisitra fototra IP amin'ny adiresy .
Fampahafantarana mifandraika
- 50GbE Design Example Rejistra ao amin'ny pejy 13 Misoratra anarana sarintany ho an'ny famolavolana fitaovana example.
- Famakafakana sy fanamboaran-drafitra miaraka amin'ny System Console
Design Example Description
Ny design example dia mampiseho ny fiasan'ny core 50GbE miaraka amin'ny interface transceiver mifanaraka amin'ny fenitra IEEE 802.3ba CAUI-4. Azonao atao ny mamorona ny famolavolana avy amin'ny Example Design tab ao amin'ny tonian-dahatsoratra 50GbE. Mba hamoronana ny drafitra exampary, tsy maintsy mametraka ny soatoavin'ny paramètre ho an'ny fiovaovan'ny fototr'i IP izay kasainao amboarina amin'ny vokatra farany ianao. Mamorona drafitra example mamorona dika mitovy amin'ny IP core; ny testbench sy ny famolavolana hardware example mampiasa io fiovaovana io ho DUT. Raha tsy mametraka ny sanda masontsivana ho an'ny DUT ianao mba hifanaraka amin'ny sanda masontsivana amin'ny vokatra farany anao, ny endrika example vokarinao dia tsy mampiasa ny fiovaovan'ny IP core kasainao.
Fanamarihana: Ny testbench dia mampiseho fitsapana fototra amin'ny fototra IP. Tsy natao ho solon'ny tontolo fanamarinana feno izany. Tsy maintsy manao fanamarinana mivelatra kokoa amin'ny famolavolanao manokana 50GbE ianao amin'ny simulation sy amin'ny fitaovana.
Fampahafantarana mifandraika
Intel Arria® 10 50Gbps Ethernet IP Core Torolàlana ho an'ny mpampiasa
Design Example Fitondran-tena
Ny testbench dia mandefa fifamoivoizana amin'ny alàlan'ny IP core, mampiasa ny lafiny fandefasana ary mandray ny lafiny amin'ny IP core. Ao amin'ny famolavolana hardware exampAmin'ny ankapobeny, azonao atao ny mandrindra ny IP core amin'ny mode loopback serial anatiny ary miteraka fifamoivoizana amin'ny lafiny fandefasana izay miverina amin'ny lafiny fandraisana.
Design Example Interface Signals
Ny testbench 50GbE dia manana tena ary tsy mitaky anao hitondra famantarana fampidirana.
Tabilao 4. 50GbE Hardware Design Example Interface Signals
famantarana | tari-dalana | Hevitra |
clk50 |
fahan'ny |
Mandeha amin'ny 50 MHz. Ny tanjona dia ny hitondra izany avy amin'ny oscillator 50 Mhz eo amin'ny solaitrabe. |
clk_ref | fahan'ny | Mandeha amin'ny 644.53125 MHz. |
cpu_resetn |
fahan'ny |
Mamerina ny fototry ny IP. Active ambany. Mandeha ny csr_reset_n famerenana mafy eran-tany mankany amin'ny fotony IP. |
nitohy… |
Intel Corporation. Zo rehetra voatokana. Ny Intel, ny logo Intel, ary ny marika Intel hafa dia marika famantarana ny Intel Corporation na ny sampany. Ny Intel dia manome antoka ny fahombiazan'ny vokatra FPGA sy ny semiconductor amin'ny fepetra ankehitriny mifanaraka amin'ny fiantohana manara-penitra an'ny Intel, saingy manana zo hanova ny vokatra sy serivisy amin'ny fotoana rehetra tsy misy filazana. Tsy mandray andraikitra na andraikitra avy amin'ny fampiharana na fampiasana fampahalalana, vokatra na serivisy voalaza eto ny Intel afa-tsy izay neken'ny Intel an-tsoratra. Manoro hevitra ny mpanjifa Intel mba hahazo ny kinova farany amin'ny fanondroana fitaovana alohan'ny hianteherana amin'ny fampahalalana navoaka sy alohan'ny hametrahana baiko ho an'ny vokatra na serivisy. * Ny anarana sy ny marika hafa dia azo lazaina ho fananan'ny hafa.
famantarana | tari-dalana | Hevitra |
tx_serial[1:0] | Output | Transceiver PHY famoahana angona serial. |
rx_serial[1:0] | fahan'ny | Transceiver PHY mampiditra angon-drakitra serial. |
mpampiasa_tarika[7:0] |
Output |
Famantarana sata. Ny famolavolana fitaovana example mampifandray ireo bits mba hitondra ny LED eo amin'ny kendrena birao. Ny bits tsirairay dia maneho ireto soatoavina famantarana manaraka ireto sy ny fihetsiky ny famantaranandro:
• [0]: Famantarana famerenam-bidy lehibe amin'ny fotony IP • [1]: Dika mitovy amin'ny clk_ref • [2]: Dika mizarazara ny clk50 • [3]: Fizarana famantarana famantaranandro 100 MHz • [4]: tx_lanes_stable • [5]: rx_block_lock • [6]: rx_am_lock • [7]: rx_pcs_ready |
Fampahafantarana mifandraika
Interfaces sy Famaritana famantarana Manome famaritana amin'ny antsipiriany momba ny famantarana fototra 50GbE IP sy ny fifandraisana misy azy ireo.
50GbE Design Example Registers
Tabilao 5. 50GbE Hardware Design Example Register Map
Tanisao ny sarintanin'ny rejisitra voatanisa fahatsiarovana ho an'ny famolavolana fitaovana example. Miditra amin'ireo rejistra ireo ianao miaraka amin'ny fiasa reg_read sy reg_write ao amin'ny System Console.
Word Offset | Sokajy |
0x300–0x5FF | 50GbE IP rejisitra fototra. |
0x4000–0x4C00 | Arria 10 dynamic reconfiguration rejistra. Ny adiresy fototra fisoratana anarana dia 0x4000 ho an'ny Lane 0 ary 0x4400 ho an'ny Lane 1. |
Fampahafantarana mifandraika
- Fitsapana ny 50GbE Hardware Design Exampao amin'ny pejy 11 System Console dia mandidy ny hiditra ny IP core sy ny rejistra PHY Native.
- 50GbE Control and Status Register Descriptions Manoritsoritra ny 50GbE IP rejistra fototra.
Tantara Fanavaozana antontan-taratasy
Tabilao 6. 50G Ethernet Design Example User Guide Revision History
Daty | NAFAHANA | FIOVANA |
2019.04.03 | 17.0 | Nampiana ny baiko hampandeha ny simulation Xcelium. |
2017.11.08 |
17.0 |
Rohy fanampiny ho an'ny KDB Valiny izay manome vahaolana ho an'ny jitter mety ho an'ny fitaovana Intel Arria® 10 noho ny fikorianan'ny ATX PLL ao amin'ny IP core.
Jereo ny Mamorona ny Design Example eo amin’ny pejy faha-7 sy Manangona ary Fametrahana ny Design Exampao amin'ny Hardware eo amin’ny pejy 10. Ity design exampTsy nohavaozina ny torolàlana momba ny mpampiasa mba hisaintsainana Fanamarihana: fiovana madinidinika amin'ny famolavolana famolavolana ao amin'ny Intel Quartus Prime dia navoaka taty aoriana noho ny famoahana rindrambaiko Intel Quartus Prime v17.0. |
2017.05.08 | 17.0 | Famoahana ampahibemaso voalohany. |
Intel Corporation. Zo rehetra voatokana. Ny Intel, ny logo Intel, ary ny marika Intel hafa dia marika famantarana an'ny Intel Corporation na ny sampany. Ny Intel dia manome antoka ny fahombiazan'ny vokatra FPGA sy ny semiconductor amin'ny fepetra ankehitriny mifanaraka amin'ny fiantohana manara-penitra an'ny Intel, saingy manana zo hanova ny vokatra sy serivisy amin'ny fotoana rehetra tsy misy filazana. Tsy mandray andraikitra na andraikitra avy amin'ny fampiharana na fampiasana fampahalalana, vokatra na serivisy voalaza eto ny Intel afa-tsy izay neken'ny Intel an-tsoratra. Manoro hevitra ny mpanjifa Intel mba hahazo ny kinova farany momba ny fanondroana fitaovana alohan'ny hianteherana amin'izay vaovao navoaka sy alohan'ny hametrahana baiko ho an'ny vokatra na serivisy. * Ny anarana sy ny marika hafa dia azo lazaina ho fananan'ny hafa.
Documents / Loharano
![]() |
Intel 50G Ethernet Design Example [pdf] Torolàlana ho an'ny mpampiasa 50G Ethernet Design Example, 50G, Ethernet Design Example, Design Example |