ЛОГО

intel 50G Ethernet Design Example

intel-50G-Ethernet-Design-Example-PRODACT-IMG

50GbE хурдан эхлүүлэх гарын авлага

50GbE IP цөм нь симуляцийн тест болон техник хангамжийн дизайныг өгдөгampэмхэтгэл болон техник хангамжийн туршилтыг дэмждэг le. Та дизайныг үүсгэх үед example, параметр засварлагч автоматаар үүсгэдэг fileТехник хангамжид загварыг загварчлах, эмхэтгэх, туршихад шаардлагатай. Та эмхэтгэсэн техник хангамжийн дизайныг Arria 10 GT төхөөрөмжид татаж авах боломжтой.

Жич: Энэ загвар нь өмнөхample нь Arria 10 GT төхөөрөмжид зориулагдсан бөгөөд 25G цаг хэмжигч шаардлагатай. Энэ техник хангамжийг ажиллуулахад тохиромжтой платформын талаар асуухын тулд Intel FPGA төлөөлөгчтэйгээ холбогдоно ууample. Зарим тохиолдолд тохирох тоног төхөөрөмжийн зээлийг авах боломжтой. Нэмж дурдахад Intel нь зөвхөн эмхэтгэлд зориулагдсан экс хувилбарыг өгдөгample төсөл нь IP үндсэн талбай болон цаг хугацааг хурдан тооцоолоход ашиглаж болно.

Зураг 1. Дизайн Example хэрэглээintel-50G-Ethernet-Design-Example-FIG-1

Intel корпораци. Бүх эрх хуулиар хамгаалагдсан. Intel, Intel лого болон бусад Intel тэмдэг нь Intel корпораци эсвэл түүний охин компаниудын худалдааны тэмдэг юм. Intel нь өөрийн FPGA болон хагас дамжуулагч бүтээгдэхүүнүүдээ Intel-ийн стандарт баталгааны дагуу одоогийн техникийн үзүүлэлтүүдэд нийцүүлэн ажиллуулах баталгаа өгдөг ч аливаа бүтээгдэхүүн, үйлчилгээнд ямар ч үед мэдэгдэлгүйгээр өөрчлөлт оруулах эрхтэй. Intel нь бичгээр тохиролцсоноос бусад тохиолдолд энд дурдсан аливаа мэдээлэл, бүтээгдэхүүн, үйлчилгээг ашиглах, ашиглахаас үүдэн гарах хариуцлага, хариуцлага хүлээхгүй. Intel-ийн хэрэглэгчид нийтлэгдсэн мэдээлэлд найдах, бүтээгдэхүүн, үйлчилгээний захиалга өгөхөөс өмнө төхөөрөмжийн техникийн үзүүлэлтүүдийн хамгийн сүүлийн хувилбарыг авахыг зөвлөж байна. *Бусад нэр, брэндийг бусдын өмч гэж үзэж болно.

Дизайн Example лавлах бүтэц

Зураг 2. 50GbE Дизайн Example лавлах бүтэцintel-50G-Ethernet-Design-Example-FIG-2

Техник хангамжийн тохиргоо ба туршилт files (техник хангамжийн дизайн жишээ ньample) -д байрладагample_dir>/техникийн_туршилтын_дизайн. Симуляци files (зөвхөн симуляцид зориулсан testbench) байрладагample_dir>/ example_testbench.Зөвхөн эмхэтгэлд зориулагдсан дизайн өмнөхample байрладагample_dir>/эмхэт_туршилтын_загвар.

Симуляцийн дизайн Example Бүрэлдэхүүн хэсгүүд

Зураг 3. 50GbE Simulation Design ExampБлок диаграммintel-50G-Ethernet-Design-Example-FIG-3

Симуляци өмнөхample дизайн дээд түвшний тест file Энэ нь basic_avl_tb_top.sv юм file ATX PLL-ийг үүсгэн холбодог. Үүнд 50 пакет илгээх, хүлээн авах send_packets_10g_avl гэсэн даалгавар багтсан болно.

Хүснэгт 1. 50GbE IP Core Testbench File Тодорхойлолт

File Нэр Тодорхойлолт
Testbench болон Simulation Files
basic_avl_tb_top.sv Дээд түвшний туршилтын тавцан file. Testbench нь DUT-г үүсгэж, пакетуудыг үүсгэх, хүлээн авахын тулд Verilog HDL даалгавруудыг ажиллуулдаг.
Testbench скриптүүд
run_vsim.do Testbench-ийг ажиллуулах ModelSim скрипт.
run_vcs.sh Testbench-ийг ажиллуулах Synopsys VCS скрипт.
run_ncsim.sh Testbench-ийг ажиллуулах Cadence NCSim скрипт.
run_xcelium.sh Testbench-ийг ажиллуулах Cadence Xcelium* скрипт.

rdware Design Example Бүрэлдэхүүн хэсгүүд

Зураг 4. 50GbE Тоног төхөөрөмжийн дизайн ExampӨндөр түвшний блок диаграммintel-50G-Ethernet-Design-Example-FIG-4

50GbE техник хангамжийн дизайн өмнөхample нь дараах бүрэлдэхүүн хэсгүүдийг агуулдаг

  • 50GbE IP цөм.
  • IP цөм болон пакет үүсгэх програмчлалыг зохицуулдаг клиент логик.
  • ATX PLL нь төхөөрөмжийн дамжуулагчийн сувгуудыг хөтлөх.
  • IOPLL нь 100 МГц оролтын цагаас эхлээд техник хангамжийн дизайн хүртэл 50 МГц цаг үүсгэх.ample.
  • JTAG Системийн консолтой холбогддог хянагч. Та системийн консолоор дамжуулан үйлчлүүлэгчийн логиктой холбогддог.

Хүснэгт 2. 50GbE IP үндсэн тоног төхөөрөмжийн дизайн Example File Тодорхойлолт

File Нэр Тодорхойлолт
eth_ex_50g.qpf Quartus Prime төсөл file
eth_ex_50g.qsf Квартусын төслийн тохиргоо file
eth_ex_50g.sdc Synopsys дизайны хязгаарлалт file. Та үүнийг хуулж, өөрчлөх боломжтой file өөрийн 50GbE загварт зориулсан.
үргэлжилсэн…

50GbE хурдан эхлүүлэх гарын авлага

File Нэр Тодорхойлолт
eth_ex_50g.v Дээд түвшний Verilog HDL дизайн өмнөхample file
нийтлэг/ Тоног төхөөрөмжийн дизайн жишээ ньample дэмжлэг files
hwtest/main.tcl Үндсэн file Системийн консол руу нэвтрэх

Дизайныг бий болгох Example

Зураг 5. Процедурintel-50G-Ethernet-Design-Example-FIG-5

Зураг 6. Жишээ ньample Design Tab 50GbE параметр засварлагчintel-50G-Ethernet-Design-Example-FIG-6

Техник хангамжийн дизайныг үүсгэхийн тулд эдгээр алхмуудыг дагана ууample болон testbench

  1. Та Intel Quartus® Prime Pro Edition программ хангамж эсвэл Intel Quartus Prime Standard Edition программ хангамж ашиглаж байгаа эсэхээс хамаарч дараах үйлдлүүдийн аль нэгийг хийнэ үү: Intel Quartus Prime Pro Edition дээр товшино уу. File ➤ Шинэ Төслийн шидтэн шинэ Quartus Prime төсөл үүсгэх, эсвэл File ➤ Одоо байгаа Quartus Prime төслийг нээх Төслийг нээнэ үү. Шидтэн таныг төхөөрөмжийг зааж өгөхийг хүсэх болно. Intel Quartus Prime Standard Edition програм хангамжийн IP каталогоос (Tools IP Catalog) Arria 10 зорилтот төхөөрөмжийн гэр бүлийг сонгоно уу.
  2. IP каталогоос 50G Ethernet-ийг олоод сонгоно уу. Шинэ IP өөрчлөлтийн цонх гарч ирнэ.
  3. Өөрийн IP хувилбарын дээд түвшний нэрийг зааж өгөөд OK дарна уу. Параметр засварлагч нь дээд түвшний .qsys (Intel Quartus Prime Standard Edition дээр) эсвэл .ip (Intel Quartus Prime Pro Edition дээр) нэмдэг. file одоогийн төсөл рүү автоматаар. Хэрэв та .qsys эсвэл .ip-г гараар нэмэхийг сануулбал file төсөл рүү очихын тулд Төсөл ➤ Нэмэх/Устгах дээр дарна уу Files нэмэхийн тулд Төсөлд file.
  4. Intel Quartus Prime Standard Edition програм хангамжийн та Device талбараас тодорхой Arria 10 төхөөрөмжийг сонгох эсвэл Quartus Prime програм хангамжийн санал болгож буй анхдагч төхөөрөмжийг хадгалах ёстой.
    Жич: Тоног төхөөрөмжийн дизайн өмнөхample нь зорилтот самбар дээрх төхөөрөмжийг ашиглан сонголтыг дарж бичнэ. Та дизайны өмнөх цэснээс зорилтот самбарыг зааж өгнө үүample сонголтууд Example Дизайн таб (Алхам 8).
  5. OK дарна уу. Параметр засварлагч гарч ирнэ.
  6. IP таб дээр өөрийн IP үндсэн өөрчлөлтийн параметрүүдийг зааж өгнө үү.
  7. Экс дээрample Дизайн таб, жишээ ньample дизайн Files, Testbench үүсгэхийн тулд Simulation сонголтыг сонгоод, Synthesis сонголтыг сонгоод техник хангамжийн дизайныг үүсгэнэ үү.ample. Зөвхөн Verilog HDL files үүсдэг.
    Жич: Функциональ VHDL IP цөм байхгүй байна. Өөрийн IP үндсэн дизайны хувьд зөвхөн Verilog HDL-г зааж өгнө үүample.
  8. Тоног төхөөрөмжийн самбарын хувьд Arria 10 GX Transceiver Signal Integrity Development Kit-ийг сонго.
    Жич: Энэхүү техник хангамжийг ажиллуулахад тохиромжтой платформын талаар мэдээлэл авахыг хүсвэл Intel FPGA төлөөлөгчтэйгээ холбогдоно ууample.
  9. Ex Generate дээр дарна ууample Design товч. Сонгох Example Design Directory цонх гарч ирнэ.
  10. Хэрэв та дизайныг өөрчлөхийг хүсвэл өмнөхample лавлах зам эсвэл харуулсан өгөгдмөлөөс нэр (alt_e50_0_example_design), шинэ зам руу орж шинэ дизайныг бичнэ үүample лавлах нэр (ample_dir>).
  11. OK дарна уу.
  12. KDB-ийн хариултыг үзнэ үү Arria 10 PLL лавлагааны цагийн хувьд PLL шатлалтай эсвэл зориулалтын бус цагийн замын чичиргээг би хэрхэн нөхөх вэ? Үүнийг шийдвэрлэхийн тулд та .sdc доторх hardware_test_design лавлах хэсэгт хандаарай file.

Жич: 50GbE IP цөм дэх RX зам нь шаталсан PLL-г агуулдаг тул та энэ KDB хариултаас лавлах хэрэгтэй. Тиймээс, IP үндсэн цаг нь Arria 10 төхөөрөмжид нэмэлт чичиргээтэй байж магадгүй юм. Энэхүү KDB хариулт нь шийдвэрлэх шаардлагатай програм хангамжийн хувилбаруудыг тодруулсан болно.

Холбогдох мэдээлэл
KDB Хариулт: Arria 10 PLL лавлагааны цагийн хувьд PLL шатлалтай эсвэл зориулалтын бус цагийн замын чичиргээг би хэрхэн нөхөх вэ?

50GbE дизайныг загварчлах Example Testbench

Зураг 7. Процедурintel-50G-Ethernet-Design-Example-FIG-7

Туршилтын тавцанг дуурайхын тулд эдгээр алхмуудыг дагана уу

  1. Testbench симуляцийн лавлах руу шилжинэ үүample_dir>/ example_testbench.
  2. Өөрийн сонгосон дэмжигдсэн симуляторын симуляцийн скриптийг ажиллуул. Скрипт нь симулятор дахь testbench-ийг эмхэтгэж ажиллуулдаг. "Testbench-ийг дуурайх алхамууд" хүснэгтээс үзнэ үү.
  3. Үр дүнд дүн шинжилгээ хийх. Амжилттай тест нь арван пакет илгээж, арван пакет хүлээн авч, "Testbench дууссан" гэж харуулдаг.

Хүснэгт 3. Testbench-ийг дуурайх алхамууд

Симулятор Заавар
ModelSim Тушаалын мөрөнд vsim -do run_vsim.do гэж бичнэ үү

Хэрэв та ModelSim GUI-г оруулахгүйгээр загварчлахыг илүүд үзэж байвал vsim -c -do run_vsim.do гэж бичнэ үү.

Жич: ModelSim* – Intel FPGA Edition симулятор нь энэ IP цөмийг дуурайх хүчин чадалгүй. Та ModelSim SE зэрэг дэмжигдсэн өөр ModelSim симулятор ашиглах ёстой.

NCSim Тушаалын мөрөнд sh run_ncsim.sh гэж бичнэ үү
VCS Тушаалын мөрөнд sh run_vcs.sh гэж бичнэ үү
Xcelium Тушаалын мөрөнд sh run_xcelium.sh гэж бичнэ үү

Туршилтыг амжилттай явуулснаар дараах үйлдлийг баталгаажуулсан гаралтыг харуулна

  1. RX цаг тогтохыг хүлээж байна
  2. PHY статусыг хэвлэж байна
  3. 10 багц илгээж байна
  4. 10 багц хүлээн авч байна
  5. "Testbench дууссан"-ыг харуулж байна.

Дараахь сample гаралт нь симуляцийн туршилтыг амжилттай хийснийг харуулж байна

  • #Ref цаг нь 625 МГц давтамжтайгаар ажилладаг тул бүхэл тоонуудыг цагийн бүх хугацаанд ашиглах боломжтой.
  • #Бодит цагийн давтамжийг авахын тулд мэдээлсэн давтамжийг 33/32-оор үржүүлээрэй.
  • #RX зэрэгцүүлэхийг хүлээж байна
  • #RX deskew түгжигдсэн
  • #RX эгнээний эгнээ түгжигдсэн
  • #TX идэвхжүүлсэн
  • #**Пакет 1 илгээж байна…
  • #**Пакет 2 илгээж байна…
  • #**Пакет 3 илгээж байна…
  • #**Пакет 4 илгээж байна…
  • #**Пакет 5 илгээж байна…
  • #**Пакет 6 илгээж байна…
  • #**Пакет 7 илгээж байна…
  • #**Хүлээн авсан багц 1…
  • #**Пакет 8 илгээж байна…
  • #**Хүлээн авсан багц 2…
  • #**Пакет 9 илгээж байна…
  • #**Хүлээн авсан багц 3…
  • #**Пакет 10 илгээж байна…
  • #**Хүлээн авсан багц 4…
  • #**Хүлээн авсан багц 5…
  • #**Хүлээн авсан багц 6…
  • #**Хүлээн авсан багц 7…
  • #**Хүлээн авсан багц 8…
  • #**Хүлээн авсан багц 9…
  • #**Хүлээн авсан багц 10…
  • #**
  • #** Туршилтын тавцан дууссан.
  • #**
  • #********************************************

Дизайныг эмхэтгэх ба тохируулах Example in Техник хангамж

Техник хангамжийн дизайныг эмхэтгэхийн тулд exampArria 10 GT төхөөрөмж дээрээ тохируулаад, эдгээр алхмуудыг дагана уу

  1. Техник хангамжийн дизайныг баталгаажуулна ууample үе дууссан.
  2. Intel Quartus Prime програм хангамжид Intel Quartus Prime төслийг нээнэ үүample_dir>/hardware_test_design/eth_ex_50g.qpf.
  3. Эмхэтгэхээсээ өмнө KDB хариултаас тойрон гарах шийдлийг хэрэгжүүлсэн эсэхээ шалгаарай. Би Arria 10 PLL лавлах цагийн PLL шатлалтай эсвэл зориулалтын бус цагийн замын чичиргээг хэрхэн нөхөх вэ? Хэрэв таны програм хангамжийн хувилбарт хамааралтай бол.
  4. Боловсруулалт цэсний Эмхэтгэлийг эхлүүлэх дээр дарна уу.
  5. SRAM объект үүсгэсний дараа file .sof, техник хангамжийн дизайныг програмчлахын тулд эдгээр алхмуудыг дагана ууampArria 10 төхөөрөмж дээрх le:
  • Хэрэгслийн цэснээс Программист дээр дарна уу.
  • Программист хэсэгт "Тоног төхөөрөмжийн тохиргоо" дээр дарна уу.
  • Програмчлалын төхөөрөмжийг сонгоно уу.
  • 10G цаг хэмжигчтэй Arria 25 GT хавтанг сонгоод Intel Quartus Prime сессдээ нэмнэ үү.
  • Горимыг J гэж тохируулсан эсэхийг шалгана ууTAG.
  • Arria 10 төхөөрөмжийг сонгоод Add Device дээр дарна уу. Программист нь таны самбар дээрх төхөөрөмжүүдийн хоорондох холболтын блок диаграммыг харуулдаг.
  • Таны .sof-ийн эгнээнд .sof-ийн нүдийг шалгана уу.
  • Хөтөлбөр/Тохиргоо баганын нүдийг чагтална уу.
  • Start дарна уу

Жич: Энэ загвар нь өмнөхample Arria 10 GT төхөөрөмжийг онилдог. Энэ техник хангамжийг ажиллуулахад тохиромжтой платформын талаар асуухын тулд Intel FPGA төлөөлөгчтэйгээ холбогдоно ууample

Холбогдох мэдээлэл

  • KDB Хариулт: Би Arria 10 PLL лавлагааны цагийн PLL шатлалтай эсвэл зориулагдаагүй цагийн замын чичиргээг хэрхэн нөхөх вэ?
  • Шатлал ба багт суурилсан загварт зориулсан Өсөлттэй эмхэтгэл
  • Intel FPGA төхөөрөмжүүдийг програмчлах

50GbE техник хангамжийн дизайныг туршиж байнаample

Та 50GbE IP үндсэн дизайныг хөрвүүлсний дараа өмнөхample болон үүнийг Arria 10 GT төхөөрөмж дээрээ тохируулахын тулд та Системийн консолыг ашиглан IP цөм болон түүний суулгагдсан Native PHY IP үндсэн бүртгэлийг програмчлах боломжтой. Системийн консолыг асааж, техник хангамжийн дизайныг шалгахын тулд жишээлбэлample, дараах алхмуудыг дагана уу:

  1. Техник хангамжийн дизайны дараа example нь Arria 10 төхөөрөмж дээр тохируулагдсан, Intel Quartus Prime программ хангамжийн хэрэгсэл цэснээс Системийн дибаг хийх хэрэгсэл ➤ Системийн консол дээр дарна уу.
  2. Tcl Console цонхонд cd hwtest гэж бичээд лавлахыг өөрчилнө үүample_dir>/тоног төхөөрөмжийн_туршилтын_дизайн/hwtest.
  3. J-тэй холболт нээхийн тулд source main.tcl гэж бичнэ үүTAG мастер.

Та IP цөмийг дараах загвараар програмчилж болноample тушаалууд

  • chkphy_status: Цагийн давтамж болон PHY түгжээний төлөвийг харуулна.
  • start_pkt_gen: Пакет үүсгэгчийг эхлүүлнэ.
  • stop_pkt_gen: Пакет үүсгэгчийг зогсооно.
  • loop_on: Дотоод цуваа давталтыг асаана
  • loop_off: Дотоод цуваа давталтыг унтраана.
  • reg_унших : IP үндсэн бүртгэлийн утгыг буцаана .
  • reg_write : бичдэг хаяг дахь IP үндсэн бүртгэл рүү .

Холбогдох мэдээлэл

  • 50GbE Дизайн Example Бүртгүүлэх хуудас 13 Техник хангамжийн дизайны газрын зургийг бүртгэх example.
  • Системийн консол ашиглан дизайнд дүн шинжилгээ хийж, дибаг хийх

Дизайн Example Тодорхойлолт

Дизайны өмнөхample нь IEEE 50ba стандарт CAUI-802.3 тодорхойлолтод нийцсэн дамжуулагчийн интерфейстэй 4GbE цөмийн функцуудыг харуулж байна. Та өмнөх загвараас загвар гаргаж болноample 50GbE параметр засварлагч дахь Дизайн таб. Дизайныг бий болгохын тулд example, та эхлээд эцсийн бүтээгдэхүүн дээрээ үүсгэхээр төлөвлөж буй IP үндсэн өөрчлөлтийн параметрийн утгыг тохируулах ёстой. Дизайныг бий болгож байнаample IP цөмийн хуулбарыг үүсгэдэг; testbench болон техник хангамжийн дизайн өмнөхample энэ өөрчлөлтийг DUT болгон ашигла. Хэрэв та DUT-ийн параметрийн утгыг эцсийн бүтээгдэхүүнийнхээ параметрийн утгуудтай тааруулахгүй бол загвар жишээлбэлampТаны үүсгэсэн le нь таны төлөвлөж буй IP үндсэн хувилбарыг ашиглахгүй.

Жич: Testbench нь IP цөмийн үндсэн тестийг харуулж байна. Энэ нь бүрэн баталгаажуулалтын орчныг орлуулах зорилготой биш юм. Та өөрийн 50GbE загвараа симуляци болон техник хангамжаар илүү өргөн хүрээтэй баталгаажуулах ёстой.

Холбогдох мэдээлэл
Intel Arria® 10 50Gbps Ethernet IP Core хэрэглэгчийн гарын авлага

Дизайн Exampзан төлөв
Testbench нь IP цөмөөр дамжуулан урсгалыг илгээж, IP цөмийн дамжуулагч болон хүлээн авах талыг ажиллуулдаг. Тоног төхөөрөмжийн загварт жишээлбэлample, та IP цөмийг дотоод цуваа буцаах горимд програмчилж, хүлээн авах тал руу буцах дамжуулагч тал дээр урсгалыг үүсгэж болно.

Дизайн ExampИнтерфэйсийн дохио
50GbE testbench нь бие даасан бөгөөд ямар нэгэн оролтын дохиог жолоодох шаардлагагүй.

Хүснэгт 4. 50GbE Тоног төхөөрөмжийн дизайн ExampИнтерфэйсийн дохио

Дохио Чиглэл Сэтгэгдэл
 

clk50

 

Оруулах

50 МГц давтамжтайгаар жолоодох. Зорилго нь үүнийг самбар дээрх 50 МГц осциллятороос жолоодох явдал юм.
clk_ref Оруулах 644.53125 МГц давтамжтайгаар жолоодох.
 

cpu_resetn

 

Оруулах

IP цөмийг дахин тохируулна. Идэвхтэй бага. Дэлхий дахинд хатуу дахин тохируулах csr_reset_n-г IP цөм рүү хөтөлнө.
үргэлжилсэн…

Intel корпораци. Бүх эрх хуулиар хамгаалагдсан. Intel, Intel лого болон бусад Intel тэмдэг нь Intel корпораци эсвэл түүний охин компаниудын худалдааны тэмдэг юм. Intel нь өөрийн FPGA болон хагас дамжуулагч бүтээгдэхүүнүүдээ Intel-ийн стандарт баталгааны дагуу одоогийн техникийн үзүүлэлтүүдэд нийцүүлэн ажиллуулах баталгаа өгдөг боловч ямар ч бүтээгдэхүүн, үйлчилгээнд ямар ч үед мэдэгдэлгүйгээр өөрчлөлт оруулах эрхтэй. Intel нь бичгээр тохиролцсоноос бусад тохиолдолд энд дурдсан аливаа мэдээлэл, бүтээгдэхүүн, үйлчилгээг ашиглах, ашиглахаас үүдэн гарах хариуцлага, хариуцлага хүлээхгүй. Intel-ийн хэрэглэгчид нийтлэгдсэн мэдээлэлд найдах, бүтээгдэхүүн, үйлчилгээний захиалга өгөхөөс өмнө төхөөрөмжийн техникийн үзүүлэлтүүдийн хамгийн сүүлийн хувилбарыг авахыг зөвлөж байна. *Бусад нэр, брэндийг бусдын өмч гэж үзэж болно.

Дохио Чиглэл Сэтгэгдэл
tx_serial[1:0] Гаралт Transceiver PHY гаралтын цуваа өгөгдөл.
rx_serial[1:0] Оруулах Transceiver PHY оролтын цуваа өгөгдөл.
 

 

 

 

 

 

user_led[7:0]

 

 

 

 

 

 

 

Гаралт

Статусын дохио. Тоног төхөөрөмжийн дизайн өмнөхample нь эдгээр битүүдийг холбосноор зорилтот самбар дээрх LED-үүдийг удирддаг. Тусдаа битүүд нь дараах дохионы утгууд болон цагийн үйлдлийг тусгадаг.

• [0]: IP цөм рүү дахин тохируулах үндсэн дохио

• [1]: clk_ref-ийн хуваагдсан хувилбар

• [2]: clk50-ийн хуваагдсан хувилбар

• [3]: 100 МГц төлөвийн цагийн хуваасан хувилбар

• [4]: ​​tx_lanes_stable

• [5]: rx_block_lock

• [6]: rx_am_lock

• [7]: rx_ш_бэлэн

Холбогдох мэдээлэл
Интерфейс ба дохионы тайлбарууд нь 50GbE IP үндсэн дохио болон тэдгээрт хамаарах интерфейсүүдийн нарийвчилсан тайлбарыг өгдөг.

50GbE Дизайн Example Бүртгэлүүд

Хүснэгт 5. 50GbE Тоног төхөөрөмжийн дизайн Example Бүртгэлийн газрын зураг
Техник хангамжийн загварт зориулсан санах ойн дүрслэгдсэн бүртгэлийн мужуудыг жагсаавample. Та системийн консол дахь reg_read болон reg_write функцээр эдгээр бүртгэлд хандах боломжтой.

Word Offset Ангилал бүртгэх
0x300–0x5FF 50GbE IP үндсэн бүртгэлүүд.
0x4000–0x4C00 Arria 10 динамик дахин тохируулах бүртгэл. Бүртгэлийн үндсэн хаяг нь 0-р эгнээний хувьд 4000x0, эгнээний 0-ийн хувьд 4400x1 байна.

Холбогдох мэдээлэл

  • 50GbE техник хангамжийн дизайныг туршиж байнаample 11-р хуудасны IP цөм болон Native PHY бүртгэлд хандах системийн консол командууд.
  • 50GbE хяналт ба статусын бүртгэлийн тодорхойлолтууд 50GbE IP үндсэн бүртгэлүүдийг тайлбарладаг.

Баримт бичгийн засварын түүх

Хүснэгт 6. 50G Ethernet Design Example User Guide Revision History

Огноо Суллах Өөрчлөлтүүд
2019.04.03 17.0 Xcelium симуляцийг ажиллуулах командыг нэмсэн.
 

 

 

2017.11.08

 

 

 

17.0

IP цөмд шаталсан ATX PLL-ийн улмаас Intel Arria® 10 төхөөрөмж дээр гарч болзошгүй цочролыг арилгах боломжийг олгодог KDB Answer-д холбоос нэмсэн.

лавлана уу Дизайныг бий болгох Example хуудас 7 ба Эмхэтгэх ба Дизайныг тохируулах Example in Техник хангамж 10-р хуудсанд.

Энэ загвар нь өмнөхample хэрэглэгчийн гарын авлагыг тусгахын тулд шинэчлэгдээгүй байна

Жич: Intel Quartus Prime программ хангамжийн хувилбараас хожуу гарсан Intel Quartus Prime хувилбаруудын дизайны үеийн бага зэргийн өөрчлөлтүүд

v17.0.

2017.05.08 17.0 Анхны олон нийтэд хүргэх.

Intel корпораци. Бүх эрх хуулиар хамгаалагдсан. Intel, Intel лого болон бусад Intel тэмдэг нь Intel корпораци эсвэл түүний охин компаниудын худалдааны тэмдэг юм. Intel нь өөрийн FPGA болон хагас дамжуулагч бүтээгдэхүүнүүдээ Intel-ийн стандарт баталгааны дагуу одоогийн техникийн үзүүлэлтүүдэд нийцүүлэн ажиллуулах баталгаа өгдөг боловч ямар ч бүтээгдэхүүн, үйлчилгээнд ямар ч үед мэдэгдэлгүйгээр өөрчлөлт оруулах эрхтэй. Intel нь бичгээр тохиролцсоноос бусад тохиолдолд энд дурдсан аливаа мэдээлэл, бүтээгдэхүүн, үйлчилгээг ашиглах, ашиглахаас үүдэн гарах хариуцлага, хариуцлага хүлээхгүй. Intel-ийн хэрэглэгчид нийтлэгдсэн мэдээлэлд найдах, бүтээгдэхүүн, үйлчилгээний захиалга өгөхөөс өмнө төхөөрөмжийн техникийн үзүүлэлтүүдийн хамгийн сүүлийн хувилбарыг авахыг зөвлөж байна. *Бусад нэр, брэндийг бусдын өмч гэж үзэж болно.

Баримт бичиг / нөөц

intel 50G Ethernet Design Example [pdf] Хэрэглэгчийн гарын авлага
50G Ethernet Design Example, 50G, Ethernet Design Example, Design Example

Лавлагаа

Сэтгэгдэл үлдээгээрэй

Таны имэйл хаягийг нийтлэхгүй. Шаардлагатай талбаруудыг тэмдэглэсэн *