LOGO

intel 50G የኤተርኔት ንድፍ Example

intel-50G-Ethernet-Design-Example-PRODACT-IMG

50GbE ፈጣን ጅምር መመሪያ

የ 50GbE IP ኮር የማስመሰል የሙከራ ቤንች እና የሃርድዌር ዲዛይን የቀድሞ ያቀርባልampማጠናቀር እና የሃርድዌር ሙከራን የሚደግፍ። ንድፍ ሲፈጥሩ example, የመለኪያ አርታዒው በራስ-ሰር ይፈጥራል fileንድፉን በሃርድዌር ውስጥ ለማስመሰል፣ ለማጠናቀር እና ለመሞከር አስፈላጊ ነው። የተቀናበረውን የሃርድዌር ንድፍ ወደ Arria 10 GT መሳሪያ ማውረድ ይችላሉ።

ማስታወሻ፡- ይህ ንድፍ example የ Arria 10 GT መሳሪያን ያነጣጠረ ሲሆን የ25ጂ ሬቲመር ያስፈልገዋል። ይህንን የሃርድዌር የቀድሞ ለማስኬድ ተስማሚ የሆነ የመሳሪያ ስርዓት ለመጠየቅ እባክዎ የIntel FPGA ተወካይዎን ያነጋግሩampለ. በአንዳንድ ሁኔታዎች ተስማሚ የሃርድዌር ብድር ሊኖር ይችላል. በተጨማሪም ኢንቴል የተቀናበረ ብቻ የቀድሞ ያቀርባልampየአይፒ ኮር አካባቢን እና ጊዜን በፍጥነት ለመገመት ሊጠቀሙበት የሚችሉትን ፕሮጀክት።

ምስል 1. ንድፍ Example አጠቃቀምintel-50G-Ethernet-Design-Example-FIG-1

ኢንቴል ኮርፖሬሽን. መብቱ በህግ የተጠበቀ ነው. ኢንቴል፣ የኢንቴል አርማ እና ሌሎች የኢንቴል ምልክቶች የኢንቴል ኮርፖሬሽን ወይም የስርጭቱ የንግድ ምልክቶች ናቸው። ኢንቴል የኤፍፒጂኤ እና ሴሚኮንዳክተር ምርቶቹን በIntel መደበኛ ዋስትና መሰረት ለአሁኑ ዝርዝር መግለጫዎች ዋስትና ይሰጣል፣ነገር ግን በማናቸውም ምርቶች እና አገልግሎቶች ላይ ያለማሳወቂያ በማንኛውም ጊዜ ለውጦችን የማድረግ መብቱ የተጠበቀ ነው። ኢንቴል በዚህ ውስጥ የተገለጸውን ማንኛውንም መረጃ፣ ምርት ወይም አገልግሎት ከመተግበሩ ወይም ከመጠቀሙ የተነሳ ምንም አይነት ሃላፊነት ወይም ተጠያቂነት አይወስድም። የኢንቴል ደንበኞች በማናቸውም የታተመ መረጃ ላይ ከመታመንዎ በፊት እና ለምርቶች ወይም አገልግሎቶች ትዕዛዝ ከማቅረባቸው በፊት የቅርብ ጊዜውን የመሳሪያ ዝርዝር መግለጫዎችን እንዲያገኙ ይመከራሉ። *ሌሎች ስሞች እና የንግድ ምልክቶች እንደሌሎች ንብረት ሊጠየቁ ይችላሉ።

ንድፍ Example ማውጫ መዋቅር

ምስል 2. 50GbE ንድፍ Example ማውጫ መዋቅርintel-50G-Ethernet-Design-Example-FIG-2

የሃርድዌር ውቅር እና ሙከራ files (የሃርድዌር ንድፍ ለምሳሌample) ውስጥ ይገኛሉample_dir>/ሃርድዌር_ፈተና_ንድፍ። ማስመሰል files (የሙከራ ቤንች ለማስመሰል ብቻ) ይገኛሉample_dir>/ ምሳሌample_testbench.የማጠናቀር-ብቻ ንድፍ example በ ውስጥ ይገኛል።ample_dir>/የማጠናቀር_ሙከራ_ንድፍ።

የማስመሰል ንድፍ Example ክፍሎች

ምስል 3. 50GbE የማስመሰል ንድፍ Example Block ዲያግራምintel-50G-Ethernet-Design-Example-FIG-3

የማስመሰል ምሳሌampየከፍተኛ ደረጃ ፈተና ንድፍ file መሠረታዊ_avl_tb_top.sv ይህ ነው። file ATX PLLን ያፋጥናል እና ያገናኛል። 50 ፓኬጆችን ለመላክ እና ለመቀበል ተግባርን፣ send_packets_10g_avlን ያካትታል።

ሠንጠረዥ 1. 50GbE IP Core Testbench File መግለጫዎች

File ስም መግለጫ
Testbench እና ማስመሰል Files
መሰረታዊ_avl_tb_top.sv ከፍተኛ-ደረጃ testbench file. የሙከራ ወንበሩ DUT ን ያፋጥናል እና ፓኬቶችን ለማምረት እና ለመቀበል የVerilog HDL ተግባራትን ያካሂዳል።
Testbench ስክሪፕቶች
አሂድ_vsim.do የሙከራ ወንበሩን ለማስኬድ የሞዴል ሲም ስክሪፕት።
አሂድ_vcs.sh የሙከራ ወንበሩን ለማስኬድ የሲኖፕሲው ቪሲኤስ ስክሪፕት።
አሂድ_ncsim.sh የሙከራ ቤንች ለማሄድ የ Cadence NCSim ስክሪፕት።
አሂድ_xcelium.sh የሙከራ ቤንች ለማሄድ የ Cadence Xcelium* ስክሪፕት።

rdware ንድፍ Example ክፍሎች

ምስል 4. 50GbE የሃርድዌር ዲዛይን Example የከፍተኛ ደረጃ እገዳ ንድፍintel-50G-Ethernet-Design-Example-FIG-4

የ 50GbE የሃርድዌር ንድፍ ምሳሌample የሚከተሉትን ክፍሎች ያካትታል

  • 50GbE IP ኮር.
  • የአይፒ ኮር እና የፓኬት ማመንጨት ፕሮግራምን የሚያስተባብር የደንበኛ አመክንዮ።
  • ATX PLL የመሳሪያውን የመተላለፊያ ቻናሎች ለመንዳት።
  • IOPLL 100 ሜኸ ሰአት ከ50 ሜኸር የግቤት ሰአት ወደ ሃርድዌር ዲዛይን የቀድሞ ለማመንጨትampለ.
  • JTAG ከሲስተም ኮንሶል ጋር የሚገናኝ መቆጣጠሪያ። በSystem Console በኩል ከደንበኛው አመክንዮ ጋር ይገናኛሉ።

ሠንጠረዥ 2. 50GbE IP Core Hardware Design Example File መግለጫዎች

File ስሞች መግለጫ
eth_ex_50g.qpf Quartus Prime ፕሮጀክት file
eth_ex_50g.qsf የኳርትስ ፕሮጀክት ቅንጅቶች file
eth_ex_50g.sdc ሲኖፕሲዎች የንድፍ ገደቦች file. ይህንን መቅዳት እና ማሻሻል ይችላሉ። file ለራስህ 50GbE ንድፍ።
ቀጠለ…

50GbE ፈጣን ጅምር መመሪያ

File ስሞች መግለጫ
eth_ex_50g.v ከፍተኛ-ደረጃ Verilog HDL ንድፍ ምሳሌample file
የተለመደ/ የሃርድዌር ንድፍ ለምሳሌampድጋፍ files
hwtest/main.tcl ዋና file የስርዓት ኮንሶልን ለመድረስ

ንድፍ በማመንጨት ላይ Example

ምስል 5. የአሰራር ሂደትintel-50G-Ethernet-Design-Example-FIG-5

ምስል 6. ዘፀampበ 50GbE Parameter Editor ውስጥ የንድፍ ታብintel-50G-Ethernet-Design-Example-FIG-6

የሃርድዌር ንድፍ ለማመንጨት እነዚህን ደረጃዎች ይከተሉample እና testbench

  1. የኢንቴል ኳርትስ ፕራይም ፕሮ እትም ሶፍትዌር ወይም የኢንቴል ኳርተስ ፕሪም ስታንዳርድ እትም ሶፍትዌር እየተጠቀሙ እንደሆነ ላይ በመመስረት ከሚከተሉት ድርጊቶች ውስጥ አንዱን ያከናውኑ፡ በ Intel Quartus Prime Pro እትም ላይ ጠቅ ያድርጉ። File ➤ አዲስ የፕሮጀክት አዋቂ አዲስ የኳርትስ ፕራይም ፕሮጄክት ለመፍጠር ወይም File ➤ የኳርትስ ፕራይም ፕሮጄክት ለመክፈት ፕሮጀክት ይክፈቱ። ጠንቋዩ መሣሪያን እንዲገልጹ ይጠይቅዎታል። በIntel Quartus Prime Standard Edition ሶፍትዌር ውስጥ፣ በአይፒ ካታሎግ (Tools IP Catalog) ውስጥ፣ የ Arria 10 ዒላማ መሣሪያ ቤተሰብን ይምረጡ።
  2. በአይፒ ካታሎግ ውስጥ 50G ኢተርኔትን ይፈልጉ እና ይምረጡ። አዲሱ የአይፒ ልዩነት መስኮት ይታያል.
  3. ለአይፒ ልዩነትዎ የከፍተኛ ደረጃ ስም ይግለጹ እና እሺን ጠቅ ያድርጉ። የመለኪያ አርታዒው ከፍተኛ-ደረጃ .qsys (በIntel Quartus Prime Standard Edition) ወይም .ip (በIntel Quartus Prime Pro እትም) ያክላል። file ወደ የአሁኑ ፕሮጀክት በራስ-ሰር. .qsys ወይም .ip ን እራስዎ እንዲያክሉ ከተጠየቁ file ወደ ፕሮጀክቱ ፕሮጀክት ➤ አክል/አስወግድ የሚለውን ይንኩ። Fileለመጨመር በፕሮጀክት ውስጥ file.
  4. በIntel Quartus Prime Standard Edition ሶፍትዌር ውስጥ በመሳሪያው መስክ ውስጥ አንድ የተወሰነ Arria 10 መሳሪያ መምረጥ ወይም Quartus Prime ሶፍትዌር ያቀረበውን ነባሪ መሳሪያ ማቆየት አለብዎት።
    ማስታወሻ፡- የሃርድዌር ንድፍ ለምሳሌample ምርጫውን በታለመው ሰሌዳ ላይ ባለው መሳሪያ ይተካዋል። የዒላማ ሰሌዳውን ከዲዛይን ዝርዝር ውስጥ ይገልጻሉ exampበ Example ንድፍ ትር (ደረጃ 8).
  5. እሺን ጠቅ ያድርጉ። የመለኪያ አርታዒው ይታያል.
  6. በአይፒ ትሩ ላይ የእርስዎን የአይፒ ዋና ልዩነት መለኪያዎችን ይግለጹ።
  7. በኤክስample ንድፍ ትር፣ ለኤክስample ንድፍ Fileዎች፣ የሙከራ ቤንች ለማመንጨት የሲሙሌሽን አማራጩን ይምረጡ እና የሃርድዌር ዲዛይን ለማመንጨት የSynthesis አማራጭን ይምረጡampለ. Verilog HDL ብቻ files የሚፈጠሩ ናቸው።
    ማስታወሻ፡- የሚሰራ VHDL IP ኮር የለም። ለእርስዎ የአይፒ ኮር ዲዛይን የቀድሞ Verilog HDL ብቻ ይጥቀሱampለ.
  8. ለሃርድዌር ቦርድ የAria 10 GX ትራንስሴቨር ሲግናል ኢንተግሪቲ ልማት ኪት ይምረጡ።
    ማስታወሻ፡- ይህንን ሃርድዌር ለማሄድ ተስማሚ ስለሆነው የመሳሪያ ስርዓት መረጃ ለማግኘት የIntel FPGA ተወካይዎን ያግኙampለ.
  9. Ex Generate ን ጠቅ ያድርጉample ንድፍ አዝራር. ምረጥ Example ንድፍ ማውጫ መስኮት ይታያል.
  10. ዲዛይኑን ማስተካከል ከፈለጉ example directory ዱካ ወይም ከሚታየው ነባሪዎች ስም (alt_e50_0_example_design)፣ ወደ አዲሱ መንገድ ያስሱ እና አዲሱን ንድፍ ይተይቡ የቀድሞampየ ማውጫ ስም (ample_dir>)።
  11. እሺን ጠቅ ያድርጉ።
  12. የKDB መልሱን ይመልከቱ የPLL cascading ወይም ለአርሪያ 10 ፒኤልኤል ማመሳከሪያ ሰዓት ጅረትን እንዴት ማካካስ እችላለሁ? ለችግሩ መፍትሄ በ.sdc ውስጥ ባለው የሃርድዌር_test_design ማውጫ ውስጥ ማመልከት አለቦት file.

ማስታወሻ፡- ይህን የKDB መልስ ማማከር አለብህ ምክንያቱም በ50GbE IP ኮር ውስጥ ያለው የRX ዱካ የተጣበቁ PLLዎችን ያካትታል። ስለዚህ፣ የአይፒ ኮር ሰዓቶች በAria 10 መሳሪያዎች ላይ ተጨማሪ ጅረት ሊያጋጥማቸው ይችላል። ይህ የKDB መልስ መፍትሄው አስፈላጊ የሆነበትን የሶፍትዌር ልቀቶችን ያብራራል።

ተዛማጅ መረጃ
የKDB መልስ፡ የ PLL cascading ወይም ለአርሪያ 10 ፒኤልኤል ማመሳከሪያ ሰዓት የጅረት ጅረትን እንዴት ማካካስ እችላለሁ?

የ50GbE ንድፍ ማስመሰል Example Testbench

ምስል 7. የአሰራር ሂደትintel-50G-Ethernet-Design-Example-FIG-7

የሙከራ ወንበሩን ለማስመሰል እነዚህን ደረጃዎች ይከተሉ

  1. ወደ testbench የማስመሰል ማውጫ ይቀይሩample_dir>/ ምሳሌample_testbench.
  2. ለመረጡት የሚደገፍ ሲሙሌተር የማስመሰል ስክሪፕቱን ያሂዱ። ስክሪፕቱ በሲሙሌተሩ ውስጥ ያለውን የሙከራ ቤንች ያጠናቅራል እና ያስኬዳል። ሰንጠረዡን ይመልከቱ "Testbench ን ለማስመሰል እርምጃዎች" .
  3. ውጤቱን ይተንትኑ. የተሳካው የፈተና ቤንች አስር ፓኬቶችን ይልካል፣ አስር ፓኬቶችን ይቀበላል እና “Testbench complete”ን ያሳያል።

ሠንጠረዥ 3. ቴስትቤንች ለማስመሰል ደረጃዎች

አስመሳይ መመሪያዎች
ሞዴል ሲም በትእዛዝ መስመር vsim -do run_vsim.do ብለው ይፃፉ

የሞዴል ሲም GUI ን ሳያመጡ ማስመሰል ከመረጡ vsim -c -do run_vsim.do ብለው ይተይቡ

ማስታወሻ፡- ModelSim* – Intel FPGA Edition simulator ይህን IP ኮር የማስመሰል አቅም የለውም። ሌላ የሚደገፍ ModelSim simulator እንደ ሞዴል ሲም SE መጠቀም አለብህ።

NCsim በትእዛዝ መስመር sh run_ncsim.sh ብለው ይተይቡ
ቪሲኤስ በትእዛዝ መስመር sh run_vcs.sh ብለው ይፃፉ
Xcelium በትእዛዝ መስመር sh run_xcelium.sh ብለው ይፃፉ

የተሳካው የሙከራ አሂድ የሚከተለውን ባህሪ የሚያረጋግጥ ውጤት ያሳያል

  1. RX ሰዓት እስኪረጋጋ ድረስ በመጠበቅ ላይ
  2. የPHY ሁኔታን በማተም ላይ
  3. 10 ፓኬጆችን በመላክ ላይ
  4. 10 ፓኬቶችን በመቀበል ላይ
  5. "Testbench ተጠናቋል" በማሳየት ላይ።

የሚከተሉት sample ውፅዓት የተሳካ የማስመሰል ሙከራን ያሳያል

  • #የማጣቀሻ ሰዓት በ625 ሜኸር ስለሚሰራ ሙሉ ቁጥሮች ለሁሉም የሰዓት ሰአታት መጠቀም ይችላሉ።
  • ትክክለኛ የሰዓት ድግግሞሾችን ለማግኘት #የሪፖርት ድግግሞሾችን በ33/32 ማባዛት።
  • #RX አሰላለፍ በመጠበቅ ላይ
  • #RX ዴስኬው ተቆልፏል
  • #RX ሌይን አሰላለፍ ተቆልፏል
  • #TX ነቅቷል።
  • #**** ፓኬት 1 በመላክ ላይ…
  • #**** ፓኬት 2 በመላክ ላይ…
  • #**** ፓኬት 3 በመላክ ላይ…
  • #**** ፓኬት 4 በመላክ ላይ…
  • #**** ፓኬት 5 በመላክ ላይ…
  • #**** ፓኬት 6 በመላክ ላይ…
  • #**** ፓኬት 7 በመላክ ላይ…
  • #**** የተቀበለው ፓኬት 1…
  • #**** ፓኬት 8 በመላክ ላይ…
  • #**** የተቀበለው ፓኬት 2…
  • #**** ፓኬት 9 በመላክ ላይ…
  • #**** የተቀበለው ፓኬት 3…
  • #**** ፓኬት 10 በመላክ ላይ…
  • #**** የተቀበለው ፓኬት 4…
  • #**** የተቀበለው ፓኬት 5…
  • #**** የተቀበለው ፓኬት 6…
  • #**** የተቀበለው ፓኬት 7…
  • #**** የተቀበለው ፓኬት 8…
  • #**** የተቀበለው ፓኬት 9…
  • #**** የተቀበለው ፓኬት 10…
  • #**
  • #**** Testbench ተጠናቅቋል።
  • #**
  • *********************************

ንድፉን ማጠናቀር እና ማዋቀር Example በሃርድዌር ውስጥ

የሃርድዌር ንድፍ ለማጠናቀር example እና በእርስዎ Aria 10 GT መሣሪያ ላይ ያዋቅሩት፣ እነዚህን ደረጃዎች ይከተሉ

  1. የሃርድዌር ዲዛይን ያረጋግጡ exampትውልድ ሙሉ ነው.
  2. በIntel Quartus Prime ሶፍትዌር ውስጥ የIntel Quartus Prime ፕሮጄክትን ይክፈቱample_dir>/የሃርድዌር_ሙከራ_ንድፍ/eth_ex_50g.qpf.
  3. ከማጠናቀርዎ በፊት መፍትሄውን ከKDB መልስ መተግበሩን ያረጋግጡ የPLL cascading ወይም ለአሪያ 10 ፒኤልኤል ማመሳከሪያ ሰዓት የጅረት ጅረትን እንዴት ማካካስ እችላለሁ? ለሶፍትዌር ልቀትዎ አስፈላጊ ከሆነ።
  4. በማቀነባበሪያ ምናሌው ላይ ጀምር ማጠናቀርን ጠቅ ያድርጉ።
  5. የ SRAM ነገር ካመነጩ በኋላ file .sof፣ የሃርድዌር ዲዛይን ለማቀድ እነዚህን ደረጃዎች ይከተሉampበ Aria 10 መሣሪያ ላይ:
  • በመሳሪያዎች ሜኑ ላይ ፕሮግራመርን ጠቅ ያድርጉ።
  • በፕሮግራመር ውስጥ የሃርድዌር ማዋቀርን ጠቅ ያድርጉ።
  • ፕሮግራሚንግ መሳሪያ ይምረጡ።
  • የAria 10 GT ቦርድን ከ25ጂ ሬቲመር ጋር ወደ ኢንቴል ኳርትስ ፕራይም ክፍለ ጊዜ ምረጥ እና ጨምር።
  • ሁነታ ወደ ጄ መዘጋጀቱን ያረጋግጡTAG.
  • የ Arria 10 መሣሪያን ይምረጡ እና አክል መሣሪያን ጠቅ ያድርጉ። ፕሮግራመር በቦርድዎ ላይ ባሉ መሳሪያዎች መካከል ያለውን ግንኙነት የማገጃ ዲያግራም ያሳያል።
  • ከሶፍዎ ጋር ባለው ረድፍ ላይ ለሶፍ ሳጥኑ ላይ ምልክት ያድርጉ።
  • በፕሮግራም/አዋቅር አምድ ውስጥ ባለው ሳጥን ላይ ምልክት ያድርጉ።
  • ጀምርን ጠቅ ያድርጉ

ማስታወሻ፡- ይህ ንድፍ example የ Arria 10 GT መሣሪያን ያነጣጠረ ነው። ይህንን የሃርድዌር የቀድሞ ለማስኬድ ተስማሚ የሆነ የመሳሪያ ስርዓት ለመጠየቅ እባክዎ የIntel FPGA ተወካይዎን ያነጋግሩample

ተዛማጅ መረጃ

  • የKDB መልስ፡ የ PLL cascading ወይም ለAria 10 PLL ማመሳከሪያ ሰዓት የጅረት ጅረትን እንዴት ማካካስ እችላለሁ?
  • ለተዋረድ እና ለቡድን-ተኮር ዲዛይን ተጨማሪ ማጠናቀር
  • ፕሮግራሚንግ ኢንቴል FPGA መሣሪያዎች

የ50GbE የሃርድዌር ዲዛይን Example

የ 50GbE IP core ንድፍ ካጠናቀርክ በኋላ example እና በእርስዎ Arria 10 GT መሣሪያ ላይ አዋቅር፣ የአይፒ ኮርን እና በውስጡ የተከተተ ቤተኛ PHY IP core መመዝገቢያ ፕሮግራም ለማድረግ የSystem Consoleን መጠቀም ይችላሉ። የሲስተም ኮንሶሉን ለማብራት እና የሃርድዌር ዲዛይን ለምሳሌampየሚከተሉትን ደረጃዎች ይከተሉ

  1. ከሃርድዌር ንድፍ በኋላ example በ Arria 10 መሣሪያ ላይ ተዋቅሯል፣ በIntel Quartus Prime ሶፍትዌር፣ በመሳሪያዎች ሜኑ ላይ የስርዓት ማረም መሳሪያዎች ➤ ሲስተም ኮንሶል ላይ ጠቅ ያድርጉ።
  2. በTcl Console መቃን ውስጥ ማውጫን ለመቀየር cd hwtest ይተይቡample_dir>/hardware_test_design/hwtest.
  3. ከጄ ጋር ግንኙነት ለመክፈት ምንጩን main.tcl ይተይቡTAG መምህር።

የ IP ኮር በሚከተለው ንድፍ ለምሳሌ ፕሮግራም ማድረግ ይችላሉample ያዛል

  • chkphy_status፡ የሰዓት ድግግሞሾችን እና የPHY መቆለፊያ ሁኔታን ያሳያል።
  • start_pkt_gen፡ የፓኬት ጀነሬተርን ይጀምራል።
  • stop_pkt_gen: የፓኬት ማመንጫውን ያቆማል።
  • loop_on: የውስጥ ተከታታይ loopback ያበራል።
  • loop_off: የውስጥ ተከታታይ loopback ያጠፋል።
  • reg_አንብብ የአይፒ ኮር መመዝገቢያ ዋጋን በ .
  • reg_ጻፍ : ይጽፋል በአድራሻ ወደ IP ዋና መዝገብ .

ተዛማጅ መረጃ

  • 50GbE ንድፍ Example በገጽ 13 ላይ ተመዝግቧል ካርታ ለሃርድዌር ዲዛይን ምሳሌampለ.
  • በስርዓት ኮንሶል ዲዛይኖችን መተንተን እና ማረም

ንድፍ Exampመግለጫ

ንድፍ example የ50GbE ኮር ተግባራትን ከ IEEE 802.3ba መደበኛ CAUI-4 ዝርዝር ጋር የሚያከብር ከትራንስሲቨር በይነገጽ ጋር ያሳያል። ንድፉን ከኤክስampበ 50GbE መለኪያ አርታዒ ውስጥ የንድፍ ትር። ንድፍ ለማመንጨት exampበመጨረሻው ምርትዎ ላይ ለማመንጨት ላሰቡት የአይፒ ኮር ልዩነት መጀመሪያ የመለኪያ እሴቶችን ማዘጋጀት አለብዎት። ንድፍ ማመንጨት example የአይፒ ኮር ቅጂ ይፈጥራል; የ testbench እና ሃርድዌር ንድፍ exampይህንን ልዩነት እንደ DUT ይጠቀሙ። ለDUT የመለኪያ እሴቶቹን በመጨረሻው ምርትዎ ውስጥ ካሉት የመለኪያ እሴቶች ጋር እንዲዛመድ ካላስቀመጡ፣ ዲዛይኑ የቀድሞampእርስዎ ያመነጩት ያሰቡትን የአይፒ ዋና ልዩነት አይጠቀምም።

ማስታወሻ፡- የ testbench የአይፒ ኮር መሰረታዊ ሙከራን ያሳያል። ሙሉ የማረጋገጫ አካባቢን ለመተካት የታሰበ አይደለም። በሲሙሌሽን እና በሃርድዌር ውስጥ የራስዎን 50GbE ንድፍ የበለጠ ሰፊ ማረጋገጫ ማከናወን አለብዎት።

ተዛማጅ መረጃ
Intel Arria® 10 50Gbps የኤተርኔት IP ኮር የተጠቃሚ መመሪያ

ንድፍ Example ባህሪ
የሙከራ ወንበሩ ትራፊክን በአይፒ ኮር በኩል ይልካል ፣ የማስተላለፊያውን ጎን በመለማመድ እና የአይፒ ኮር ጎን ይቀበላል። በሃርድዌር ንድፍ ውስጥ ለምሳሌampየአይፒ ኮርን በውስጣዊ ተከታታይ loopback ሁነታ ፕሮግራም ማድረግ እና በተቀባዩ በኩል ወደ ኋላ በሚዞረው የማስተላለፊያ በኩል ትራፊክ መፍጠር ይችላሉ።

ንድፍ Exampየ በይነገጽ ምልክቶች
50GbE የሙከራ ቤንች እራሱን የቻለ እና ምንም አይነት የግቤት ሲግናሎች እንዲነዱ አይፈልግም።

ሠንጠረዥ 4. 50GbE የሃርድዌር ዲዛይን Exampየ በይነገጽ ምልክቶች

ሲግናል አቅጣጫ አስተያየቶች
 

clk50

 

ግቤት

በ 50 MHz ያሽከርክሩ። ዓላማው ይህንን በቦርዱ ላይ ካለው 50Mhz oscillator መንዳት ነው።
clk_ማጣቀሻ ግቤት በ644.53125 ሜኸር ያሽከርክሩ።
 

ሲፒዩ_ዳግም ማስጀመር

 

ግቤት

የአይፒ ኮርን እንደገና ያስጀምራል። ንቁ ዝቅተኛ። ዓለም አቀፉን የሃርድ ዳግም ማስጀመሪያ csr_reset_n ወደ IP ኮር ይነዳል።
ቀጠለ…

ኢንቴል ኮርፖሬሽን. መብቱ በህግ የተጠበቀ ነው. ኢንቴል፣ የኢንቴል አርማ እና ሌሎች የኢንቴል ምልክቶች የኢንቴል ኮርፖሬሽን ወይም የስርጭቱ የንግድ ምልክቶች ናቸው። ኢንቴል የኤፍፒጂኤ እና ሴሚኮንዳክተር ምርቶቹን በIntel መደበኛ ዋስትና መሰረት ለአሁኑ ዝርዝር መግለጫዎች ዋስትና ይሰጣል፣ነገር ግን በማናቸውም ምርቶች እና አገልግሎቶች ላይ ያለማሳወቂያ በማንኛውም ጊዜ ለውጦችን የማድረግ መብቱ የተጠበቀ ነው። ኢንቴል በዚህ ውስጥ የተገለጸውን ማንኛውንም መረጃ፣ ምርት ወይም አገልግሎት ከመተግበሩ ወይም ከመጠቀሙ የተነሳ ምንም አይነት ሃላፊነት ወይም ተጠያቂነት አይወስድም። የኢንቴል ደንበኞች በማናቸውም የታተመ መረጃ ላይ ከመታመንዎ በፊት እና ለምርቶች ወይም አገልግሎቶች ትዕዛዝ ከማቅረባቸው በፊት የቅርብ ጊዜውን የመሳሪያ ዝርዝር መግለጫዎችን እንዲያገኙ ይመከራሉ። *ሌሎች ስሞች እና የንግድ ምልክቶች እንደሌሎች ንብረት ሊጠየቁ ይችላሉ።

ሲግናል አቅጣጫ አስተያየቶች
tx_serial[1:0] ውፅዓት Transceiver PHY የውጤት ተከታታይ ውሂብ።
rx_serial[1:0] ግቤት የ Transceiver PHY የግቤት ተከታታይ ውሂብ።
 

 

 

 

 

 

ተጠቃሚ_ሊድ[7:0]

 

 

 

 

 

 

 

ውፅዓት

የሁኔታ ምልክቶች የሃርድዌር ንድፍ ለምሳሌample እነዚህን ቢትስ በዒላማው ሰሌዳ ላይ ኤልኢዲዎችን ለመንዳት ያገናኛል። የግለሰብ ቢትስ የሚከተሉትን የሲግናል እሴቶች እና የሰዓት ባህሪ ያንፀባርቃል፡

• [0]፡ ወደ IP ኮር ዋና ዳግም ማስጀመሪያ ምልክት

• [1]፡ የተከፋፈለ የ clk_ref ስሪት

• [2]፡ የተከፋፈለ የ clk50 ስሪት

• [3]፡ የተከፋፈለው የ100 MHz ሁኔታ ሰዓት

• [4]፡ tx_lanes_stable

• [5]፡ rx_block_lock

• [6]፡ rx_am_lock

• [7]፡ rx_pcs_ዝግጁ

ተዛማጅ መረጃ
በይነገጾች እና የሲግናል መግለጫዎች ስለ 50GbE IP ኮር ሲግናሎች እና ስላላቸው በይነገጾች ዝርዝር መግለጫዎችን ያቀርባል።

50GbE ንድፍ Example ይመዘገባል

ሠንጠረዥ 5. 50GbE የሃርድዌር ዲዛይን Exampካርታ ይመዝገቡ
ለሃርድዌር ዲዛይን የቀድሞ የማህደረ ትውስታ ካርታ መመዝገቢያ ክልሎችን ይዘረዝራል።ampለ. እነዚህን መዝገቦች በስርዓት ኮንሶል ውስጥ በ reg_read እና reg_write ተግባራት ያገኙታል።

የቃል ማካካሻ ምድብ ይመዝገቡ
0x300-0x5FF 50GbE IP ኮር መመዝገቢያዎች.
0x4000–0x4C00 Arria 10 ተለዋዋጭ የመልሶ ማዋቀር መዝገቦች። የመመዝገቢያ አድራሻ ለሌን 0 4000x0 እና 0x4400 ለሌን 1 ነው።

ተዛማጅ መረጃ

  • የ50GbE የሃርድዌር ዲዛይን Example በገጽ 11 ላይ የስርዓት ኮንሶል የአይፒ ኮር እና ቤተኛ PHY መመዝገቢያዎችን ለመድረስ ያዝዛል።
  • 50GbE የቁጥጥር እና የሁኔታ መመዝገቢያ መግለጫዎች የ50GbE IP ዋና መዝገቦችን ይገልጻል።

የሰነድ ማሻሻያ ታሪክ

ጠረጴዛ 6. 50G የኤተርኔት ንድፍ Example የተጠቃሚ መመሪያ ክለሳ ታሪክ

ቀን መልቀቅ ለውጦች
2019.04.03 17.0 የXcelium ማስመሰሎችን ለማሄድ ትዕዛዙን ታክሏል።
 

 

 

2017.11.08

 

 

 

17.0

በIP core ውስጥ ATX PLL ዎችን በማፍሰስ ምክንያት በIntel Arria® 10 መሳሪያዎች ላይ ሊፈጠር ለሚችለው ጅረት መፍትሄ የሚሰጥ የKDB መልስ ታክሏል።

ተመልከት ንድፍ በማመንጨት ላይ Example ገጽ 7 እና ማጠናቀር እና ንድፉን በማዋቀር ላይ Example በሃርድዌር ውስጥ በገጽ 10 ላይ።

ይህ ንድፍ exampየተጠቃሚ መመሪያ ለማንፀባረቅ አልተዘመነም።

ማስታወሻ፡- በ Intel Quartus Prime ውስጥ በንድፍ ማመንጨት ላይ ትንሽ ለውጦች ከ Intel Quartus Prime ሶፍትዌር መለቀቅ በኋላ ይለቀቃሉ

v17.0.

2017.05.08 17.0 የመጀመሪያ ይፋዊ ልቀት።

ኢንቴል ኮርፖሬሽን. መብቱ በህግ የተጠበቀ ነው. ኢንቴል፣ የኢንቴል አርማ እና ሌሎች የኢንቴል ምልክቶች የኢንቴል ኮርፖሬሽን ወይም የስርጭቱ የንግድ ምልክቶች ናቸው። ኢንቴል የኤፍፒጂኤ እና ሴሚኮንዳክተር ምርቶቹን በIntel መደበኛ ዋስትና መሰረት ለአሁኑ ዝርዝር መግለጫዎች ዋስትና ይሰጣል፣ነገር ግን በማናቸውም ምርቶች እና አገልግሎቶች ላይ ያለማሳወቂያ በማንኛውም ጊዜ ለውጦችን የማድረግ መብቱ የተጠበቀ ነው። ኢንቴል በዚህ ውስጥ የተገለጸውን ማንኛውንም መረጃ፣ ምርት ወይም አገልግሎት ከመተግበሩ ወይም ከመጠቀሙ የተነሳ ምንም አይነት ሃላፊነት ወይም ተጠያቂነት አይወስድም። የኢንቴል ደንበኞች በማናቸውም የታተመ መረጃ ላይ ከመታመንዎ በፊት እና ለምርቶች ወይም አገልግሎቶች ትዕዛዝ ከማቅረባቸው በፊት የቅርብ ጊዜውን የመሳሪያ ዝርዝር መግለጫዎችን እንዲያገኙ ይመከራሉ። *ሌሎች ስሞች እና የንግድ ምልክቶች እንደሌሎች ንብረት ሊጠየቁ ይችላሉ።

ሰነዶች / መርጃዎች

intel 50G የኤተርኔት ንድፍ Example [pdf] የተጠቃሚ መመሪያ
50G የኤተርኔት ንድፍ Example, 50G, የኤተርኔት ንድፍ Example, ንድፍ Example

ዋቢዎች

አስተያየት ይስጡ

የኢሜል አድራሻዎ አይታተምም። አስፈላጊ መስኮች ምልክት ተደርጎባቸዋል *