ಲೋಗೋ

intel 50G ಎತರ್ನೆಟ್ ವಿನ್ಯಾಸ ಎಕ್ಸ್ample

intel-50G-Ethernet-Design-Example-PRODACT-IMG

50GbE ತ್ವರಿತ ಪ್ರಾರಂಭ ಮಾರ್ಗದರ್ಶಿ

50GbE IP ಕೋರ್ ಸಿಮ್ಯುಲೇಶನ್ ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಮತ್ತು ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸವನ್ನು ಒದಗಿಸುತ್ತದೆampಸಂಕಲನ ಮತ್ತು ಯಂತ್ರಾಂಶ ಪರೀಕ್ಷೆಯನ್ನು ಬೆಂಬಲಿಸುವ le. ನೀವು ವಿನ್ಯಾಸವನ್ನು ರಚಿಸಿದಾಗ ಮಾಜಿample, ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್ ಸ್ವಯಂಚಾಲಿತವಾಗಿ ರಚಿಸುತ್ತದೆ fileಹಾರ್ಡ್‌ವೇರ್‌ನಲ್ಲಿ ವಿನ್ಯಾಸವನ್ನು ಅನುಕರಿಸಲು, ಕಂಪೈಲ್ ಮಾಡಲು ಮತ್ತು ಪರೀಕ್ಷಿಸಲು ರು ಅಗತ್ಯ. ನೀವು ಸಂಕಲಿಸಿದ ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸವನ್ನು Arria 10 GT ಸಾಧನಕ್ಕೆ ಡೌನ್‌ಲೋಡ್ ಮಾಡಬಹುದು.

ಗಮನಿಸಿ: ಈ ವಿನ್ಯಾಸ ಮಾಜಿample Arria 10 GT ಸಾಧನವನ್ನು ಗುರಿಪಡಿಸುತ್ತದೆ ಮತ್ತು 25G ರಿಟೈಮರ್ ಅಗತ್ಯವಿದೆ. ಈ ಹಾರ್ಡ್‌ವೇರ್ ಎಕ್ಸ್ ಅನ್ನು ಚಲಾಯಿಸಲು ಸೂಕ್ತವಾದ ಪ್ಲಾಟ್‌ಫಾರ್ಮ್ ಕುರಿತು ವಿಚಾರಿಸಲು ದಯವಿಟ್ಟು ನಿಮ್ಮ Intel FPGA ಪ್ರತಿನಿಧಿಯನ್ನು ಸಂಪರ್ಕಿಸಿampಲೆ. ಕೆಲವು ಸಂದರ್ಭಗಳಲ್ಲಿ ಸೂಕ್ತವಾದ ಯಂತ್ರಾಂಶದ ಸಾಲವು ಲಭ್ಯವಿರಬಹುದು. ಜೊತೆಗೆ, ಇಂಟೆಲ್ ಸಂಕಲನ-ಮಾತ್ರ ಮಾಜಿ ಒದಗಿಸುತ್ತದೆampಐಪಿ ಕೋರ್ ಪ್ರದೇಶ ಮತ್ತು ಸಮಯವನ್ನು ತ್ವರಿತವಾಗಿ ಅಂದಾಜು ಮಾಡಲು ನೀವು ಬಳಸಬಹುದಾದ ಯೋಜನೆ.

ಚಿತ್ರ 1. ವಿನ್ಯಾಸ ಎಕ್ಸ್ampಲೆ ಬಳಕೆintel-50G-Ethernet-Design-Example-FIG-1

ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್. ಎಲ್ಲ ಹಕ್ಕುಗಳನ್ನು ಕಾಯ್ದಿರಿಸಲಾಗಿದೆ. ಇಂಟೆಲ್, ಇಂಟೆಲ್ ಲೋಗೋ ಮತ್ತು ಇತರ ಇಂಟೆಲ್ ಗುರುತುಗಳು ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್ ಅಥವಾ ಅದರ ಅಂಗಸಂಸ್ಥೆಗಳ ಟ್ರೇಡ್‌ಮಾರ್ಕ್‌ಗಳಾಗಿವೆ. ಇಂಟೆಲ್ ತನ್ನ ಎಫ್‌ಪಿಜಿಎ ಮತ್ತು ಸೆಮಿಕಂಡಕ್ಟರ್ ಉತ್ಪನ್ನಗಳ ಕಾರ್ಯಕ್ಷಮತೆಯನ್ನು ಇಂಟೆಲ್‌ನ ಪ್ರಮಾಣಿತ ಖಾತರಿಗೆ ಅನುಗುಣವಾಗಿ ಪ್ರಸ್ತುತ ವಿಶೇಷಣಗಳಿಗೆ ಖಾತರಿಪಡಿಸುತ್ತದೆ, ಆದರೆ ಯಾವುದೇ ಸೂಚನೆಯಿಲ್ಲದೆ ಯಾವುದೇ ಉತ್ಪನ್ನಗಳು ಮತ್ತು ಸೇವೆಗಳಿಗೆ ಬದಲಾವಣೆಗಳನ್ನು ಮಾಡುವ ಹಕ್ಕನ್ನು ಕಾಯ್ದಿರಿಸಿದೆ. ಇಂಟೆಲ್ ಲಿಖಿತವಾಗಿ ಒಪ್ಪಿಗೆ ಸೂಚಿಸಿರುವುದನ್ನು ಹೊರತುಪಡಿಸಿ ಇಲ್ಲಿ ವಿವರಿಸಿದ ಯಾವುದೇ ಮಾಹಿತಿ, ಉತ್ಪನ್ನ ಅಥವಾ ಸೇವೆಯ ಅಪ್ಲಿಕೇಶನ್ ಅಥವಾ ಬಳಕೆಯಿಂದ ಉಂಟಾಗುವ ಯಾವುದೇ ಜವಾಬ್ದಾರಿ ಅಥವಾ ಹೊಣೆಗಾರಿಕೆಯನ್ನು Intel ಊಹಿಸುವುದಿಲ್ಲ. ಇಂಟೆಲ್ ಗ್ರಾಹಕರು ಯಾವುದೇ ಪ್ರಕಟಿತ ಮಾಹಿತಿಯನ್ನು ಅವಲಂಬಿಸುವ ಮೊದಲು ಮತ್ತು ಉತ್ಪನ್ನಗಳು ಅಥವಾ ಸೇವೆಗಳಿಗೆ ಆರ್ಡರ್ ಮಾಡುವ ಮೊದಲು ಸಾಧನದ ವಿಶೇಷಣಗಳ ಇತ್ತೀಚಿನ ಆವೃತ್ತಿಯನ್ನು ಪಡೆದುಕೊಳ್ಳಲು ಸಲಹೆ ನೀಡಲಾಗುತ್ತದೆ. *ಇತರ ಹೆಸರುಗಳು ಮತ್ತು ಬ್ರ್ಯಾಂಡ್‌ಗಳನ್ನು ಇತರರ ಆಸ್ತಿ ಎಂದು ಕ್ಲೈಮ್ ಮಾಡಬಹುದು.

ವಿನ್ಯಾಸ ಎಕ್ಸ್ampಲೆ ಡೈರೆಕ್ಟರಿ ರಚನೆ

ಚಿತ್ರ 2. 50GbE ವಿನ್ಯಾಸ ಉದಾampಲೆ ಡೈರೆಕ್ಟರಿ ರಚನೆintel-50G-Ethernet-Design-Example-FIG-2

ಹಾರ್ಡ್‌ವೇರ್ ಕಾನ್ಫಿಗರೇಶನ್ ಮತ್ತು ಪರೀಕ್ಷೆ files (ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸ ಉದಾampಲೆ) ನಲ್ಲಿ ನೆಲೆಗೊಂಡಿವೆample_dir>/hardware_test_design. ಸಿಮ್ಯುಲೇಶನ್ files (ಸಿಮ್ಯುಲೇಶನ್‌ಗಾಗಿ ಮಾತ್ರ ಪರೀಕ್ಷಾ ಬೆಂಚ್) ಇದೆample_dir>/ ಉದಾample_testbench.ಸಂಕಲನ-ಮಾತ್ರ ವಿನ್ಯಾಸ ಮಾಜಿample ನಲ್ಲಿ ಇದೆample_dir>/compilation_test_design.

ಸಿಮ್ಯುಲೇಶನ್ ಡಿಸೈನ್ ಎಕ್ಸ್ampಲೆ ಘಟಕಗಳು

ಚಿತ್ರ 3. 50GbE ಸಿಮ್ಯುಲೇಶನ್ ವಿನ್ಯಾಸ ಎಕ್ಸ್ample ಬ್ಲಾಕ್ ರೇಖಾಚಿತ್ರintel-50G-Ethernet-Design-Example-FIG-3

ಸಿಮ್ಯುಲೇಶನ್ ಎಕ್ಸ್ampವಿನ್ಯಾಸ ಉನ್ನತ ಮಟ್ಟದ ಪರೀಕ್ಷೆ file Basic_avl_tb_top.sv ಇದು file ATX PLL ಅನ್ನು ತ್ವರಿತವಾಗಿ ಮತ್ತು ಸಂಪರ್ಕಿಸುತ್ತದೆ. 50 ಪ್ಯಾಕೆಟ್‌ಗಳನ್ನು ಕಳುಹಿಸಲು ಮತ್ತು ಸ್ವೀಕರಿಸಲು ಇದು ಕಾರ್ಯವನ್ನು ಒಳಗೊಂಡಿದೆ, send_packets_10g_avl.

ಕೋಷ್ಟಕ 1. 50GbE IP ಕೋರ್ ಟೆಸ್ಟ್‌ಬೆಂಚ್ File ವಿವರಣೆಗಳು

File ಹೆಸರು ವಿವರಣೆ
ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಮತ್ತು ಸಿಮ್ಯುಲೇಶನ್ Files
Basic_avl_tb_top.sv ಉನ್ನತ ಮಟ್ಟದ ಪರೀಕ್ಷಾ ಬೆಂಚ್ file. ಟೆಸ್ಟ್‌ಬೆಂಚ್ DUT ಅನ್ನು ಸ್ಥಾಪಿಸುತ್ತದೆ ಮತ್ತು ಪ್ಯಾಕೆಟ್‌ಗಳನ್ನು ಉತ್ಪಾದಿಸಲು ಮತ್ತು ಸ್ವೀಕರಿಸಲು ವೆರಿಲಾಗ್ HDL ಕಾರ್ಯಗಳನ್ನು ನಡೆಸುತ್ತದೆ.
ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಸ್ಕ್ರಿಪ್ಟ್‌ಗಳು
run_vsim.do ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಅನ್ನು ಚಲಾಯಿಸಲು ಮಾಡೆಲ್‌ಸಿಮ್ ಸ್ಕ್ರಿಪ್ಟ್.
ರನ್_vcs.sh ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಅನ್ನು ಚಲಾಯಿಸಲು ಸಿನೊಪ್ಸಿಸ್ VCS ಸ್ಕ್ರಿಪ್ಟ್.
run_ncsim.sh ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಅನ್ನು ಚಲಾಯಿಸಲು ಕ್ಯಾಡೆನ್ಸ್ NCSim ಸ್ಕ್ರಿಪ್ಟ್.
ರನ್_xcelium.sh ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಅನ್ನು ಚಲಾಯಿಸಲು ಕ್ಯಾಡೆನ್ಸ್ ಎಕ್ಸ್‌ಸೀಲಿಯಮ್* ಸ್ಕ್ರಿಪ್ಟ್.

rdware ವಿನ್ಯಾಸ ಎಕ್ಸ್ampಲೆ ಘಟಕಗಳು

ಚಿತ್ರ 4. 50GbE ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸ ಎಕ್ಸ್ample ಉನ್ನತ ಮಟ್ಟದ ಬ್ಲಾಕ್ ರೇಖಾಚಿತ್ರintel-50G-Ethernet-Design-Example-FIG-4

50GbE ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸ ಮಾಜಿample ಕೆಳಗಿನ ಘಟಕಗಳನ್ನು ಒಳಗೊಂಡಿದೆ

  • 50GbE IP ಕೋರ್.
  • IP ಕೋರ್ ಮತ್ತು ಪ್ಯಾಕೆಟ್ ಉತ್ಪಾದನೆಯ ಪ್ರೋಗ್ರಾಮಿಂಗ್ ಅನ್ನು ಸಂಘಟಿಸುವ ಕ್ಲೈಂಟ್ ಲಾಜಿಕ್.
  • ಸಾಧನ ಟ್ರಾನ್ಸ್‌ಸಿವರ್ ಚಾನಲ್‌ಗಳನ್ನು ಚಾಲನೆ ಮಾಡಲು ATX PLL.
  • 100 MHz ಇನ್‌ಪುಟ್ ಗಡಿಯಾರದಿಂದ ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸಕ್ಕೆ 50 MHz ಗಡಿಯಾರವನ್ನು ಉತ್ಪಾದಿಸಲು IOPLLampಲೆ.
  • JTAG ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್‌ನೊಂದಿಗೆ ಸಂವಹನ ನಡೆಸುವ ನಿಯಂತ್ರಕ. ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್ ಮೂಲಕ ನೀವು ಕ್ಲೈಂಟ್ ಲಾಜಿಕ್‌ನೊಂದಿಗೆ ಸಂವಹನ ನಡೆಸುತ್ತೀರಿ.

ಕೋಷ್ಟಕ 2. 50GbE IP ಕೋರ್ ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸ ಎಕ್ಸ್ample File ವಿವರಣೆಗಳು

File ಹೆಸರುಗಳು ವಿವರಣೆ
eth_ex_50g.qpf ಕ್ವಾರ್ಟಸ್ ಪ್ರಧಾನ ಯೋಜನೆ file
eth_ex_50g.qsf ಕ್ವಾರ್ಟಸ್ ಪ್ರಾಜೆಕ್ಟ್ ಸೆಟ್ಟಿಂಗ್‌ಗಳು file
eth_ex_50g.sdc ಸಾರಾಂಶ ವಿನ್ಯಾಸ ನಿರ್ಬಂಧಗಳು file. ನೀವು ಇದನ್ನು ನಕಲಿಸಬಹುದು ಮತ್ತು ಮಾರ್ಪಡಿಸಬಹುದು file ನಿಮ್ಮ ಸ್ವಂತ 50GbE ವಿನ್ಯಾಸಕ್ಕಾಗಿ.
ಮುಂದುವರೆಯಿತು…

50GbE ತ್ವರಿತ ಪ್ರಾರಂಭ ಮಾರ್ಗದರ್ಶಿ

File ಹೆಸರುಗಳು ವಿವರಣೆ
eth_ex_50g.v ಉನ್ನತ ಮಟ್ಟದ ವೆರಿಲಾಗ್ HDL ವಿನ್ಯಾಸ ಮಾಜಿample file
ಸಾಮಾನ್ಯ/ ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸ ಉದಾample ಬೆಂಬಲ files
hwtest/main.tcl ಮುಖ್ಯ file ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್ ಅನ್ನು ಪ್ರವೇಶಿಸಲು

ವಿನ್ಯಾಸ ಎಕ್ಸ್ ಅನ್ನು ರಚಿಸುವುದುample

ಚಿತ್ರ 5. ಕಾರ್ಯವಿಧಾನintel-50G-Ethernet-Design-Example-FIG-5

ಚಿತ್ರ 6. ಉದಾamp50GbE ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್‌ನಲ್ಲಿ ವಿನ್ಯಾಸ ಟ್ಯಾಬ್intel-50G-Ethernet-Design-Example-FIG-6

ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸವನ್ನು ರಚಿಸಲು ಈ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿampಲೆ ಮತ್ತು ಟೆಸ್ಟ್‌ಬೆಂಚ್

  1. ನೀವು ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರೊ ಆವೃತ್ತಿ ಸಾಫ್ಟ್‌ವೇರ್ ಅಥವಾ ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಸ್ಟ್ಯಾಂಡರ್ಡ್ ಎಡಿಷನ್ ಸಾಫ್ಟ್‌ವೇರ್ ಅನ್ನು ಬಳಸುತ್ತಿದ್ದೀರಾ ಎಂಬುದನ್ನು ಅವಲಂಬಿಸಿ, ಈ ಕೆಳಗಿನ ಕ್ರಿಯೆಗಳಲ್ಲಿ ಒಂದನ್ನು ಮಾಡಿ: ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರೊ ಆವೃತ್ತಿಯಲ್ಲಿ, ಕ್ಲಿಕ್ ಮಾಡಿ File ➤ ಹೊಸ ಕ್ವಾರ್ಟಸ್ ಪ್ರಧಾನ ಯೋಜನೆಯನ್ನು ರಚಿಸಲು ಹೊಸ ಪ್ರಾಜೆಕ್ಟ್ ವಿಝಾರ್ಡ್, ಅಥವಾ File ➤ ಅಸ್ತಿತ್ವದಲ್ಲಿರುವ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರಾಜೆಕ್ಟ್ ತೆರೆಯಲು ಪ್ರಾಜೆಕ್ಟ್ ತೆರೆಯಿರಿ. ಸಾಧನವನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಲು ಮಾಂತ್ರಿಕ ನಿಮ್ಮನ್ನು ಕೇಳುತ್ತದೆ. Intel Quartus Prime Standard Edition ಸಾಫ್ಟ್‌ವೇರ್‌ನಲ್ಲಿ, IP ಕ್ಯಾಟಲಾಗ್‌ನಲ್ಲಿ (ಟೂಲ್ಸ್ IP ಕ್ಯಾಟಲಾಗ್), Arria 10 ಗುರಿ ಸಾಧನ ಕುಟುಂಬವನ್ನು ಆಯ್ಕೆಮಾಡಿ.
  2. IP ಕ್ಯಾಟಲಾಗ್‌ನಲ್ಲಿ, 50G ಈಥರ್ನೆಟ್ ಅನ್ನು ಪತ್ತೆ ಮಾಡಿ ಮತ್ತು ಆಯ್ಕೆಮಾಡಿ. ಹೊಸ IP ಬದಲಾವಣೆ ವಿಂಡೋ ಕಾಣಿಸಿಕೊಳ್ಳುತ್ತದೆ.
  3. ನಿಮ್ಮ ಐಪಿ ಬದಲಾವಣೆಗೆ ಉನ್ನತ ಮಟ್ಟದ ಹೆಸರನ್ನು ಸೂಚಿಸಿ ಮತ್ತು ಸರಿ ಕ್ಲಿಕ್ ಮಾಡಿ. ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್ ಉನ್ನತ ಮಟ್ಟದ .qsys (ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಸ್ಟ್ಯಾಂಡರ್ಡ್ ಆವೃತ್ತಿಯಲ್ಲಿ) ಅಥವಾ .ip (ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಪ್ರೊ ಆವೃತ್ತಿಯಲ್ಲಿ) ಸೇರಿಸುತ್ತದೆ file ಪ್ರಸ್ತುತ ಯೋಜನೆಗೆ ಸ್ವಯಂಚಾಲಿತವಾಗಿ. .qsys ಅಥವಾ .ip ಅನ್ನು ಹಸ್ತಚಾಲಿತವಾಗಿ ಸೇರಿಸಲು ನಿಮ್ಮನ್ನು ಕೇಳಿದರೆ file ಯೋಜನೆಗೆ, ಪ್ರಾಜೆಕ್ಟ್ ➤ ಸೇರಿಸು/ತೆಗೆದುಹಾಕು ಕ್ಲಿಕ್ ಮಾಡಿ Fileಸೇರಿಸಲು ಯೋಜನೆಯಲ್ಲಿ ರು file.
  4. Intel Quartus Prime Standard Edition ಸಾಫ್ಟ್‌ವೇರ್‌ನಲ್ಲಿ, ನೀವು ಸಾಧನ ಕ್ಷೇತ್ರದಲ್ಲಿ ನಿರ್ದಿಷ್ಟ Arria 10 ಸಾಧನವನ್ನು ಆಯ್ಕೆ ಮಾಡಬೇಕು ಅಥವಾ Quartus Prime ಸಾಫ್ಟ್‌ವೇರ್ ಪ್ರಸ್ತಾಪಿಸುವ ಡೀಫಾಲ್ಟ್ ಸಾಧನವನ್ನು ಇರಿಸಿಕೊಳ್ಳಬೇಕು.
    ಗಮನಿಸಿ: ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸ ಮಾಜಿample ಗುರಿ ಬೋರ್ಡ್‌ನಲ್ಲಿರುವ ಸಾಧನದೊಂದಿಗೆ ಆಯ್ಕೆಯನ್ನು ತಿದ್ದಿ ಬರೆಯುತ್ತದೆ. ವಿನ್ಯಾಸದ ಮಾಜಿ ಮೆನುವಿನಿಂದ ನೀವು ಗುರಿ ಬೋರ್ಡ್ ಅನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸುತ್ತೀರಿampEx ನಲ್ಲಿ le ಆಯ್ಕೆಗಳುample ವಿನ್ಯಾಸ ಟ್ಯಾಬ್ (ಹಂತ 8).
  5. ಸರಿ ಕ್ಲಿಕ್ ಮಾಡಿ. ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್ ಕಾಣಿಸಿಕೊಳ್ಳುತ್ತದೆ.
  6. IP ಟ್ಯಾಬ್‌ನಲ್ಲಿ, ನಿಮ್ಮ IP ಕೋರ್ ವ್ಯತ್ಯಾಸಕ್ಕಾಗಿ ನಿಯತಾಂಕಗಳನ್ನು ನಿರ್ದಿಷ್ಟಪಡಿಸಿ.
  7. ಮಾಜಿ ರಂದುample ವಿನ್ಯಾಸ ಟ್ಯಾಬ್, ಉದಾample ವಿನ್ಯಾಸ Files, ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಅನ್ನು ಉತ್ಪಾದಿಸಲು ಸಿಮ್ಯುಲೇಶನ್ ಆಯ್ಕೆಯನ್ನು ಆರಿಸಿ ಮತ್ತು ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸವನ್ನು ರಚಿಸಲು ಸಿಂಥೆಸಿಸ್ ಆಯ್ಕೆಯನ್ನು ಆಯ್ಕೆಮಾಡಿampಲೆ. ವೆರಿಲೋಗ್ ಎಚ್‌ಡಿಎಲ್ ಮಾತ್ರ fileಗಳನ್ನು ಉತ್ಪಾದಿಸಲಾಗುತ್ತದೆ.
    ಗಮನಿಸಿ: ಕ್ರಿಯಾತ್ಮಕ VHDL IP ಕೋರ್ ಲಭ್ಯವಿಲ್ಲ. ನಿಮ್ಮ IP ಕೋರ್ ವಿನ್ಯಾಸಕ್ಕಾಗಿ ವೆರಿಲಾಗ್ HDL ಅನ್ನು ಮಾತ್ರ ನಿರ್ದಿಷ್ಟಪಡಿಸಿampಲೆ.
  8. ಹಾರ್ಡ್‌ವೇರ್ ಬೋರ್ಡ್‌ಗಾಗಿ Arria 10 GX ಟ್ರಾನ್ಸ್‌ಸಿವರ್ ಸಿಗ್ನಲ್ ಇಂಟೆಗ್ರಿಟಿ ಡೆವಲಪ್‌ಮೆಂಟ್ ಕಿಟ್ ಅನ್ನು ಆಯ್ಕೆಮಾಡಿ.
    ಗಮನಿಸಿ: ಈ ಹಾರ್ಡ್‌ವೇರ್ ಎಕ್ಸ್ ಅನ್ನು ಚಲಾಯಿಸಲು ಸೂಕ್ತವಾದ ಪ್ಲಾಟ್‌ಫಾರ್ಮ್ ಕುರಿತು ಮಾಹಿತಿಗಾಗಿ ನಿಮ್ಮ Intel FPGA ಪ್ರತಿನಿಧಿಯನ್ನು ಸಂಪರ್ಕಿಸಿampಲೆ.
  9. Ex ಅನ್ನು ರಚಿಸಿ ಕ್ಲಿಕ್ ಮಾಡಿample ವಿನ್ಯಾಸ ಬಟನ್. ಆಯ್ಕೆ Example ವಿನ್ಯಾಸ ಡೈರೆಕ್ಟರಿ ವಿಂಡೋ ಕಾಣಿಸಿಕೊಳ್ಳುತ್ತದೆ.
  10. ನೀವು ವಿನ್ಯಾಸವನ್ನು ಮಾರ್ಪಡಿಸಲು ಬಯಸಿದರೆ ಮಾಜಿample ಡೈರೆಕ್ಟರಿ ಮಾರ್ಗ ಅಥವಾ ಡಿಫಾಲ್ಟ್‌ಗಳಿಂದ ಹೆಸರು ಪ್ರದರ್ಶಿಸಲಾಗುತ್ತದೆ (alt_e50_0_example_design), ಹೊಸ ಮಾರ್ಗವನ್ನು ಬ್ರೌಸ್ ಮಾಡಿ ಮತ್ತು ಹೊಸ ವಿನ್ಯಾಸವನ್ನು ಟೈಪ್ ಮಾಡಿampಲೆ ಡೈರೆಕ್ಟರಿ ಹೆಸರು (ample_dir>).
  11. ಸರಿ ಕ್ಲಿಕ್ ಮಾಡಿ.
  12. KDB ಉತ್ತರವನ್ನು ಉಲ್ಲೇಖಿಸಿ Arria 10 PLL ರೆಫರೆನ್ಸ್ ಗಡಿಯಾರಕ್ಕಾಗಿ PLL ಕ್ಯಾಸ್ಕೇಡಿಂಗ್ ಅಥವಾ ಮೀಸಲಿಡದ ಗಡಿಯಾರದ ಹಾದಿಯನ್ನು ನಾನು ಹೇಗೆ ಸರಿದೂಗಿಸುವುದು? ಪರಿಹಾರಕ್ಕಾಗಿ ನೀವು .sdc ನಲ್ಲಿರುವ hardware_test_design ಡೈರೆಕ್ಟರಿಯಲ್ಲಿ ಅನ್ವಯಿಸಬೇಕು file.

ಗಮನಿಸಿ: ನೀವು ಈ KDB ಉತ್ತರವನ್ನು ಸಂಪರ್ಕಿಸಬೇಕು ಏಕೆಂದರೆ 50GbE IP ಕೋರ್‌ನಲ್ಲಿನ RX ಮಾರ್ಗವು ಕ್ಯಾಸ್ಕೇಡೆಡ್ PLL ಗಳನ್ನು ಒಳಗೊಂಡಿದೆ. ಆದ್ದರಿಂದ, IP ಕೋರ್ ಗಡಿಯಾರಗಳು Arria 10 ಸಾಧನಗಳಲ್ಲಿ ಹೆಚ್ಚುವರಿ ಜರ್ಜರಿತವನ್ನು ಅನುಭವಿಸಬಹುದು. ಈ KDB ಉತ್ತರವು ಸಾಫ್ಟ್‌ವೇರ್ ಬಿಡುಗಡೆಗಳನ್ನು ಸ್ಪಷ್ಟಪಡಿಸುತ್ತದೆ, ಇದರಲ್ಲಿ ಪರಿಹಾರದ ಅಗತ್ಯವಿದೆ.

ಸಂಬಂಧಿತ ಮಾಹಿತಿ
ಕೆಡಿಬಿ ಉತ್ತರ: ಆರ್ರಿಯಾ 10 ಪಿಎಲ್‌ಎಲ್ ರೆಫರೆನ್ಸ್ ಗಡಿಯಾರಕ್ಕಾಗಿ ಪಿಎಲ್‌ಎಲ್ ಕ್ಯಾಸ್ಕೇಡಿಂಗ್ ಅಥವಾ ಡೆಡಿಕೇಟೆಡ್ ಅಲ್ಲದ ಗಡಿಯಾರ ಪಥವನ್ನು ನಾನು ಹೇಗೆ ಸರಿದೂಗಿಸುವುದು?

50GbE ವಿನ್ಯಾಸವನ್ನು ಅನುಕರಿಸುವುದು Exampಲೆ ಟೆಸ್ಟ್ಬೆಂಚ್

ಚಿತ್ರ 7. ಕಾರ್ಯವಿಧಾನintel-50G-Ethernet-Design-Example-FIG-7

ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಅನ್ನು ಅನುಕರಿಸಲು ಈ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿ

  1. ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಸಿಮ್ಯುಲೇಶನ್ ಡೈರೆಕ್ಟರಿಗೆ ಬದಲಾಯಿಸಿample_dir>/ ಉದಾample_testbench.
  2. ನಿಮ್ಮ ಆಯ್ಕೆಯ ಬೆಂಬಲಿತ ಸಿಮ್ಯುಲೇಟರ್‌ಗಾಗಿ ಸಿಮ್ಯುಲೇಶನ್ ಸ್ಕ್ರಿಪ್ಟ್ ಅನ್ನು ರನ್ ಮಾಡಿ. ಸ್ಕ್ರಿಪ್ಟ್ ಸಿಮ್ಯುಲೇಟರ್‌ನಲ್ಲಿ ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಅನ್ನು ಕಂಪೈಲ್ ಮಾಡುತ್ತದೆ ಮತ್ತು ರನ್ ಮಾಡುತ್ತದೆ. "ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಅನ್ನು ಅನುಕರಿಸುವ ಹಂತಗಳು" ಕೋಷ್ಟಕವನ್ನು ನೋಡಿ.
  3. ಫಲಿತಾಂಶಗಳನ್ನು ವಿಶ್ಲೇಷಿಸಿ. ಯಶಸ್ವಿ ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಹತ್ತು ಪ್ಯಾಕೆಟ್‌ಗಳನ್ನು ಕಳುಹಿಸುತ್ತದೆ, ಹತ್ತು ಪ್ಯಾಕೆಟ್‌ಗಳನ್ನು ಸ್ವೀಕರಿಸುತ್ತದೆ ಮತ್ತು "ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಪೂರ್ಣಗೊಂಡಿದೆ" ಎಂದು ಪ್ರದರ್ಶಿಸುತ್ತದೆ.

ಕೋಷ್ಟಕ 3. ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಅನ್ನು ಅನುಕರಿಸಲು ಕ್ರಮಗಳು

ಸಿಮ್ಯುಲೇಟರ್ ಸೂಚನೆಗಳು
ಮಾಡೆಲ್ ಸಿಮ್ ಆಜ್ಞಾ ಸಾಲಿನಲ್ಲಿ, vsim -do run_vsim.do ಎಂದು ಟೈಪ್ ಮಾಡಿ

ModelSim GUI ಅನ್ನು ತರದೆಯೇ ನೀವು ಅನುಕರಿಸಲು ಬಯಸಿದರೆ, vsim -c -do run_vsim.do ಎಂದು ಟೈಪ್ ಮಾಡಿ

ಗಮನಿಸಿ: ModelSim* – Intel FPGA ಆವೃತ್ತಿ ಸಿಮ್ಯುಲೇಟರ್ ಈ IP ಕೋರ್ ಅನ್ನು ಅನುಕರಿಸುವ ಸಾಮರ್ಥ್ಯವನ್ನು ಹೊಂದಿಲ್ಲ. ModelSim SE ನಂತಹ ಮತ್ತೊಂದು ಬೆಂಬಲಿತ ModelSim ಸಿಮ್ಯುಲೇಟರ್ ಅನ್ನು ನೀವು ಬಳಸಬೇಕು.

NCSim ಆಜ್ಞಾ ಸಾಲಿನಲ್ಲಿ, sh run_ncsim.sh ಎಂದು ಟೈಪ್ ಮಾಡಿ
VCS ಆಜ್ಞಾ ಸಾಲಿನಲ್ಲಿ, sh run_vcs.sh ಎಂದು ಟೈಪ್ ಮಾಡಿ
Xcelium ಆಜ್ಞಾ ಸಾಲಿನಲ್ಲಿ, sh run_xcelium.sh ಎಂದು ಟೈಪ್ ಮಾಡಿ

ಯಶಸ್ವಿ ಪರೀಕ್ಷಾ ಚಾಲನೆಯು ಈ ಕೆಳಗಿನ ನಡವಳಿಕೆಯನ್ನು ದೃಢೀಕರಿಸುವ ಔಟ್‌ಪುಟ್ ಅನ್ನು ತೋರಿಸುತ್ತದೆ

  1. RX ಗಡಿಯಾರವು ನೆಲೆಗೊಳ್ಳಲು ನಿರೀಕ್ಷಿಸಲಾಗುತ್ತಿದೆ
  2. PHY ಸ್ಥಿತಿಯನ್ನು ಮುದ್ರಿಸಲಾಗುತ್ತಿದೆ
  3. 10 ಪ್ಯಾಕೆಟ್‌ಗಳನ್ನು ಕಳುಹಿಸಲಾಗುತ್ತಿದೆ
  4. 10 ಪ್ಯಾಕೆಟ್‌ಗಳನ್ನು ಸ್ವೀಕರಿಸಲಾಗುತ್ತಿದೆ
  5. "ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಪೂರ್ಣಗೊಂಡಿದೆ" ಎಂದು ಪ್ರದರ್ಶಿಸಲಾಗುತ್ತಿದೆ.

ಕೆಳಗಿನ ಎಸ್ample ಔಟ್‌ಪುಟ್ ಯಶಸ್ವಿ ಸಿಮ್ಯುಲೇಶನ್ ಟೆಸ್ಟ್ ರನ್ ಅನ್ನು ವಿವರಿಸುತ್ತದೆ

  • #Ref ಗಡಿಯಾರವನ್ನು 625 MHz ನಲ್ಲಿ ರನ್ ಮಾಡಲಾಗುತ್ತದೆ ಆದ್ದರಿಂದ ಸಂಪೂರ್ಣ ಸಂಖ್ಯೆಗಳನ್ನು ಎಲ್ಲಾ ಗಡಿಯಾರದ ಅವಧಿಗಳಿಗೆ ಬಳಸಬಹುದು.
  • #ನಿಜವಾದ ಗಡಿಯಾರ ಆವರ್ತನಗಳನ್ನು ಪಡೆಯಲು ವರದಿ ಮಾಡಲಾದ ಆವರ್ತನಗಳನ್ನು 33/32 ರಿಂದ ಗುಣಿಸಿ.
  • #RX ಜೋಡಣೆಗಾಗಿ ನಿರೀಕ್ಷಿಸಲಾಗುತ್ತಿದೆ
  • #RX ಡೆಸ್ಕ್ಯು ಲಾಕ್ ಆಗಿದೆ
  • #RX ಲೇನ್ ಜೋಡಣೆಯನ್ನು ಲಾಕ್ ಮಾಡಲಾಗಿದೆ
  • #TX ಸಕ್ರಿಯಗೊಳಿಸಲಾಗಿದೆ
  • #**ಪ್ಯಾಕೆಟ್ 1 ಕಳುಹಿಸಲಾಗುತ್ತಿದೆ…
  • #**ಪ್ಯಾಕೆಟ್ 2 ಕಳುಹಿಸಲಾಗುತ್ತಿದೆ…
  • #**ಪ್ಯಾಕೆಟ್ 3 ಕಳುಹಿಸಲಾಗುತ್ತಿದೆ…
  • #**ಪ್ಯಾಕೆಟ್ 4 ಕಳುಹಿಸಲಾಗುತ್ತಿದೆ…
  • #**ಪ್ಯಾಕೆಟ್ 5 ಕಳುಹಿಸಲಾಗುತ್ತಿದೆ…
  • #**ಪ್ಯಾಕೆಟ್ 6 ಕಳುಹಿಸಲಾಗುತ್ತಿದೆ…
  • #**ಪ್ಯಾಕೆಟ್ 7 ಕಳುಹಿಸಲಾಗುತ್ತಿದೆ…
  • #** ಪ್ಯಾಕೆಟ್ 1 ಸ್ವೀಕರಿಸಲಾಗಿದೆ…
  • #**ಪ್ಯಾಕೆಟ್ 8 ಕಳುಹಿಸಲಾಗುತ್ತಿದೆ…
  • #** ಪ್ಯಾಕೆಟ್ 2 ಸ್ವೀಕರಿಸಲಾಗಿದೆ…
  • #**ಪ್ಯಾಕೆಟ್ 9 ಕಳುಹಿಸಲಾಗುತ್ತಿದೆ…
  • #** ಪ್ಯಾಕೆಟ್ 3 ಸ್ವೀಕರಿಸಲಾಗಿದೆ…
  • #**ಪ್ಯಾಕೆಟ್ 10 ಕಳುಹಿಸಲಾಗುತ್ತಿದೆ…
  • #** ಪ್ಯಾಕೆಟ್ 4 ಸ್ವೀಕರಿಸಲಾಗಿದೆ…
  • #** ಪ್ಯಾಕೆಟ್ 5 ಸ್ವೀಕರಿಸಲಾಗಿದೆ…
  • #** ಪ್ಯಾಕೆಟ್ 6 ಸ್ವೀಕರಿಸಲಾಗಿದೆ…
  • #** ಪ್ಯಾಕೆಟ್ 7 ಸ್ವೀಕರಿಸಲಾಗಿದೆ…
  • #** ಪ್ಯಾಕೆಟ್ 8 ಸ್ವೀಕರಿಸಲಾಗಿದೆ…
  • #** ಪ್ಯಾಕೆಟ್ 9 ಸ್ವೀಕರಿಸಲಾಗಿದೆ…
  • #** ಪ್ಯಾಕೆಟ್ 10 ಸ್ವೀಕರಿಸಲಾಗಿದೆ…
  • #**
  • #** ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಪೂರ್ಣಗೊಂಡಿದೆ.
  • #**
  • #*******************************************

ವಿನ್ಯಾಸ ಎಕ್ಸ್ ಅನ್ನು ಕಂಪೈಲ್ ಮಾಡುವುದು ಮತ್ತು ಕಾನ್ಫಿಗರ್ ಮಾಡುವುದುampಹಾರ್ಡ್‌ವೇರ್‌ನಲ್ಲಿ ಲೆ

ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಲು ಮಾಜಿample ಮತ್ತು ಅದನ್ನು ನಿಮ್ಮ Arria 10 GT ಸಾಧನದಲ್ಲಿ ಕಾನ್ಫಿಗರ್ ಮಾಡಿ, ಈ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿ

  1. ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸವನ್ನು ಖಚಿತಪಡಿಸಿಕೊಳ್ಳಿampಲೆ ಪೀಳಿಗೆಯು ಪೂರ್ಣಗೊಂಡಿದೆ.
  2. Intel Quartus Prime ಸಾಫ್ಟ್‌ವೇರ್‌ನಲ್ಲಿ, Intel Quartus Prime ಯೋಜನೆಯನ್ನು ತೆರೆಯಿರಿample_dir>/hardware_test_design/eth_ex_50g.qpf.
  3. ಕಂಪೈಲ್ ಮಾಡುವ ಮೊದಲು, ನೀವು KDB ಉತ್ತರದಿಂದ ಪರಿಹಾರವನ್ನು ಕಾರ್ಯಗತಗೊಳಿಸಿದ್ದೀರಿ ಎಂದು ಖಚಿತಪಡಿಸಿಕೊಳ್ಳಿ PLL ಕ್ಯಾಸ್ಕೇಡಿಂಗ್ ಅಥವಾ Arria 10 PLL ರೆಫರೆನ್ಸ್ ಗಡಿಯಾರಕ್ಕಾಗಿ ಮೀಸಲಿಟ್ಟ ಗಡಿಯಾರದ ಹಾದಿಯನ್ನು ನಾನು ಹೇಗೆ ಸರಿದೂಗಿಸುವುದು? ನಿಮ್ಮ ಸಾಫ್ಟ್‌ವೇರ್ ಬಿಡುಗಡೆಗೆ ಸಂಬಂಧಿಸಿದ್ದರೆ.
  4. ಸಂಸ್ಕರಣೆ ಮೆನುವಿನಲ್ಲಿ, ಸಂಕಲನವನ್ನು ಪ್ರಾರಂಭಿಸಿ ಕ್ಲಿಕ್ ಮಾಡಿ.
  5. ನೀವು SRAM ವಸ್ತುವನ್ನು ರಚಿಸಿದ ನಂತರ file .sof, ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸವನ್ನು ಪ್ರೋಗ್ರಾಂ ಮಾಡಲು ಈ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿampArria 10 ಸಾಧನದಲ್ಲಿ le:
  • ಪರಿಕರಗಳ ಮೆನುವಿನಲ್ಲಿ, ಪ್ರೋಗ್ರಾಮರ್ ಅನ್ನು ಕ್ಲಿಕ್ ಮಾಡಿ.
  • ಪ್ರೋಗ್ರಾಮರ್ನಲ್ಲಿ, ಹಾರ್ಡ್ವೇರ್ ಸೆಟಪ್ ಅನ್ನು ಕ್ಲಿಕ್ ಮಾಡಿ.
  • ಪ್ರೋಗ್ರಾಮಿಂಗ್ ಸಾಧನವನ್ನು ಆಯ್ಕೆಮಾಡಿ.
  • ನಿಮ್ಮ ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಸೆಷನ್‌ಗೆ 10G ರಿಟೈಮರ್‌ನೊಂದಿಗೆ Arria 25 GT ಬೋರ್ಡ್ ಅನ್ನು ಆಯ್ಕೆಮಾಡಿ ಮತ್ತು ಸೇರಿಸಿ.
  • ಮೋಡ್ ಅನ್ನು J ಗೆ ಹೊಂದಿಸಲಾಗಿದೆ ಎಂದು ಖಚಿತಪಡಿಸಿಕೊಳ್ಳಿTAG.
  • Arria 10 ಸಾಧನವನ್ನು ಆಯ್ಕೆಮಾಡಿ ಮತ್ತು ಸಾಧನವನ್ನು ಸೇರಿಸಿ ಕ್ಲಿಕ್ ಮಾಡಿ. ಪ್ರೋಗ್ರಾಮರ್ ನಿಮ್ಮ ಬೋರ್ಡ್‌ನಲ್ಲಿರುವ ಸಾಧನಗಳ ನಡುವಿನ ಸಂಪರ್ಕಗಳ ಬ್ಲಾಕ್ ರೇಖಾಚಿತ್ರವನ್ನು ಪ್ರದರ್ಶಿಸುತ್ತದೆ.
  • ನಿಮ್ಮ .sof ಜೊತೆಗಿನ ಸಾಲಿನಲ್ಲಿ, .sof ಗಾಗಿ ಬಾಕ್ಸ್ ಅನ್ನು ಪರಿಶೀಲಿಸಿ.
  • ಪ್ರೋಗ್ರಾಂ/ಕಾನ್ಫಿಗರ್ ಕಾಲಮ್ನಲ್ಲಿ ಬಾಕ್ಸ್ ಅನ್ನು ಪರಿಶೀಲಿಸಿ.
  • ಪ್ರಾರಂಭಿಸಿ ಕ್ಲಿಕ್ ಮಾಡಿ

ಗಮನಿಸಿ: ಈ ವಿನ್ಯಾಸ ಮಾಜಿample Arria 10 GT ಸಾಧನವನ್ನು ಗುರಿಪಡಿಸುತ್ತದೆ. ಈ ಹಾರ್ಡ್‌ವೇರ್ ಎಕ್ಸ್ ಅನ್ನು ಚಲಾಯಿಸಲು ಸೂಕ್ತವಾದ ಪ್ಲಾಟ್‌ಫಾರ್ಮ್ ಕುರಿತು ವಿಚಾರಿಸಲು ದಯವಿಟ್ಟು ನಿಮ್ಮ Intel FPGA ಪ್ರತಿನಿಧಿಯನ್ನು ಸಂಪರ್ಕಿಸಿample

ಸಂಬಂಧಿತ ಮಾಹಿತಿ

  • ಕೆಡಿಬಿ ಉತ್ತರ: ಆರ್ರಿಯಾ 10 ಪಿಎಲ್‌ಎಲ್ ರೆಫರೆನ್ಸ್ ಗಡಿಯಾರಕ್ಕಾಗಿ ಪಿಎಲ್‌ಎಲ್ ಕ್ಯಾಸ್ಕೇಡಿಂಗ್ ಅಥವಾ ನಾನ್‌ಡೆಡಿಕೇಟೆಡ್ ಕ್ಲಾಕ್ ಪಥ್‌ಗೆ ನಾನು ಹೇಗೆ ಸರಿದೂಗಿಸುವುದು?
  • ಕ್ರಮಾನುಗತ ಮತ್ತು ತಂಡ-ಆಧಾರಿತ ವಿನ್ಯಾಸಕ್ಕಾಗಿ ಹೆಚ್ಚುತ್ತಿರುವ ಸಂಕಲನ
  • ಪ್ರೋಗ್ರಾಮಿಂಗ್ ಇಂಟೆಲ್ FPGA ಸಾಧನಗಳು

50GbE ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸವನ್ನು ಪರೀಕ್ಷಿಸಲಾಗುತ್ತಿದೆ ಎಕ್ಸ್ample

ನೀವು 50GbE IP ಕೋರ್ ವಿನ್ಯಾಸವನ್ನು ಕಂಪೈಲ್ ಮಾಡಿದ ನಂತರ ಮಾಜಿample ಮತ್ತು ಅದನ್ನು ನಿಮ್ಮ Arria 10 GT ಸಾಧನದಲ್ಲಿ ಕಾನ್ಫಿಗರ್ ಮಾಡಿ, ನೀವು IP ಕೋರ್ ಮತ್ತು ಅದರ ಎಂಬೆಡೆಡ್ ಸ್ಥಳೀಯ PHY IP ಕೋರ್ ರೆಜಿಸ್ಟರ್‌ಗಳನ್ನು ಪ್ರೋಗ್ರಾಂ ಮಾಡಲು ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್ ಅನ್ನು ಬಳಸಬಹುದು. ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್ ಅನ್ನು ಆನ್ ಮಾಡಲು ಮತ್ತು ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸವನ್ನು ಪರೀಕ್ಷಿಸಲು ಮಾಜಿampಲೆ, ಈ ಹಂತಗಳನ್ನು ಅನುಸರಿಸಿ:

  1. ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸದ ನಂತರ ಮಾಜಿample ಅನ್ನು Arria 10 ಸಾಧನದಲ್ಲಿ ಕಾನ್ಫಿಗರ್ ಮಾಡಲಾಗಿದೆ, Intel Quartus Prime ಸಾಫ್ಟ್‌ವೇರ್‌ನಲ್ಲಿ, ಪರಿಕರಗಳ ಮೆನುವಿನಲ್ಲಿ, ಸಿಸ್ಟಮ್ ಡೀಬಗ್ ಮಾಡುವ ಪರಿಕರಗಳು ➤ ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್ ಅನ್ನು ಕ್ಲಿಕ್ ಮಾಡಿ.
  2. Tcl ಕನ್ಸೋಲ್ ಫಲಕದಲ್ಲಿ, ಡೈರೆಕ್ಟರಿಯನ್ನು ಬದಲಾಯಿಸಲು cd hwtest ಎಂದು ಟೈಪ್ ಮಾಡಿample_dir>/hardware_test_design/hwtest.
  3. J ಗೆ ಸಂಪರ್ಕವನ್ನು ತೆರೆಯಲು source main.tcl ಎಂದು ಟೈಪ್ ಮಾಡಿTAG ಮಾಸ್ಟರ್.

ನೀವು ಈ ಕೆಳಗಿನ ವಿನ್ಯಾಸದೊಂದಿಗೆ IP ಕೋರ್ ಅನ್ನು ಪ್ರೋಗ್ರಾಂ ಮಾಡಬಹುದುample ಆಜ್ಞೆಗಳು

  • chkphy_status: ಗಡಿಯಾರದ ಆವರ್ತನಗಳನ್ನು ಮತ್ತು PHY ಲಾಕ್ ಸ್ಥಿತಿಯನ್ನು ಪ್ರದರ್ಶಿಸುತ್ತದೆ.
  • start_pkt_gen: ಪ್ಯಾಕೆಟ್ ಜನರೇಟರ್ ಅನ್ನು ಪ್ರಾರಂಭಿಸುತ್ತದೆ.
  • stop_pkt_gen: ಪ್ಯಾಕೆಟ್ ಜನರೇಟರ್ ಅನ್ನು ನಿಲ್ಲಿಸುತ್ತದೆ.
  • loop_on: ಆಂತರಿಕ ಸರಣಿ ಲೂಪ್‌ಬ್ಯಾಕ್ ಅನ್ನು ಆನ್ ಮಾಡುತ್ತದೆ
  • loop_off: ಆಂತರಿಕ ಸರಣಿ ಲೂಪ್‌ಬ್ಯಾಕ್ ಅನ್ನು ಆಫ್ ಮಾಡುತ್ತದೆ.
  • reg_read : ನಲ್ಲಿ IP ಕೋರ್ ರಿಜಿಸ್ಟರ್ ಮೌಲ್ಯವನ್ನು ಹಿಂತಿರುಗಿಸುತ್ತದೆ .
  • reg_write : ಬರೆಯುತ್ತಾರೆ ವಿಳಾಸದಲ್ಲಿ IP ಕೋರ್ ರಿಜಿಸ್ಟರ್‌ಗೆ .

ಸಂಬಂಧಿತ ಮಾಹಿತಿ

  • 50GbE ವಿನ್ಯಾಸ ಎಕ್ಸ್ample ಪುಟ 13 ರಲ್ಲಿ ನೋಂದಣಿಗಳು ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸಕ್ಕಾಗಿ ನಕ್ಷೆಯನ್ನು ನೋಂದಾಯಿಸಿ ಮಾಜಿampಲೆ.
  • ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್‌ನೊಂದಿಗೆ ವಿನ್ಯಾಸಗಳನ್ನು ವಿಶ್ಲೇಷಿಸುವುದು ಮತ್ತು ಡೀಬಗ್ ಮಾಡುವುದು

ವಿನ್ಯಾಸ ಎಕ್ಸ್ampಲೆ ವಿವರಣೆ

ವಿನ್ಯಾಸ ಮಾಜಿampIEEE 50ba ಸ್ಟ್ಯಾಂಡರ್ಡ್ CAUI-802.3 ನಿರ್ದಿಷ್ಟತೆಯೊಂದಿಗೆ ಟ್ರಾನ್ಸ್‌ಸಿವರ್ ಇಂಟರ್ಫೇಸ್ ಅನುಸರಣೆಯೊಂದಿಗೆ 4GbE ಕೋರ್‌ನ ಕಾರ್ಯಗಳನ್ನು le ಪ್ರದರ್ಶಿಸುತ್ತದೆ. ನೀವು ಎಕ್ಸ್‌ನಿಂದ ವಿನ್ಯಾಸವನ್ನು ರಚಿಸಬಹುದುamp50GbE ಪ್ಯಾರಾಮೀಟರ್ ಎಡಿಟರ್‌ನಲ್ಲಿ ವಿನ್ಯಾಸ ಟ್ಯಾಬ್. ವಿನ್ಯಾಸವನ್ನು ರಚಿಸಲು ಮಾಜಿampಉದಾಹರಣೆಗೆ, ನಿಮ್ಮ ಅಂತಿಮ ಉತ್ಪನ್ನದಲ್ಲಿ ನೀವು ಉತ್ಪಾದಿಸಲು ಉದ್ದೇಶಿಸಿರುವ IP ಕೋರ್ ವ್ಯತ್ಯಾಸಕ್ಕಾಗಿ ನೀವು ಮೊದಲು ನಿಯತಾಂಕ ಮೌಲ್ಯಗಳನ್ನು ಹೊಂದಿಸಬೇಕು. ವಿನ್ಯಾಸವನ್ನು ಉತ್ಪಾದಿಸುವುದು ಮಾಜಿample IP ಕೋರ್ನ ನಕಲನ್ನು ರಚಿಸುತ್ತದೆ; ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಮತ್ತು ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸ ಮಾಜಿample ಈ ಬದಲಾವಣೆಯನ್ನು DUT ಆಗಿ ಬಳಸುತ್ತಾರೆ. ನಿಮ್ಮ ಅಂತಿಮ ಉತ್ಪನ್ನದಲ್ಲಿನ ಪ್ಯಾರಾಮೀಟರ್ ಮೌಲ್ಯಗಳನ್ನು ಹೊಂದಿಸಲು DUT ಗಾಗಿ ಪ್ಯಾರಾಮೀಟರ್ ಮೌಲ್ಯಗಳನ್ನು ನೀವು ಹೊಂದಿಸದಿದ್ದರೆ, ವಿನ್ಯಾಸ ಮಾಜಿampನೀವು ಉತ್ಪಾದಿಸುವ le ನೀವು ಉದ್ದೇಶಿಸಿರುವ IP ಕೋರ್ ವ್ಯತ್ಯಾಸವನ್ನು ವ್ಯಾಯಾಮ ಮಾಡುವುದಿಲ್ಲ.

ಗಮನಿಸಿ: ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಐಪಿ ಕೋರ್‌ನ ಮೂಲಭೂತ ಪರೀಕ್ಷೆಯನ್ನು ಪ್ರದರ್ಶಿಸುತ್ತದೆ. ಇದು ಪೂರ್ಣ ಪರಿಶೀಲನಾ ಪರಿಸರಕ್ಕೆ ಬದಲಿಯಾಗಿರಲು ಉದ್ದೇಶಿಸಿಲ್ಲ. ಸಿಮ್ಯುಲೇಶನ್ ಮತ್ತು ಹಾರ್ಡ್‌ವೇರ್‌ನಲ್ಲಿ ನಿಮ್ಮದೇ ಆದ 50GbE ವಿನ್ಯಾಸದ ಹೆಚ್ಚು ವ್ಯಾಪಕವಾದ ಪರಿಶೀಲನೆಯನ್ನು ನೀವು ನಿರ್ವಹಿಸಬೇಕು.

ಸಂಬಂಧಿತ ಮಾಹಿತಿ
Intel Arria® 10 50Gbps ಎತರ್ನೆಟ್ IP ಕೋರ್ ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ

ವಿನ್ಯಾಸ ಎಕ್ಸ್ample ನಡವಳಿಕೆ
ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಐಪಿ ಕೋರ್ ಮೂಲಕ ಸಂಚಾರವನ್ನು ಕಳುಹಿಸುತ್ತದೆ, ಟ್ರಾನ್ಸ್‌ಮಿಟ್ ಸೈಡ್ ಅನ್ನು ವ್ಯಾಯಾಮ ಮಾಡುತ್ತದೆ ಮತ್ತು ಐಪಿ ಕೋರ್‌ನ ಭಾಗವನ್ನು ಸ್ವೀಕರಿಸುತ್ತದೆ. ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸದಲ್ಲಿ ಮಾಜಿampಉದಾಹರಣೆಗೆ, ನೀವು IP ಕೋರ್ ಅನ್ನು ಆಂತರಿಕ ಸೀರಿಯಲ್ ಲೂಪ್‌ಬ್ಯಾಕ್ ಮೋಡ್‌ನಲ್ಲಿ ಪ್ರೋಗ್ರಾಮ್ ಮಾಡಬಹುದು ಮತ್ತು ಸ್ವೀಕರಿಸುವ ಬದಿಯ ಮೂಲಕ ಹಿಂತಿರುಗುವ ಟ್ರಾನ್ಸ್‌ಮಿಟ್ ಬದಿಯಲ್ಲಿ ಟ್ರಾಫಿಕ್ ಅನ್ನು ರಚಿಸಬಹುದು.

ವಿನ್ಯಾಸ ಎಕ್ಸ್ample ಇಂಟರ್ಫೇಸ್ ಸಿಗ್ನಲ್ಗಳು
50GbE ಟೆಸ್ಟ್‌ಬೆಂಚ್ ಸ್ವಯಂ-ಒಳಗೊಂಡಿದೆ ಮತ್ತು ನೀವು ಯಾವುದೇ ಇನ್‌ಪುಟ್ ಸಿಗ್ನಲ್‌ಗಳನ್ನು ಚಾಲನೆ ಮಾಡುವ ಅಗತ್ಯವಿಲ್ಲ.

ಕೋಷ್ಟಕ 4. 50GbE ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸ ಎಕ್ಸ್ample ಇಂಟರ್ಫೇಸ್ ಸಿಗ್ನಲ್ಗಳು

ಸಿಗ್ನಲ್ ನಿರ್ದೇಶನ ಕಾಮೆಂಟ್‌ಗಳು
 

clk50

 

ಇನ್ಪುಟ್

50 MHz ನಲ್ಲಿ ಚಾಲನೆ ಮಾಡಿ. ಬೋರ್ಡ್‌ನಲ್ಲಿರುವ 50 Mhz ಆಸಿಲೇಟರ್‌ನಿಂದ ಇದನ್ನು ಚಾಲನೆ ಮಾಡುವುದು ಇದರ ಉದ್ದೇಶವಾಗಿದೆ.
clk_ref ಇನ್ಪುಟ್ 644.53125 MHz ನಲ್ಲಿ ಚಾಲನೆ ಮಾಡಿ.
 

cpu_resetn

 

ಇನ್ಪುಟ್

IP ಕೋರ್ ಅನ್ನು ಮರುಹೊಂದಿಸುತ್ತದೆ. ಸಕ್ರಿಯ ಕಡಿಮೆ. ಜಾಗತಿಕ ಹಾರ್ಡ್ ರೀಸೆಟ್ csr_reset_n ಅನ್ನು IP ಕೋರ್‌ಗೆ ಚಾಲನೆ ಮಾಡುತ್ತದೆ.
ಮುಂದುವರೆಯಿತು…

ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್. ಎಲ್ಲ ಹಕ್ಕುಗಳನ್ನು ಕಾಯ್ದಿರಿಸಲಾಗಿದೆ. ಇಂಟೆಲ್, ಇಂಟೆಲ್ ಲೋಗೋ ಮತ್ತು ಇತರ ಇಂಟೆಲ್ ಗುರುತುಗಳು ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್ ಅಥವಾ ಅದರ ಅಂಗಸಂಸ್ಥೆಗಳ ಟ್ರೇಡ್‌ಮಾರ್ಕ್‌ಗಳಾಗಿವೆ. ಇಂಟೆಲ್ ತನ್ನ ಎಫ್‌ಪಿಜಿಎ ಮತ್ತು ಸೆಮಿಕಂಡಕ್ಟರ್ ಉತ್ಪನ್ನಗಳ ಕಾರ್ಯಕ್ಷಮತೆಯನ್ನು ಇಂಟೆಲ್‌ನ ಪ್ರಮಾಣಿತ ಖಾತರಿಗೆ ಅನುಗುಣವಾಗಿ ಪ್ರಸ್ತುತ ವಿಶೇಷಣಗಳಿಗೆ ಖಾತರಿಪಡಿಸುತ್ತದೆ, ಆದರೆ ಯಾವುದೇ ಸೂಚನೆಯಿಲ್ಲದೆ ಯಾವುದೇ ಉತ್ಪನ್ನಗಳು ಮತ್ತು ಸೇವೆಗಳಿಗೆ ಬದಲಾವಣೆಗಳನ್ನು ಮಾಡುವ ಹಕ್ಕನ್ನು ಕಾಯ್ದಿರಿಸಿದೆ. ಇಂಟೆಲ್ ಲಿಖಿತವಾಗಿ ಒಪ್ಪಿಗೆ ಸೂಚಿಸಿರುವುದನ್ನು ಹೊರತುಪಡಿಸಿ ಇಲ್ಲಿ ವಿವರಿಸಿದ ಯಾವುದೇ ಮಾಹಿತಿ, ಉತ್ಪನ್ನ ಅಥವಾ ಸೇವೆಯ ಅಪ್ಲಿಕೇಶನ್ ಅಥವಾ ಬಳಕೆಯಿಂದ ಉಂಟಾಗುವ ಯಾವುದೇ ಜವಾಬ್ದಾರಿ ಅಥವಾ ಹೊಣೆಗಾರಿಕೆಯನ್ನು Intel ಊಹಿಸುವುದಿಲ್ಲ. ಇಂಟೆಲ್ ಗ್ರಾಹಕರು ಯಾವುದೇ ಪ್ರಕಟಿತ ಮಾಹಿತಿಯನ್ನು ಅವಲಂಬಿಸುವ ಮೊದಲು ಮತ್ತು ಉತ್ಪನ್ನಗಳು ಅಥವಾ ಸೇವೆಗಳಿಗೆ ಆರ್ಡರ್ ಮಾಡುವ ಮೊದಲು ಸಾಧನದ ವಿಶೇಷಣಗಳ ಇತ್ತೀಚಿನ ಆವೃತ್ತಿಯನ್ನು ಪಡೆದುಕೊಳ್ಳಲು ಸಲಹೆ ನೀಡಲಾಗುತ್ತದೆ. *ಇತರ ಹೆಸರುಗಳು ಮತ್ತು ಬ್ರ್ಯಾಂಡ್‌ಗಳನ್ನು ಇತರರ ಆಸ್ತಿ ಎಂದು ಕ್ಲೈಮ್ ಮಾಡಬಹುದು.

ಸಿಗ್ನಲ್ ನಿರ್ದೇಶನ ಕಾಮೆಂಟ್‌ಗಳು
tx_serial[1:0] ಔಟ್ಪುಟ್ ಟ್ರಾನ್ಸ್ಸಿವರ್ PHY ಔಟ್ಪುಟ್ ಸರಣಿ ಡೇಟಾ.
rx_serial[1:0] ಇನ್ಪುಟ್ ಟ್ರಾನ್ಸ್ಸಿವರ್ PHY ಇನ್ಪುಟ್ ಸರಣಿ ಡೇಟಾ.
 

 

 

 

 

 

user_led[7:0]

 

 

 

 

 

 

 

ಔಟ್ಪುಟ್

ಸ್ಥಿತಿ ಸಂಕೇತಗಳು. ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸ ಮಾಜಿample ಈ ಬಿಟ್‌ಗಳನ್ನು ಟಾರ್ಗೆಟ್ ಬೋರ್ಡ್‌ನಲ್ಲಿ LED ಗಳನ್ನು ಚಾಲನೆ ಮಾಡಲು ಸಂಪರ್ಕಿಸುತ್ತದೆ. ಪ್ರತ್ಯೇಕ ಬಿಟ್‌ಗಳು ಕೆಳಗಿನ ಸಿಗ್ನಲ್ ಮೌಲ್ಯಗಳು ಮತ್ತು ಗಡಿಯಾರದ ನಡವಳಿಕೆಯನ್ನು ಪ್ರತಿಬಿಂಬಿಸುತ್ತವೆ:

• [0]: IP ಕೋರ್‌ಗೆ ಮುಖ್ಯ ಮರುಹೊಂದಿಸುವ ಸಂಕೇತ

• [1]: clk_ref ನ ವಿಭಜಿತ ಆವೃತ್ತಿ

• [2]: clk50 ನ ವಿಭಜಿತ ಆವೃತ್ತಿ

• [3]: 100 MHz ಸ್ಥಿತಿ ಗಡಿಯಾರದ ವಿಭಜಿತ ಆವೃತ್ತಿ

• [4]: ​​tx_lanes_stable

• [5]: rx_block_lock

• [6]: rx_am_lock

• [7]: rx_pcs_ready

ಸಂಬಂಧಿತ ಮಾಹಿತಿ
ಇಂಟರ್‌ಫೇಸ್‌ಗಳು ಮತ್ತು ಸಿಗ್ನಲ್ ವಿವರಣೆಗಳು 50GbE IP ಕೋರ್ ಸಿಗ್ನಲ್‌ಗಳ ವಿವರವಾದ ವಿವರಣೆಗಳನ್ನು ಮತ್ತು ಅವುಗಳಿಗೆ ಸೇರಿದ ಇಂಟರ್‌ಫೇಸ್‌ಗಳನ್ನು ಒದಗಿಸುತ್ತದೆ.

50GbE ವಿನ್ಯಾಸ ಎಕ್ಸ್ample ನೋಂದಣಿಗಳು

ಕೋಷ್ಟಕ 5. 50GbE ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸ ಎಕ್ಸ್ample ನೋಂದಣಿ ನಕ್ಷೆ
ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸಕ್ಕಾಗಿ ಮೆಮೊರಿ ಮ್ಯಾಪ್ ಮಾಡಿದ ರಿಜಿಸ್ಟರ್ ಶ್ರೇಣಿಗಳನ್ನು ಪಟ್ಟಿ ಮಾಡುತ್ತದೆampಲೆ. ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್‌ನಲ್ಲಿ reg_read ಮತ್ತು reg_write ಕಾರ್ಯಗಳೊಂದಿಗೆ ನೀವು ಈ ರೆಜಿಸ್ಟರ್‌ಗಳನ್ನು ಪ್ರವೇಶಿಸುತ್ತೀರಿ.

ವರ್ಡ್ ಆಫ್‌ಸೆಟ್ ವರ್ಗವನ್ನು ನೋಂದಾಯಿಸಿ
0x300–0x5FF 50GbE IP ಕೋರ್ ರೆಜಿಸ್ಟರ್‌ಗಳು.
0x4000–0x4C00 ಅರಿಯಾ 10 ಡೈನಾಮಿಕ್ ಮರುಸಂರಚನಾ ರೆಜಿಸ್ಟರ್‌ಗಳು. ನೋಂದಣಿ ಮೂಲ ವಿಳಾಸವು ಲೇನ್ 0 ಗೆ 4000x0 ಮತ್ತು ಲೇನ್ 0 ಕ್ಕೆ 4400x1 ಆಗಿದೆ.

ಸಂಬಂಧಿತ ಮಾಹಿತಿ

  • 50GbE ಹಾರ್ಡ್‌ವೇರ್ ವಿನ್ಯಾಸವನ್ನು ಪರೀಕ್ಷಿಸಲಾಗುತ್ತಿದೆ ಎಕ್ಸ್ample ಪುಟ 11 ರಲ್ಲಿ IP ಕೋರ್ ಮತ್ತು ಸ್ಥಳೀಯ PHY ರೆಜಿಸ್ಟರ್‌ಗಳನ್ನು ಪ್ರವೇಶಿಸಲು ಸಿಸ್ಟಮ್ ಕನ್ಸೋಲ್ ಆಜ್ಞೆಗಳು.
  • 50GbE ನಿಯಂತ್ರಣ ಮತ್ತು ಸ್ಥಿತಿ ನೋಂದಣಿ ವಿವರಣೆಗಳು 50GbE IP ಕೋರ್ ರೆಜಿಸ್ಟರ್‌ಗಳನ್ನು ವಿವರಿಸುತ್ತದೆ.

ಡಾಕ್ಯುಮೆಂಟ್ ಪರಿಷ್ಕರಣೆ ಇತಿಹಾಸ

ಕೋಷ್ಟಕ 6. 50G ಎತರ್ನೆಟ್ ವಿನ್ಯಾಸ ಎಕ್ಸ್ample ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ ಪರಿಷ್ಕರಣೆ ಇತಿಹಾಸ

ದಿನಾಂಕ ಬಿಡುಗಡೆ ಬದಲಾವಣೆಗಳು
2019.04.03 17.0 Xcelium ಸಿಮ್ಯುಲೇಶನ್‌ಗಳನ್ನು ಚಲಾಯಿಸಲು ಆಜ್ಞೆಯನ್ನು ಸೇರಿಸಲಾಗಿದೆ.
 

 

 

2017.11.08

 

 

 

17.0

IP ಕೋರ್‌ನಲ್ಲಿ ATX PLL ಗಳ ಕ್ಯಾಸ್ಕೇಡಿಂಗ್‌ನಿಂದಾಗಿ Intel Arria® 10 ಸಾಧನಗಳಲ್ಲಿ ಸಂಭಾವ್ಯ ಜಿಗುಪ್ಸೆಗಾಗಿ ಪರಿಹಾರವನ್ನು ಒದಗಿಸುವ KDB ಉತ್ತರಕ್ಕೆ ಲಿಂಕ್ ಅನ್ನು ಸೇರಿಸಲಾಗಿದೆ.

ಉಲ್ಲೇಖಿಸಿ ವಿನ್ಯಾಸ ಎಕ್ಸ್ ಅನ್ನು ರಚಿಸುವುದುample ಪುಟ 7 ಮತ್ತು ಕಂಪೈಲಿಂಗ್ ಮತ್ತು ವಿನ್ಯಾಸ ಎಕ್ಸ್ ಅನ್ನು ಕಾನ್ಫಿಗರ್ ಮಾಡಲಾಗುತ್ತಿದೆampಹಾರ್ಡ್‌ವೇರ್‌ನಲ್ಲಿ ಲೆ ಪುಟ 10 ರಲ್ಲಿ.

ಈ ವಿನ್ಯಾಸ ಮಾಜಿample ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿಯನ್ನು ಪ್ರತಿಬಿಂಬಿಸಲು ನವೀಕರಿಸಲಾಗಿಲ್ಲ

ಗಮನಿಸಿ: ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಸಾಫ್ಟ್‌ವೇರ್ ಬಿಡುಗಡೆಯ ನಂತರ ಇಂಟೆಲ್ ಕ್ವಾರ್ಟಸ್ ಪ್ರೈಮ್ ಬಿಡುಗಡೆಗಳಲ್ಲಿ ವಿನ್ಯಾಸ ಉತ್ಪಾದನೆಯಲ್ಲಿ ಸಣ್ಣ ಬದಲಾವಣೆಗಳು

v17.0.

2017.05.08 17.0 ಆರಂಭಿಕ ಸಾರ್ವಜನಿಕ ಬಿಡುಗಡೆ.

ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್. ಎಲ್ಲ ಹಕ್ಕುಗಳನ್ನು ಕಾಯ್ದಿರಿಸಲಾಗಿದೆ. ಇಂಟೆಲ್, ಇಂಟೆಲ್ ಲೋಗೋ ಮತ್ತು ಇತರ ಇಂಟೆಲ್ ಗುರುತುಗಳು ಇಂಟೆಲ್ ಕಾರ್ಪೊರೇಷನ್ ಅಥವಾ ಅದರ ಅಂಗಸಂಸ್ಥೆಗಳ ಟ್ರೇಡ್‌ಮಾರ್ಕ್‌ಗಳಾಗಿವೆ. ಇಂಟೆಲ್ ತನ್ನ ಎಫ್‌ಪಿಜಿಎ ಮತ್ತು ಸೆಮಿಕಂಡಕ್ಟರ್ ಉತ್ಪನ್ನಗಳ ಕಾರ್ಯಕ್ಷಮತೆಯನ್ನು ಇಂಟೆಲ್‌ನ ಪ್ರಮಾಣಿತ ಖಾತರಿಗೆ ಅನುಗುಣವಾಗಿ ಪ್ರಸ್ತುತ ವಿಶೇಷಣಗಳಿಗೆ ಖಾತರಿಪಡಿಸುತ್ತದೆ, ಆದರೆ ಯಾವುದೇ ಸೂಚನೆಯಿಲ್ಲದೆ ಯಾವುದೇ ಉತ್ಪನ್ನಗಳು ಮತ್ತು ಸೇವೆಗಳಿಗೆ ಬದಲಾವಣೆಗಳನ್ನು ಮಾಡುವ ಹಕ್ಕನ್ನು ಕಾಯ್ದಿರಿಸಿದೆ. ಇಂಟೆಲ್ ಲಿಖಿತವಾಗಿ ಒಪ್ಪಿಗೆ ಸೂಚಿಸಿರುವುದನ್ನು ಹೊರತುಪಡಿಸಿ ಇಲ್ಲಿ ವಿವರಿಸಿದ ಯಾವುದೇ ಮಾಹಿತಿ, ಉತ್ಪನ್ನ ಅಥವಾ ಸೇವೆಯ ಅಪ್ಲಿಕೇಶನ್ ಅಥವಾ ಬಳಕೆಯಿಂದ ಉಂಟಾಗುವ ಯಾವುದೇ ಜವಾಬ್ದಾರಿ ಅಥವಾ ಹೊಣೆಗಾರಿಕೆಯನ್ನು Intel ಊಹಿಸುವುದಿಲ್ಲ. ಇಂಟೆಲ್ ಗ್ರಾಹಕರು ಯಾವುದೇ ಪ್ರಕಟಿತ ಮಾಹಿತಿಯನ್ನು ಅವಲಂಬಿಸುವ ಮೊದಲು ಮತ್ತು ಉತ್ಪನ್ನಗಳು ಅಥವಾ ಸೇವೆಗಳಿಗೆ ಆರ್ಡರ್ ಮಾಡುವ ಮೊದಲು ಸಾಧನದ ವಿಶೇಷಣಗಳ ಇತ್ತೀಚಿನ ಆವೃತ್ತಿಯನ್ನು ಪಡೆದುಕೊಳ್ಳಲು ಸಲಹೆ ನೀಡಲಾಗುತ್ತದೆ. *ಇತರ ಹೆಸರುಗಳು ಮತ್ತು ಬ್ರ್ಯಾಂಡ್‌ಗಳನ್ನು ಇತರರ ಆಸ್ತಿ ಎಂದು ಕ್ಲೈಮ್ ಮಾಡಬಹುದು.

ದಾಖಲೆಗಳು / ಸಂಪನ್ಮೂಲಗಳು

intel 50G ಎತರ್ನೆಟ್ ವಿನ್ಯಾಸ ಎಕ್ಸ್ample [ಪಿಡಿಎಫ್] ಬಳಕೆದಾರ ಮಾರ್ಗದರ್ಶಿ
50G ಎತರ್ನೆಟ್ ವಿನ್ಯಾಸ ಎಕ್ಸ್ample, 50G, ಎತರ್ನೆಟ್ ವಿನ್ಯಾಸ ಎಕ್ಸ್ampಲೆ, ಡಿಸೈನ್ ಎಕ್ಸ್ample

ಉಲ್ಲೇಖಗಳು

ಕಾಮೆಂಟ್ ಬಿಡಿ

ನಿಮ್ಮ ಇಮೇಲ್ ವಿಳಾಸವನ್ನು ಪ್ರಕಟಿಸಲಾಗುವುದಿಲ್ಲ. ಅಗತ್ಯವಿರುವ ಕ್ಷೇತ್ರಗಳನ್ನು ಗುರುತಿಸಲಾಗಿದೆ *