LOGO

Intel 50G Ethernet Design Example

intel-50G-Ethernet-Design-Example-PRODACT-IMG

Stiùireadh tòiseachaidh luath 50GbE

Tha cridhe IP 50GbE a’ toirt seachad being deuchainn atharrais agus dealbhadh bathar-cruaidh example a bheir taic do cho-chruinneachadh agus deuchainn bathar-cruaidh. Nuair a ghineas tu an dealbhadh example, bidh an deasaiche paramadair gu fèin-obrachail a’ cruthachadh an files riatanach airson atharrais, cur ri chèile, agus deuchainn a dhèanamh air dealbhadh ann am bathar-cruaidh. Faodaidh tu an dealbhadh bathar-cruaidh cruinnichte a luchdachadh sìos gu inneal Arria 10 GT.

Thoir an aire: Tha an dealbhadh seo example ag amas air inneal Arria 10 GT agus tha feum air ath-thilleadh 25G. Feuch an cuir thu fios chun riochdaire Intel FPGA agad gus faighneachd mu àrd-ùrlar a tha iomchaidh airson am bathar-cruaidh seo a ruith example. Ann an cuid de chùisean dh’ fhaodadh gum bi iasad de bhathar-cruaidh iomchaidh ri fhaighinn. A bharrachd air an sin, tha Intel a’ toirt seachad cruinneachadh cruinneachaidh a-mhàin example pròiseact as urrainn dhut a chleachdadh gus tuairmse a dhèanamh gu sgiobalta air prìomh raon IP agus àm.

Figear 1. Dealbhadh Example Cleachdadhintel-50G-Ethernet-Design-Example-FIG-1

Intel Corporation. Gach còir glèidhte. Tha Intel, suaicheantas Intel, agus comharran Intel eile nan comharran-malairt aig Intel Corporation no na fo-chompanaidhean aige. Tha Intel airidh air coileanadh a thoraidhean FPGA agus semiconductor a rèir mion-chomharrachadh gnàthach a rèir barantas àbhaisteach Intel, ach tha e a’ gleidheadh ​​​​na còrach atharrachaidhean a dhèanamh air toraidhean is seirbheisean sam bith aig àm sam bith gun rabhadh. Chan eil Intel a’ gabhail uallach no uallach sam bith ag èirigh bho bhith a’ cleachdadh no a’ cleachdadh fiosrachadh, toradh no seirbheis sam bith a tha air a mhìneachadh an seo ach a-mhàin mar a chaidh aontachadh gu soilleir ann an sgrìobhadh le Intel. Thathas a’ moladh do luchd-ceannach Intel an dreach as ùire de shònrachaidhean inneal fhaighinn mus cuir iad earbsa ann am fiosrachadh foillsichte sam bith agus mus cuir iad òrdughan airson toraidhean no seirbheisean. * Faodar ainmean is suaicheantasan eile a thagradh mar sheilbh chàich.

Dealbhadh Example Structar Directory

Figear 2. Dealbhadh 50GbE Example Structar Directoryintel-50G-Ethernet-Design-Example-FIG-2

An rèiteachadh bathar-cruaidh agus deuchainn files (dealbhadh bathar-cruaidh example) suidhichte ann anample_dir>/hardware_test_design. An atharrais files (testbench airson atharrais a-mhàin) suidhichte ann anample_dir>/ example_testbench.An dealbhadh cruinneachaidh a-mhàin example suidhichte ann anample_dir>/compilation_test_design.

Dealbhadh Samhlachaidh Example Components

Figear 3. Dealbhadh Simulation 50GbE Example Block Diagramintel-50G-Ethernet-Design-Example-FIG-3

Tha an atharrais example dealbhadh deuchainn ìre àrd file is basic_avl_tb_top.sv Tha seo file a’ toirt air falbh agus a’ ceangal ATX PLL. Tha e a’ toirt a-steach gnìomh, send_packets_50g_avl, gus 10 pacaidean a chuir agus fhaighinn.

Clàr 1. 50GbE IP Core Testbench File Tuairisgeulan

File Ainm Tuairisgeul
Testbench agus Simulation Files
bunaiteach_avl_tb_top.sv Balla deuchainn àrd-ìre file. Bidh an testbench a’ toirt an DUT sa bhad agus a’ ruith gnìomhan Verilog HDL gus pacaidean a ghineadh agus gabhail riutha.
Sgriobtaichean testbench
ruith_vsim.do An sgriobt ModelSim gus am being deuchainn a ruith.
ruith_vcs.sh An sgriobt Synopsys VCS gus am being deuchainn a ruith.
ruith_ncsim.sh An sgriobt Cadence NCSim gus am being deuchainn a ruith.
ruith_xcelium.sh An sgriobt Cadence Xcelium * gus am being deuchainn a ruith.

Dealbhadh rdware example Components

Figear 4. Dealbhadh Bathar-cruaidh 50GbE Example Diagram Bloc Àrd Ìreintel-50G-Ethernet-Design-Example-FIG-4

Tha dealbhadh bathar-cruaidh 50GbE example a’ toirt a-steach na co-phàirtean a leanas

  • 50GbE IP bunaiteach.
  • Loidsig teachdaiche a bhios a’ co-òrdanachadh prògramadh bun-stèidh IP agus ginealach pacaid.
  • ATX PLL gus na seanailean transceiver inneal a dhràibheadh.
  • IOPLL gus gleoc 100 MHz a ghineadh bho ghleoc cuir a-steach 50 MHz gu dealbhadh bathar-cruaidh example.
  • JTAG rianadair a bhios a’ conaltradh ri System Console. Bidh thu a’ conaltradh le loidsig an neach-dèiligidh tron ​​​​Console System.

Clàr 2. 50GbE IP Core Hardware Design Example File Tuairisgeulan

File Ainmean Tuairisgeul
eth_ex_50g.qpf Quartus prìomh am pròiseact a file
eth_ex_50g.qsf Suidheachadh pròiseact Quartus file
eth_ex_50g.sdc Synopsys cuingeachaidhean dealbhaidh file. Faodaidh tu seo a chopaigeadh agus atharrachadh file airson an dealbhadh 50GbE agad fhèin.
a’ leantainn…

Stiùireadh tòiseachaidh luath 50GbE

File Ainmean Tuairisgeul
eth_ex_50g.v Dealbhadh àrd-ìre Verilog HDL example file
cumanta/ Dealbhadh bathar-cruaidh example taic files
hwtest/prìomh.tcl Prìomh file airson faighinn gu System Console

A 'cruthachadh an Design Example

Figear 5. Modh-obrachintel-50G-Ethernet-Design-Example-FIG-5

Figear 6. Example Design Tab anns an Deasaiche Parameter 50GbEintel-50G-Ethernet-Design-Example-FIG-6

Lean na ceumannan seo gus dealbhadh bathar-cruaidh example agus testbench

  1. A rèir a bheil thu a’ cleachdadh bathar-bog Intel Quartus® Prime Pro Edition no bathar-bog Intel Quartus Prime Standard Edition, dèan aon de na gnìomhan a leanas: Anns an Intel Quartus Prime Pro Edition, cliog File ➤ Draoidh Pròiseact Ùr gus pròiseact Quartus Prime ùr a chruthachadh, no File ➤ Pròiseact Fosgailte gus pròiseact Quartus Prime a th’ ann mar-thà fhosgladh. Bidh an draoidh gad bhrosnachadh gus inneal a shònrachadh. Ann am bathar-bog Intel Quartus Prime Standard Edition, anns an IP Catalog (Innealan IP Catalog), tagh an teaghlach inneal targaid Arria 10.
  2. Anns a’ Chatalog IP, lorg agus tagh 50G Ethernet. Nochdaidh an uinneag Atharrachadh IP ùr.
  3. Sònraich ainm àrd-ìre airson an atharrachadh IP agad agus cliog air OK. Cuiridh an deasaiche paramadair ris an .qsys àrd-ìre (ann an Intel Quartus Prime Standard Edition) no .ip (ann an Intel Quartus Prime Pro Edition) file don phròiseact làithreach gu fèin-ghluasadach. Ma thèid iarraidh ort an .qsys no .ip a chur ris le làimh file chun phròiseact, cliog air Pròiseact ➤ Cuir ris / Thoir air falbh Files ann am Pròiseact gus an file.
  4. Ann am bathar-bog Intel Quartus Prime Standard Edition, feumaidh tu inneal sònraichte Arria 10 a thaghadh anns an raon Inneal, no cùm an inneal bunaiteach a tha am bathar-bog Quartus Prime a ’moladh.
    Thoir an aire: Tha dealbhadh bathar-cruaidh example thairis air an taghadh leis an inneal air a 'bhòrd targaid. Bidh thu a’ sònrachadh am bòrd targaid bhon chlàr dealbhaidh example roghainnean anns an Example Design tab (Ceum 8).
  5. Cliog air OK. Nochdaidh deasaiche paramadair.
  6. Air an taba IP, sònraich na crìochan airson an eadar-dhealachadh bunaiteach IP agad.
  7. Air an Example Design tab, airson Example Dealbhadh Files, tagh an roghainn Simulation gus am being deuchainn a ghineadh, agus tagh an roghainn Synthesis gus dealbhadh bathar-cruaidh a ghineadh example. A-mhàin Verilog HDL files air an gineadh.
    Thoir an aire: Chan eil cridhe gnìomh VHDL IP ri fhaighinn. Sònraich Verilog HDL a-mhàin, airson do phrìomh dhealbhadh IP example.
  8. Airson Bòrd Bathar-cruaidh tagh an Arria 10 GX Transceiver Signal Signal Development Kit.
    Thoir an aire: Cuir fios chun riochdaire Intel FPGA agad airson fiosrachadh mu àrd-ùrlar a tha iomchaidh airson am bathar-cruaidh seo a ruith example.
  9. Cliog air Generate Example putan Dealbhadh. Tha an Tagh Example Design Directory nochdaidh uinneag.
  10. Ma tha thu airson an dealbhadh atharrachadh example slighe eòlaire no ainm bho na roghainnean bunaiteach a tha air an taisbeanadh (alt_e50_0_example_design), brobhsadh chun t-slighe ùr agus sgrìobh an dealbhadh ùr example ainm an eòlaire (ample_dir>).
  11. Cliog air OK.
  12. Thoir sùil air an fhreagairt KDB Ciamar a nì mi dìoladh airson an jitter de chascading PLL no slighe cloc neo-cheangailte airson gleoc iomraidh Arria 10 PLL? airson fuasgladh bu chòir dhut cur a-steach san eòlaire hardware_test_design san .sdc file.

Thoir an aire: Feumaidh tu co-chomhairle a chumail ris an fhreagairt KDB seo oir tha an t-slighe RX ann an cridhe 50GbE IP a ’toirt a-steach PLLs cascaded. Mar sin, is dòcha gum faigh na clocaichean bunaiteach IP eòlas air jitter a bharrachd ann an innealan Arria 10. Tha am Freagairt KDB seo a’ soilleireachadh na fiosan bathar-bog anns a bheil feum air an dòigh-obrach.

Fiosrachadh Co-cheangailte
Freagairt KDB: Ciamar a nì mi dìoladh airson an jitter de chascading PLL no slighe gleoc neo-cheangailte airson gleoc iomraidh Arria 10 PLL?

A’ dèanamh atharrais air an 50GbE Design Example Testbench

Figear 7. Modh-obrachintel-50G-Ethernet-Design-Example-FIG-7

Lean na ceumannan seo gus atharrais air a’ bheing deuchainn

  1. Atharraich gu eòlaire atharrais testbenchample_dir>/ example_testbench.
  2. Ruith an sgriobt atharrais airson an simuladair le taic de do roghainn. Bidh an sgriobt a’ cur ri chèile agus a’ ruith a’ bheing deuchainn san t-simuladair. Thoir sùil air a’ chlàr “Steps to Simulate the Testbench”.
  3. Dèan mion-sgrùdadh air na toraidhean. Bidh am being deuchainn soirbheachail a’ cur deich pacaidean, a’ faighinn deich pacaidean, agus a’ taisbeanadh “Testbench coileanta.”

Clàr 3. Ceumannan gus Simulate an Testbench

Simulator Stiùiridhean
Modail Sim Anns an loidhne-àithne, dèan seòrsa vsim -do run_vsim.do

Mas fheàrr leat atharrais gun a bhith a’ toirt suas an ModelSim GUI, dèan seòrsa vsim -c -do run_vsim.do

Thoir an aire: Chan eil comas aig an simuladair ModelSim * - Intel FPGA Edition an cridhe IP seo a shamhlachadh. Feumaidh tu simuladair ModelSim eile le taic leithid ModelSim SE a chleachdadh.

NCSim Anns an loidhne-àithne, dèan seòrsa sh run_ncsim.sh
VCS Anns an loidhne-àithne, dèan seòrsa sh run_vcs.sh
Xcelium Anns an loidhne-àithne, dèan seòrsa sh run_xcelium.sh

Bidh an ruith deuchainn soirbheachail a’ taisbeanadh toradh a’ dearbhadh an giùlan a leanas

  1. A’ feitheamh ri gleoc RX gus socrachadh
  2. Clò-bhualadh inbhe PHY
  3. A 'cur 10 pacaidean
  4. A 'faighinn 10 pacaidean
  5. A’ taisbeanadh “Testbench coileanta.”

Tha na leanas sample toradh a’ nochdadh ruith deuchainn atharrais soirbheachail

  • Tha gleoc #Ref air a ruith aig 625 MHz gus an tèid àireamhan slàn a chleachdadh airson a h-uile ùine gleoc.
  • # Iomadaich tricead aithris le 33/32 gus fìor tricead gleoc fhaighinn.
  • # A’ feitheamh ri co-thaobhadh RX
  • Deasg #RX glaiste
  • Co-thaobhadh sreath #RX glaiste
  • #TX air a chomasachadh
  • #**A’ cur pacaid 1…
  • #**A’ cur pacaid 2…
  • #**A’ cur pacaid 3…
  • #**A’ cur pacaid 4…
  • #**A’ cur pacaid 5…
  • #**A’ cur pacaid 6…
  • #**A’ cur pacaid 7…
  • #**Fhuair pasgan 1…
  • #**A’ cur pacaid 8…
  • #**Fhuair pasgan 2…
  • #**A’ cur pacaid 9…
  • #**Fhuair pasgan 3…
  • #**A’ cur pacaid 10…
  • #**Fhuair pasgan 4…
  • #**Fhuair pasgan 5…
  • #**Fhuair pasgan 6…
  • #**Fhuair pasgan 7…
  • #**Fhuair pasgan 8…
  • #**Fhuair pasgan 9…
  • #**Fhuair pasgan 10…
  • #**
  • #** Testbench deiseil.
  • #**
  • #************************************

A’ cur ri chèile agus a’ rèiteachadh an dealbhadh Example ann am Bathar-cruaidh

Gus dealbhadh bathar-cruaidh example agus rèitich e air an inneal Arria 10 GT agad, lean na ceumannan seo

  1. Dèan cinnteach gu bheil dealbhadh bathar-cruaidh examptha ginealach iomlan.
  2. Ann am bathar-bog Intel Quartus Prime, fosgail am pròiseact Intel Quartus Primeample_dir>/hardware_test_design/eth_ex_50g.qpf.
  3. Mus cuir thu ri chèile, dèan cinnteach gu bheil thu air an dòigh-obrach a chuir an gnìomh bhon fhreagairt KDB Ciamar a nì mi dìoladh airson jitter casg PLL no slighe gleoc neo-cheangailte airson gleoc iomraidh Arria 10 PLL? ma tha e iomchaidh airson an sgaoileadh bathar-bog agad.
  4. Air a 'chlàr-taice Pròiseas, briog air Start Compilation.
  5. Às deidh dhut nì SRAM a ghineadh file .sof, lean na ceumannan seo gus dealbhadh bathar-cruaidh example air an inneal Arria 10:
  • Air a’ chlàr Innealan, cliog air Prògramadair.
  • Anns a 'Phrògramaiche, briog air Hardware Setup.
  • Tagh inneal prògramadh.
  • Tagh agus cuir ris a’ bhòrd Arria 10 GT le retimer 25G ris an t-seisean Intel Quartus Prime agad.
  • Dèan cinnteach gu bheil am modh air a shuidheachadh gu JTAG.
  • Tagh an inneal Arria 10 agus briog air Add Device. Bidh am Prògramadair a’ taisbeanadh diagram bloca de na ceanglaichean eadar na h-innealan air do bhòrd.
  • Anns an t-sreath leis an .sof agad, thoir sùil air a’ bhogsa airson an .sof.
  • Thoir sùil air a’ bhogsa sa cholbh Prògram/Configure.
  • Cliog air Start

Thoir an aire: Tha an dealbhadh seo example targaidean an inneal Arria 10 GT. Feuch an cuir thu fios chun riochdaire Intel FPGA agad gus faighneachd mu àrd-ùrlar a tha iomchaidh airson am bathar-cruaidh seo a ruith example

Fiosrachadh Co-cheangailte

  • Freagairt KDB: Ciamar a nì mi dìoladh airson an jitter de chascading PLL no slighe gleoc neo-ainmichte airson gleoc iomraidh Arria 10 PLL?
  • Cruinneachadh mean air mhean airson dealbhadh rangachd agus stèidhichte air sgioba
  • Prògramachadh innealan Intel FPGA

A’ dèanamh deuchainn air Dealbhadh Bathar-cruaidh 50GbE Example

Às deidh dhut an dealbhadh bunaiteach 50GbE IP a chuir ri chèile exampLe agus a rèiteachadh air an inneal Arria 10 GT agad, faodaidh tu an System Console a chleachdadh gus am bunait IP agus na prìomh chlàran bunaiteach IP PHY IP freumhaichte a phrògramadh. Gus an System Console a thionndadh air agus deuchainn a dhèanamh air dealbhadh bathar-cruaidh example, lean na ceumannan seo:

  1. Às deidh dealbhadh bathar-cruaidh example air a rèiteachadh air an inneal Arria 10, ann am bathar-bog Intel Quartus Prime, air a’ chlàr Innealan, cliog Innealan Debugging System ➤ System Console.
  2. Anns a’ phana Tcl Console, dèan seòrsa cd hwtest gus an eòlaire atharrachadh guample_dir>/hardware_test_design/hwtest.
  3. Seòrsa source main.tcl gus ceangal fhosgladh ris an fhaidhle JTAG maighstir.

Faodaidh tu an cridhe IP a phrògramadh leis an dealbhadh a leanas example òrdughan

  • chkphy_status: A’ taisbeanadh tricead a’ ghleoc agus inbhe glasaidh PHY.
  • start_pkt_gen : A' tòiseachadh gineadair a' phacaid.
  • stop_pkt_gen : A' stad gineadair a' phacaid.
  • loop_on: Tionndaidh air loopback sreathach a-staigh
  • loop_off: Cuir dheth lùb sreathach a-staigh.
  • reg_leugh : A 'tilleadh luach clàr bunaiteach IP aig .
  • reg_sgrìobh : Sgrìobh gu prìomh chlàr IP aig an t-seòladh .

Fiosrachadh Co-cheangailte

  • Dealbhadh 50GbE Example Clàran air duilleag 13 Clàr mapa airson dealbhadh bathar-cruaidh example.
  • A’ mion-sgrùdadh agus a’ dì-bhugachadh dhealbhaidhean le Console System

Dealbhadh Example Tuairisgeul

Tha an dealbhadh example a’ nochdadh gnìomhan a’ chridhe 50GbE le eadar-aghaidh transceiver a’ gèilleadh ri sònrachadh àbhaisteach IEEE 802.3ba CAUI-4. Faodaidh tu an dealbhadh a ghineadh bhon Example Dealbhadh tab anns an deasaiche paramadair 50GbE. Gus an dealbhadh example, feumaidh tu an toiseach na luachan paramadair a shuidheachadh airson an eadar-dhealachadh bunaiteach IP a tha thu an dùil a ghineadh san toradh deireannach agad. A 'cruthachadh dealbhadh exampbidh le a’ cruthachadh leth-bhreac den chridhe IP; an testbench agus dealbhadh bathar-cruaidh exampcleachd an caochladh seo mar an DUT. Mura suidhich thu na luachan paramadair airson an DUT gus a bhith co-ionnan ris na luachan paramadair san toradh deireannach agad, tha an dealbhadh exampcha bhith thu a’ gineadh a’ cleachdadh an eadar-dhealachadh bunaiteach IP a tha thu an dùil.

Thoir an aire: Tha am being deuchainn a’ nochdadh deuchainn bunaiteach air cridhe IP. Chan eilear an dùil a bhith na àite airson àrainneachd dearbhaidh iomlan. Feumaidh tu dearbhadh nas fharsainge a dhèanamh air an dealbhadh 50GbE agad fhèin ann an atharrais agus ann am bathar-cruaidh.

Fiosrachadh Co-cheangailte
Intel Arria® 10 50Gbps Ethernet IP Core Stiùireadh Cleachdaiche

Dealbhadh Example Giùlan
Bidh am being deuchainn a’ cur trafaic tro chridhe an IP, a’ cleachdadh an taobh tar-chuir agus a’ faighinn taobh a’ chridhe IP. Ann an dealbhadh bathar-cruaidh example, faodaidh tu an cridhe IP a phrògramadh ann am modh lùbach sreathach a-staigh agus trafaic a ghineadh air an taobh tar-chuir a bhios a’ lùbadh air ais tron ​​​​taobh faighinn.

Dealbhadh Example Comharran Eadar-aghaidh
Tha am being deuchainn 50GbE fèin-chumanta agus chan eil e ag iarraidh ort comharran cuir a-steach sam bith a dhràibheadh.

Clàr 4. 50GbE Hardware Design Example Comharran Eadar-aghaidh

Comharradh Stiùir Beachdan
 

clc 50

 

Cuir a-steach

Siubhail aig 50 MHz. Is e an rùn seo a dhràibheadh ​​​​bho oscillator 50 Mhz air a’ bhòrd.
clk_ref Cuir a-steach Siubhail aig 644.53125 MHz.
 

cpu_ath-shuidheachadh

 

Cuir a-steach

Ag ath-shuidheachadh an IP bunaiteach. Gnìomhach ìosal. A’ stiùireadh an ath-shuidheachadh cruaidh cruinne csr_reset_n gu cridhe IP.
a’ leantainn…

Intel Corporation. Gach còir glèidhte. Tha Intel, suaicheantas Intel, agus comharran Intel eile nan comharran-malairt aig Intel Corporation no na fo-chompanaidhean aige. Tha Intel airidh air coileanadh a thoraidhean FPGA agus semiconductor a rèir mion-chomharrachadh gnàthach a rèir barantas àbhaisteach Intel, ach tha e a’ gleidheadh ​​​​na còrach atharrachaidhean a dhèanamh air toraidhean is seirbheisean sam bith aig àm sam bith gun rabhadh. Chan eil Intel a’ gabhail uallach no uallach sam bith ag èirigh bho bhith a’ cleachdadh no a’ cleachdadh fiosrachadh, toradh no seirbheis sam bith a tha air a mhìneachadh an seo ach a-mhàin mar a chaidh aontachadh gu soilleir ann an sgrìobhadh le Intel. Thathas a’ moladh do luchd-ceannach Intel an dreach as ùire de shònrachaidhean inneal fhaighinn mus cuir iad earbsa ann am fiosrachadh foillsichte sam bith agus mus cuir iad òrdughan airson toraidhean no seirbheisean. * Faodar ainmean is suaicheantasan eile a thagradh mar sheilbh chàich.

Comharradh Stiùir Beachdan
tx_sreath[1:0] Toradh Bidh Transceiver PHY a’ toirt a-mach dàta sreathach.
rx_sreath[1:0] Cuir a-steach Cuir a-steach dàta sreathach Transceiver PHY.
 

 

 

 

 

 

user_led[7:0]

 

 

 

 

 

 

 

Toradh

Comharran inbhe. Tha dealbhadh bathar-cruaidh example a 'ceangal na pìosan sin gus LEDs a dhràibheadh ​​​​air a' bhòrd targaid. Tha pìosan fa leth a’ nochdadh nan luachan comharran a leanas agus giùlan gleoc:

• [0]: Prìomh chomharra ath-shuidheachadh gu cridhe IP

• [1]: Tionndadh roinnte de clk_ref

• [2]: Tionndadh roinnte de clk50

• [3]: Tionndadh roinneadh de ghleoc inbhe 100 MHz

• [4] : tx_lanes_stable

• [5]: rx_block_lock

• [6]: rx_am_lock

• [7]: rx_pcs_ready

Fiosrachadh Co-cheangailte
Eadar-aghaidhean agus tuairisgeulan comharran A’ toirt seachad tuairisgeulan mionaideach air prìomh chomharran 50GbE IP agus na h-eadar-aghaidh dham buin iad.

Dealbhadh 50GbE Example Clàran

Clàr 5. 50GbE Hardware Design Example Clàr Mapa
Liosta de na raointean clàraidh le mapa cuimhne airson dealbhadh bathar-cruaidh example. Gheibh thu cothrom air na clàran seo leis na gnìomhan reg_read agus reg_write ann an Console an t-Siostaim.

Offset Facal Clàr roinn-seòrsa
0x300-0x5FF Clàran bunaiteach IP 50GbE.
0x4000–0x4C00 Arria 10 clàran ath-dhealbhachaidh fiùghantach. Is e an seòladh bunaiteach clàraidh 0x4000 airson Lane 0 agus 0x4400 airson Lane 1.

Fiosrachadh Co-cheangailte

  • A’ dèanamh deuchainn air Dealbhadh Bathar-cruaidh 50GbE Example air duilleag 11 Òrdughan System Console gus faighinn gu prìomh chlàran IP agus clàran PHY Dùthchasach.
  • Tuairisgeulan Clàr Smachd is Inbhe 50GbE A’ toirt cunntas air na prìomh chlàran IP 50GbE.

Eachdraidh ath-sgrùdadh sgrìobhainnean

Clàr 6. 50G Ethernet Design Example Eachdraidh Ath-sgrùdaidh Stiùireadh Cleachdaiche

Ceann-latha Sgaoil Atharrachaidhean
2019.04.03 17.0 Chuir sinn ris an àithne gus samhlaidhean Xcelium a ruith.
 

 

 

2017.11.08

 

 

 

17.0

Ceangal air a chur ris gu KDB Answer a bheir seachad fuasgladh airson jitter a dh’ fhaodadh a bhith ann air innealan Intel Arria® 10 mar thoradh air ATX PLLs a sgaoileadh ann am meadhan IP.

Thoir iomradh air A 'cruthachadh an Design Example air duilleag 7 agus A 'cruinneachadh agus a' A’ rèiteachadh an Design Example ann am Bathar-cruaidh air duilleag 10.

Tha an dealbhadh seo example cha deach an stiùireadh cleachdaiche ùrachadh gus a nochdadh

Thoir an aire: atharrachaidhean beaga ann an gineadh dealbhaidh ann am fiosan Intel Quartus Prime nas fhaide na an sgaoileadh bathar-bog Intel Quartus Prime

v17.0.

2017.05.08 17.0 Foillseachadh poblach tùsail.

Intel Corporation. Gach còir glèidhte. Tha Intel, suaicheantas Intel, agus comharran Intel eile nan comharran-malairt aig Intel Corporation no na fo-chompanaidhean aige. Tha Intel airidh air coileanadh a thoraidhean FPGA agus semiconductor a rèir mion-chomharrachadh gnàthach a rèir barantas àbhaisteach Intel, ach tha e a’ gleidheadh ​​​​na còrach atharrachaidhean a dhèanamh air toraidhean is seirbheisean sam bith aig àm sam bith gun rabhadh. Chan eil Intel a’ gabhail uallach no uallach sam bith ag èirigh bho bhith a’ cleachdadh no a’ cleachdadh fiosrachadh, toradh no seirbheis sam bith a tha air a mhìneachadh an seo ach a-mhàin mar a chaidh aontachadh gu soilleir ann an sgrìobhadh le Intel. Thathas a’ moladh do luchd-ceannach Intel an dreach as ùire de shònrachaidhean inneal fhaighinn mus cuir iad earbsa ann am fiosrachadh foillsichte sam bith agus mus cuir iad òrdughan airson toraidhean no seirbheisean. * Faodar ainmean is suaicheantasan eile a thagradh mar sheilbh chàich.

Sgrìobhainnean/Goireasan

Intel 50G Ethernet Design Example [pdfStiùireadh Cleachdaiche
Dealbhadh Ethernet 50G Example, 50G, Ethernet Design Example, Design Example

Iomraidhean

Fàg beachd

Cha tèid do sheòladh puist-d fhoillseachadh. Tha raointean riatanach air an comharrachadh *