Intel 50G Ethernet Design Example
50GbE تڪڙو شروع ھدايت
50GbE IP ڪور هڪ نقلي ٽيسٽ بينچ ۽ هارڊويئر ڊيزائن اڳ مهيا ڪري ٿوample جيڪو تاليف ۽ هارڊويئر ٽيسٽ کي سپورٽ ڪري ٿو. جڏهن توهان ڊزائين ٺاهي رهيا آهيو example، پيٽرولر ايڊيٽر پاڻمرادو ٺاهي ٿو fileهارڊويئر ۾ ڊيزائن کي تخليق ڪرڻ، مرتب ڪرڻ ۽ جانچڻ لاءِ ضروري آهي. توھان ڊائون لوڊ ڪري سگھوٿا مرتب ٿيل هارڊويئر ڊيزائن کي Arria 10 GT ڊوائيس تي.
نوٽ: هي ڊزائن اڳوڻيample Arria 10 GT ڊوائيس کي ھدف ڪري ٿو ۽ 25G ريٽيمر جي ضرورت آھي. مھرباني ڪري پنھنجي Intel FPGA نمائندي سان رابطو ڪريو ھڪڙي پليٽ فارم جي باري ۾ پڇڻ لاءِ جيڪو ھن هارڊويئر کي هلائڻ لاءِ موزون آھيample. ڪجهه حالتن ۾ مناسب هارڊويئر جو قرض دستياب ٿي سگھي ٿو. ان کان علاوه، Intel مهيا ڪري ٿو هڪ تاليف-صرف example پروجيڪٽ جيڪو توهان استعمال ڪري سگهو ٿا تڪڙو اندازو لڳائڻ لاءِ IP ڪور ايريا ۽ وقت.
شڪل 1. ڊيزائن Exampاستعمال
Intel Corporation. سڀ حق محفوظ آهن. Intel، Intel لوگو، ۽ ٻيا Intel نشان آھن Intel Corporation يا ان جي ماتحت ادارن جا ٽريڊ مارڪ. Intel وارنٽي ڏئي ٿو پنهنجي FPGA ۽ سيمڪنڊڪٽر پروڊڪٽس جي ڪارڪردگي کي موجوده وضاحتن مطابق Intel جي معياري وارنٽي جي مطابق، پر ڪنهن به وقت بغير اطلاع جي ڪنهن به پروڊڪٽس ۽ خدمتن ۾ تبديليون ڪرڻ جو حق محفوظ رکي ٿو. Intel هتي بيان ڪيل ڪنهن به معلومات، پراڊڪٽ، يا خدمت جي ايپليڪيشن يا استعمال مان پيدا ٿيندڙ ڪا به ذميواري يا ذميواري قبول نه ڪندو آهي سواءِ انٽيل طرفان لکڻ ۾ واضح طور تي اتفاق ڪيو ويو. Intel گراهڪن کي صلاح ڏني وئي آهي ته ڪنهن به شايع ٿيل معلومات تي ڀروسو ڪرڻ کان پهريان ۽ مصنوعات يا خدمتن لاءِ آرڊر ڏيڻ کان پهريان ڊوائيس جي وضاحتن جو جديد نسخو حاصل ڪن. * ٻيا نالا ۽ برانڊ ٻين جي ملڪيت طور دعوي ڪري سگھن ٿا.
ڊيزائن Exampڊاريڪٽري جي جوڙجڪ
شڪل 2. 50GbE ڊيزائن Exampڊاريڪٽري جي جوڙجڪ
هارڊويئر جي ترتيب ۽ ٽيسٽ files (هارڊويئر ڊيزائن example) ۾ واقع آهنample_dir>/hardware_test_design. تخليق files (صرف تخليق لاءِ ٽيسٽ بينچ) ۾ واقع آهنample_dir>/ مثالample_testbench.The compilation-only design example ۾ واقع آهيample_dir>/compilation_test_design.
Simulation Design Exampاجزاء
شڪل 3. 50GbE سموليشن ڊيزائن Exampلي بلاڪ ڊاگرام
تخليق جو مثالampلي ڊيزائن جي اعلي سطحي ٽيسٽ file is basic_avl_tb_top.sv هي file ATX PLL کي فوري ۽ ڳنڍي ٿو. ان ۾ 50 پيڪيٽ موڪلڻ ۽ وصول ڪرڻ لاءِ هڪ ڪم، send_packets_10g_avl شامل آهي.
ٽيبل 1. 50GbE IP ڪور ٽيسٽ بينچ File وضاحتون
File نالو | وصف |
ٽيسٽ بينچ ۽ تخليق Files | |
بنيادي_avl_tb_top.sv | اعليٰ سطحي ٽيسٽ بينچ file. ٽيسٽ بينچ DUT کي فوري ڪري ٿو ۽ پيڪٽ ٺاهڻ ۽ قبول ڪرڻ لاءِ Verilog HDL ڪمن کي هلائي ٿو. |
ٽيسٽ بينچ اسڪرپٽ | |
run_vsim.do | ماڊل سم اسڪرپٽ ٽيسٽ بينچ کي هلائڻ لاءِ. |
run_vcs.sh | ٽيسٽ بينچ کي هلائڻ لاءِ Synopsys VCS اسڪرپٽ. |
run_ncsim.sh | Cadence NCSim اسڪرپٽ ٽيسٽ بينچ کي هلائڻ لاء. |
run_xcelium.sh | Cadence Xcelium* اسڪرپٽ ٽيسٽ بينچ کي هلائڻ لاءِ. |
rdware ڊيزائن Exampاجزاء
شڪل 4. 50GbE هارڊويئر ڊيزائن Exampهاء ليول بلاڪ ڊراگرام
50GbE هارڊويئر ڊيزائن اڳوڻيample ۾ هيٺيان اجزاء شامل آهن
- 50GbE IP ڪور.
- ڪلائنٽ منطق جيڪو IP ڪور ۽ پيڪٽ نسل جي پروگرامنگ کي هموار ڪري ٿو.
- ATX PLL ڊوائيس ٽرانسيور چينلز کي هلائڻ لاء.
- IOPLL هڪ 100 MHz گھڙي پيدا ڪرڻ لاءِ 50 MHz ان پٽ گھڙي کان هارڊويئر ڊيزائن تائينampلي.
- JTAG ڪنٽرولر جيڪو سسٽم ڪنسول سان رابطو ڪري ٿو. توهان سسٽم ڪنسول ذريعي ڪلائنٽ منطق سان رابطو ڪريو.
ٽيبل 2. 50GbE IP ڪور هارڊويئر ڊيزائن Example File وضاحتون
File نالا | وصف |
eth_ex_50g.qpf | Quartus Prime پروجيڪٽ file |
eth_ex_50g.qsf | Quartus پروجيڪٽ سيٽنگون file |
eth_ex_50g.sdc | Synopsys ڊيزائن جي پابنديون file. توھان ھن کي نقل ڪري سگھو ٿا ۽ تبديل ڪري سگھو ٿا file توهان جي پنهنجي 50GbE ڊيزائن لاءِ. |
جاري رهيو… |
50GbE تڪڙو شروع ھدايت
File نالا | وصف |
eth_ex_50g.v | اعلي سطحي ويريلوگ HDL ڊيزائن اڳوڻيample file |
عام/ | هارڊويئر ڊيزائن exampجي حمايت files |
hwtest/main.tcl | مکيه file سسٽم ڪنسول تائين رسائي لاءِ |
ڊيزائن ٺاهڻ Example
شڪل 5. طريقيڪار
شڪل 6. سابقamp50GbE پيرا ميٽر ايڊيٽر ۾ لي ڊيزائن ٽيب
انهن قدمن تي عمل ڪريو هارڊويئر ڊيزائن ٺاهڻ لاءِ اڳampلي ۽ ٽيسٽ بينچ
- ان تي منحصر آھي ته ڇا توھان استعمال ڪري رھيا آھيو Intel Quartus® Prime Pro Edition سافٽ ويئر يا Intel Quartus Prime Standard Edition سافٽ ويئر، ھيٺ ڏنل عملن مان ھڪڙو انجام ڏيو: Intel Quartus Prime Pro Edition ۾، ڪلڪ ڪريو File ➤ نئون پروجيڪٽ مددگار هڪ نئون Quartus Prime پروجيڪٽ ٺاهڻ لاءِ، يا File ➤ اوپن پروجيڪٽ کي کولڻ لاءِ موجوده ڪوارٽس پرائم پروجيڪٽ. جادوگر توهان کي هڪ ڊوائيس بيان ڪرڻ لاء اشارو ڏئي ٿو. Intel Quartus Prime Standard Edition سافٽ ويئر ۾، IP Catalog (Tools IP Catalog) ۾، Arria 10 ٽارگيٽ ڊيوائس فيملي چونڊيو.
- IP Catalog ۾، ڳولھيو ۽ چونڊيو 50G Ethernet. نئين IP تبديلي ونڊو ظاهر ٿئي ٿي.
- توهان جي IP مختلف قسم لاء هڪ اعلي سطحي نالو بيان ڪريو ۽ ڪلڪ ڪريو ٺيڪ. پيراميٽر ايڊيٽر مٿين-سطح .qsys (Intel Quartus Prime Standard Edition) يا .ip (Intel Quartus Prime Pro Edition ۾) شامل ڪري ٿو. file موجوده پروجيڪٽ ڏانهن خودڪار طريقي سان. جيڪڏھن توھان کي دستي طور شامل ڪرڻ لاءِ چيو ويو آھي .qsys يا .ip file پروجيڪٽ ڏانهن، ڪلڪ ڪريو پروجيڪٽ ➤ شامل ڪريو/هٽايو Files شامل ڪرڻ لاءِ پروجيڪٽ ۾ file.
- Intel Quartus Prime Standard Edition سافٽ ويئر ۾، توھان کي لازمي طور تي چونڊيو Arria 10 ڊيوائس جي فيلڊ ۾، يا ڊفالٽ ڊيوائس کي رکڻ گھرجي جيڪو Quartus Prime سافٽ ويئر تجويز ڪري ٿو.
نوٽ: هارڊويئر ڊيزائن Example ھدف بورڊ تي ڊوائيس سان چونڊ کي ختم ڪري ٿو. توھان وضاحت ڪريو ھدف بورڊ جي مينيو مان ڊيزائن exampلي آپشنز ۾ Exampلي ڊيزائن ٽيب (قدم 8). - OK تي ڪلڪ ڪريو. پراميٽر ايڊيٽر ظاهر ٿئي ٿو.
- IP ٽئب تي، توهان جي IP بنيادي تبديلي لاءِ پيٽرول بيان ڪريو.
- تي Exampلي ڊيزائن ٽيب، مثال لاءِampلي ڊزائن Files، ٽيسٽ بينچ پيدا ڪرڻ لاءِ سموليشن آپشن چونڊيو، ۽ هارڊويئر ڊيزائن تيار ڪرڻ لاءِ سنٿيسس آپشن چونڊيوampلي. صرف Verilog HDL files ٺاهيل آهن.
نوٽ: هڪ فنڪشنل VHDL IP ڪور موجود ناهي. وضاحت ڪريو Verilog HDL صرف، توهان جي IP بنيادي ڊيزائن لاءِampلي. - هارڊويئر بورڊ لاءِ چونڊيو Arria 10 GX Transceiver Signal Integrity Development Kit.
نوٽ: پنھنجي Intel FPGA نمائندي سان رابطو ڪريو ھڪڙي پليٽ فارم بابت معلومات لاءِ جيڪو ھن هارڊويئر کي هلائڻ لاءِ موزون آھيampلي. - ڪلڪ ڪريو Generate Exampلي ڊيزائن بٽڻ. منتخب ڪريو Exampلي ڊيزائن ڊاريڪٽري ونڊو ظاهر ٿئي ٿي.
- جيڪڏھن توھان چاھيو ٿا ڊيزائن کي تبديل ڪريو example ڊاريڪٽري جو رستو يا نالو ڏيکاريل ڊفالٽ مان (alt_e50_0_example_design)، نئين رستي ڏانهن براؤز ڪريو ۽ نئين ڊيزائن کي ٽائپ ڪريو exampلي ڊاريڪٽري جو نالو (ample_dir>).
- OK تي ڪلڪ ڪريو.
- KDB جواب ڏانهن رجوع ڪريو آئون ڪيئن معاوضو ڏيان پي ايل ايل ڪيسڪڊنگ يا غير وقف ڪيل گھڙي جي رستي جي ارريا 10 پي ايل ايل ريفرنس ڪلاڪ لاءِ؟ هڪ حل لاءِ توهان کي .sdc ۾ hardware_test_design ڊاريڪٽري ۾ لاڳو ڪرڻ گهرجي file.
نوٽ: توھان کي ھن KDB جواب سان صلاح ڪرڻ گھرجي ڇو ته RX رستو 50GbE IP ڪور ۾ شامل آھي cascaded PLLs. تنهن ڪري، IP بنيادي گھڙيون شايد اريريا 10 ڊوائيسز ۾ اضافي جٽ جو تجربو ڪري سگھن ٿيون. هي KDB جواب واضح ڪري ٿو سافٽ ويئر رليز جنهن ۾ ڪم ڪار ضروري آهي.
لاڳاپيل معلومات
KDB جواب: مان PLL cascading يا غير وقف ٿيل گھڙي جي رستي جي خرابي لاءِ ڪيئن معاوضو ڏيان Arria 10 PLL ريفرنس ڪلاڪ لاءِ؟
50GbE ڊيزائن Exampلي ٽيسٽ بينچ
شڪل 7. طريقيڪار
ٽيسٽ بينچ کي ترتيب ڏيڻ لاءِ انهن قدمن تي عمل ڪريو
- ٽيسٽ بينچ سموليشن ڊاريڪٽري ۾ تبديل ڪريوample_dir>/ مثالample_testbench.
- پنھنجي پسند جي سپورٽ ٿيل سموليٽر لاءِ نقلي اسڪرپٽ ھلايو. اسڪرپٽ گڏ ڪري ٿو ۽ ٽيسٽ بينچ کي سمائيٽر ۾ هلائي ٿو. جدول ڏانهن رجوع ڪريو “Steps to Simulate the Testbench”.
- نتيجن جو تجزيو ڪريو. ڪامياب ٽيسٽ بينچ ڏهه پيڪٽ موڪلي ٿو، ڏهه پيڪٽ وصول ڪري ٿو، ۽ ڏيکاري ٿو "Testbench مڪمل."
ٽيبل 3. ٽيسٽ بينچ کي ترتيب ڏيڻ لاء قدم
سمائيٽر | هدايتون |
ماڊل سم | ڪمانڊ لائن ۾، ٽائپ ڪريو vsim -do run_vsim.do
جيڪڏهن توهان ماڊل سم GUI کي آڻڻ کان سواءِ تخليق ڪرڻ چاهيو ٿا، ٽائپ ڪريو vsim -c -do run_vsim.do نوٽ: The ModelSim* - Intel FPGA Edition simulator وٽ ھن IP ڪور کي نقل ڪرڻ جي صلاحيت نه آھي. توھان کي ھڪڙو ٻيو سپورٽ ٿيل ModelSim simulator استعمال ڪرڻ گھرجي جھڙوڪ ModelSim SE. |
NCSim | ڪمانڊ لائن ۾، ٽائپ ڪريو sh run_ncsim.sh |
وي سي ايس | ڪمانڊ لائن ۾، ٽائپ ڪريو sh run_vcs.sh |
ايڪسيليم | ڪمانڊ لائن ۾، ٽائپ ڪريو sh run_xcelium.sh |
ڪامياب ٽيسٽ رن ھيٺ ڏنل رويي جي تصديق ڪندي ٻاھر ڏيکاري ٿو
- انتظار ڪري رهيو آهي RX ڪلاڪ لاءِ
- ڇپائي PHY اسٽيٽس
- 10 پيڪيجز موڪلڻ
- 10 پيڪيجز وصول ڪرڻ
- ڏيکاريندي "Testbench مڪمل."
هيٺيون ايسampلي آئوٽ هڪ ڪامياب تخليق ٽيسٽ رن کي بيان ڪري ٿو
- #Ref ڪلاڪ 625 MHz تي هلندي آهي، تنهنڪري سڄو نمبر سڀني گھڙي جي دورن لاء استعمال ڪري سگهجي ٿو.
- حقيقي ڪلاڪ جي تعدد حاصل ڪرڻ لاء # 33/32 پاران رپورٽ ڪيل تعدد کي ضرب ڪريو.
- # RX جي ترتيب جي انتظار ۾
- #RX ڊيسڪ بند ڪيو ويو
- #RX لين جي ترتيب کي بند ڪيو ويو
- #TX فعال
- #**پيڪٽ 1 موڪلڻ...
- #**پيڪٽ 2 موڪلڻ...
- #**پيڪٽ 3 موڪلڻ...
- #**پيڪٽ 4 موڪلڻ...
- #**پيڪٽ 5 موڪلڻ...
- #**پيڪٽ 6 موڪلڻ...
- #**پيڪٽ 7 موڪلڻ...
- #**وصول ٿيل پيڪٽ 1...
- #**پيڪٽ 8 موڪلڻ...
- #**وصول ٿيل پيڪٽ 2...
- #**پيڪٽ 9 موڪلڻ...
- #**وصول ٿيل پيڪٽ 3...
- #**پيڪٽ 10 موڪلڻ...
- #**وصول ٿيل پيڪٽ 4...
- #**وصول ٿيل پيڪٽ 5...
- #**وصول ٿيل پيڪٽ 6...
- #**وصول ٿيل پيڪٽ 7...
- #**وصول ٿيل پيڪٽ 8...
- #**وصول ٿيل پيڪٽ 9...
- #**وصول ٿيل پيڪٽ 10...
- #**
- #** ٽيسٽ بينچ مڪمل.
- #**
- #*******************************************
ڊيزائن کي گڏ ڪرڻ ۽ ترتيب ڏيڻ Exampهارڊويئر ۾
هارڊويئر ڊيزائن کي گڏ ڪرڻ لاءِ example ۽ ان کي پنهنجي Arria 10 GT ڊوائيس تي ترتيب ڏيو، انهن قدمن تي عمل ڪريو
- هارڊويئر ڊيزائن کي يقيني بڻايو exampنسل مڪمل آهي.
- Intel Quartus Prime سافٽ ويئر ۾، کوليو Intel Quartus Prime پروجيڪٽample_dir>/hardware_test_design/eth_ex_50g.qpf.
- مرتب ڪرڻ کان پهريان، پڪ ڪريو ته توهان KDB جواب کان ڪم ڪار تي عمل ڪيو آهي، مان ڪيئن ادا ڪريان پي ايل ايل جي ڇڪتاڻ يا غير وقف ٿيل گھڙي جي رستي لاءِ ارريا 10 پي ايل ايل ريفرنس ڪلاڪ لاءِ؟ جيڪڏهن توهان جي سافٽ ويئر ڇڏڻ لاء لاڳاپيل آهي.
- پروسيسنگ مينيو تي، ڪلڪ ڪريو ڪمپليشن شروع ڪريو.
- توھان کان پوء ھڪڙو SRAM اعتراض ٺاھيو file .sof، هارڊويئر ڊيزائن کي پروگرام ڪرڻ لاءِ هنن قدمن تي عمل ڪريوampLe Arria 10 ڊوائيس تي:
- اوزار مينيو تي، پروگرامر تي ڪلڪ ڪريو.
- پروگرامر ۾، هارڊويئر سيٽ اپ تي ڪلڪ ڪريو.
- هڪ پروگرامنگ ڊوائيس چونڊيو.
- چونڊيو ۽ شامل ڪريو Arria 10 GT بورڊ 25G ريٽيمر سان پنھنجي Intel Quartus Prime سيشن ۾.
- پڪ ڪريو ته موڊ سيٽ ڪيو ويو آهي JTAG.
- Arria 10 ڊوائيس چونڊيو ۽ ڊيوائس شامل ڪريو تي ڪلڪ ڪريو. پروگرامر توهان جي بورڊ تي ڊوائيسز جي وچ ۾ رابطن جو هڪ بلاڪ ڊراگرام ڏيکاري ٿو.
- توهان جي .sof سان قطار ۾، .sof لاء باڪس چيڪ ڪريو.
- پروگرام/ڪانفيگر ڪالمن ۾ دٻي کي چيڪ ڪريو.
- ڪلڪ ڪريو شروع
نوٽ: هي ڊزائن اڳوڻيample Arria 10 GT ڊوائيس کي ھدف ڪري ٿو. مھرباني ڪري پنھنجي Intel FPGA نمائندي سان رابطو ڪريو ھڪڙي پليٽ فارم جي باري ۾ پڇڻ لاءِ جيڪو ھن هارڊويئر کي هلائڻ لاءِ موزون آھيample
لاڳاپيل معلومات
- KDB جواب: آءٌ آرريا 10 پي ايل ايل ريفرنس ڪلاڪ لاءِ پي ايل ايل ڪاسڪڊنگ يا غير وقف ٿيل گھڙي جي رستي جي خرابي کي ڪيئن معاوضو ڏيان؟
- درجه بندي ۽ ٽيم جي بنياد تي ڊيزائن لاء واڌارو تاليف
- پروگرامنگ Intel FPGA ڊوائيسز
50GbE هارڊويئر ڊيزائن Example
توھان کان پوءِ 50GbE IP ڪور ڊيزائن example ۽ ان کي ترتيب ڏيو پنھنجي Arria 10 GT ڊوائيس تي، توھان استعمال ڪري سگھوٿا سسٽم ڪنسول کي پروگرام ڪرڻ لاءِ IP ڪور ۽ ان جي ايمبيڊڊ Native PHY IP ڪور رجسٽرز. سسٽم ڪنسول کي چالو ڪرڻ ۽ هارڊويئر ڊيزائن کي جانچڻ لاءِ exampپوء، انهن قدمن تي عمل ڪريو:
- هارڊويئر ڊيزائن کان پوءِ example Arria 10 ڊوائيس تي ترتيب ڏنل آهي، Intel Quartus Prime سافٽ ويئر ۾، ٽولز مينيو تي، ڪلڪ ڪريو سسٽم ڊيبگنگ ٽولز ➤ سسٽم ڪنسول.
- Tcl ڪنسول پين ۾، ٽائيپ ڪريو cd hwtest ڊاريڪٽري کي تبديل ڪرڻ لاءample_dir>/hardware_test_design/hwtest.
- ٽائپ ڪريو ذريعو main.tcl J سان ڪنيڪشن کولڻ لاءِTAG ماسٽر
توھان ھيٺ ڏنل ڊيزائن سان IP ڪور پروگرام ڪري سگھو ٿا example حڪم
- chkphy_status: ڏيکاري ٿو گھڙي جي تعدد ۽ PHY تالا جي حالت.
- start_pkt_gen: پيڪٽ جنريٽر کي شروع ڪري ٿو.
- stop_pkt_gen: پيڪٽ جنريٽر کي روڪي ٿو.
- loop_on: اندروني سيريل لوپ بيڪ کي چالو ڪري ٿو
- loop_off: اندروني سيريل لوپ بيڪ کي بند ڪري ٿو.
- reg_read : واپسي تي IP ڪور رجسٽر ويل .
- reg_write : لکي ٿو ايڊريس تي IP ڪور رجسٽر ڏانهن .
لاڳاپيل معلومات
- 50GbE ڊيزائن Example Registers on page 13 رجسٽر نقشو for هارڊويئر ڊيزائن exampلي.
- سسٽم ڪنسول سان ڊيزائن جو تجزيو ۽ ڊيبگنگ
ڊيزائن Exampوضاحت
ڊزائن جو مثالample IEEE 50ba معياري CAUI-802.3 وضاحتن سان مطابقت رکندڙ ٽرانسيور انٽرفيس سان 4GbE ڪور جي ڪمن کي ڏيکاري ٿو. توھان ٺاھي سگھوٿا ڊيزائن مان Examp50GbE پيٽرول ايڊيٽر ۾ لي ڊيزائن ٽيب. ڊيزائن ٺاهڻ لاءِ exampلي، توھان کي پھريون پھريائين پيراميٽر جي قيمت مقرر ڪرڻ گھرجي IP بنيادي تبديليءَ لاءِ توھان جو ارادو آھي توھان جي آخري پراڊڪٽ ۾ پيدا ڪرڻ. ڊيزائن ٺاهي رهيو آهي اڳوڻيample IP ڪور جي ڪاپي ٺاهي ٿو؛ ٽيسٽ بينچ ۽ هارڊويئر ڊيزائن اڳوڻيample هن تغير کي DUT طور استعمال ڪريو. جيڪڏهن توهان DUT لاءِ پيرا ميٽر جي قيمتن کي مقرر نه ڪيو آهي ته جيئن توهان جي آخري پراڊڪٽ ۾ پيراميٽر جي قيمتن سان ملن، ڊيزائن اڳوڻيampتوهان جي ٺاهيل IP بنيادي تبديلي کي استعمال نه ڪندو آهي جيڪو توهان چاهيو ٿا.
نوٽ: ٽيسٽ بينچ IP ڪور جو بنيادي امتحان ڏيکاري ٿو. اهو مقصد نه آهي ته هڪ مڪمل تصديق واري ماحول لاء متبادل هجي. توهان کي پنهنجي 50GbE ڊيزائن جي وڌيڪ وسيع تصديق ڪرڻ گهرجي تخليق ۽ هارڊويئر ۾.
لاڳاپيل معلومات
Intel Arria® 10 50Gbps Ethernet IP ڪور يوزر گائيڊ
ڊيزائن Exampرويي
ٽيسٽ بينچ ٽريفڪ موڪلي ٿو IP ڪور ذريعي، منتقلي واري پاسي کي مشق ڪندي ۽ IP ڪور جي پاسي وصول ڪري ٿو. هارڊويئر ڊيزائن ۾ اڳوڻيampلي، توهان IP ڪور کي اندروني سيريل لوپ بڪ موڊ ۾ پروگرام ڪري سگهو ٿا ۽ ٽرانسمٽ پاسي تي ٽرئفڪ پيدا ڪري ٿو جيڪا وصولي واري پاسي کان واپس ڦري ٿي.
ڊيزائن Exampلي انٽرفيس سگنل
50GbE ٽيسٽ بينچ پاڻمرادو آهي ۽ توهان کي ڪنهن به ان پٽ سگنلن کي هلائڻ جي ضرورت ناهي.
ٽيبل 4. 50GbE هارڊويئر ڊيزائن Exampلي انٽرفيس سگنل
سگنل | ھدايت | تبصرا |
clk50 |
ان پٽ |
50 MHz تي ڊرائيو. ارادو اهو آهي ته هن کي بورڊ تي 50 ميگاواٽ آڪسيٽر کان ڊرائيو ڪيو وڃي. |
clk_ref | ان پٽ | 644.53125 MHz تي ڊرائيو. |
cpu_resetn |
ان پٽ |
IP ڪور ري سيٽ ڪريو. فعال گهٽ. ڊرائيو ڪري ٿو عالمي هارڊ ري سيٽ csr_reset_n کي IP ڪور ڏانهن. |
جاري رهيو… |
Intel Corporation. سڀ حق محفوظ آهن. Intel، Intel لوگو، ۽ ٻيا Intel نشان آھن Intel Corporation يا ان جي ماتحت ادارن جا ٽريڊ مارڪ. Intel وارنٽي ڏئي ٿو پنهنجي FPGA ۽ سيمڪنڊڪٽر پروڊڪٽس جي ڪارڪردگي کي موجوده وضاحتن مطابق Intel جي معياري وارنٽي مطابق، پر ڪنهن به وقت بغير اطلاع جي ڪنهن به پروڊڪٽس ۽ خدمتن ۾ تبديليون ڪرڻ جو حق محفوظ رکي ٿو. Intel هتي بيان ڪيل ڪنهن به معلومات، پراڊڪٽ، يا خدمت جي ايپليڪيشن يا استعمال مان پيدا ٿيندڙ ڪا به ذميواري يا ذميواري قبول نه ڪندو آهي سواءِ انٽيل طرفان لکڻ ۾ واضح طور تي اتفاق ڪيو ويو. Intel گراهڪن کي صلاح ڏني وئي آهي ته ڪنهن به شايع ٿيل معلومات تي ڀروسو ڪرڻ کان پهريان ۽ مصنوعات يا خدمتن لاءِ آرڊر ڏيڻ کان پهريان ڊوائيس جي وضاحتن جو جديد نسخو حاصل ڪن. * ٻيا نالا ۽ برانڊ ٻين جي ملڪيت طور دعوي ڪري سگھن ٿا.
سگنل | ھدايت | تبصرا |
tx_serial[1:0] | ٻاھر | ٽرانسيور PHY آئوٽ سيريل ڊيٽا. |
rx_serial[1:0] | ان پٽ | ٽرانسيور PHY ان پٽ سيريل ڊيٽا. |
user_led[7:0] |
ٻاھر |
اسٽيٽس سگنلز. هارڊويئر ڊيزائن Example انهن بٽن کي ڳنڍيندو آهي LEDs کي ٽارگيٽ بورڊ تي هلائڻ لاءِ. انفرادي بٽ هيٺ ڏنل سگنل جي قيمتن ۽ ڪلاڪ جي رويي کي ظاهر ڪن ٿا:
• [0]: مکيه ري سيٽ سگنل IP ڪور ڏانهن • [1]: ورهايل نسخو clk_ref • [2]: ورهايل نسخو clk50 • [3]: ورهايل نسخو 100 MHz اسٽيٽس ڪلاڪ • [4]: tx_lanes_stable • [5]: rx_block_lock • [6]: rx_am_lock • [7]: rx_pcs_ready |
لاڳاپيل معلومات
انٽرفيس ۽ سگنل جي تفصيل مهيا ڪري ٿي 50GbE IP بنيادي سگنلن جا تفصيلي بيان ۽ انٽرفيس جن سان اهي تعلق رکن ٿا.
50GbE ڊيزائن Exampلي رجسٽرز
ٽيبل 5. 50GbE هارڊويئر ڊيزائن Example رجسٽر نقشو
ميموري ميپ ٿيل رجسٽر رينجز کي لسٽ ڪري ٿو هارڊويئر ڊيزائن example. توهان سسٽم ڪنسول ۾ reg_read ۽ reg_write افعال سان انهن رجسٽر تائين رسائي حاصل ڪريو.
لفظ آفسٽ | رجسٽر ڪيٽيگري |
0x300–0x5FF | 50GbE IP ڪور رجسٽر. |
0x4000–0x4C00 | آرريا 10 متحرڪ ريڪنفيگريشن رجسٽر. رجسٽر جو بنيادي پتو لين 0 لاءِ 4000x0 ۽ لين 0 لاءِ 4400x1 آھي. |
لاڳاپيل معلومات
- 50GbE هارڊويئر ڊيزائن Exampصفحي 11 تي سسٽم ڪنسول حڪم ڏئي ٿو IP ڪور ۽ اصلي PHY رجسٽر تائين رسائي حاصل ڪرڻ لاءِ.
- 50GbE ڪنٽرول ۽ اسٽيٽس رجسٽر جي تفصيل بيان ڪري ٿي 50GbE IP ڪور رجسٽرز.
دستاويز جي نظرثاني جي تاريخ
ٽيبل 6. 50G Ethernet ڊيزائن Exampلي يوزر گائيڊ جي نظرثاني جي تاريخ
تاريخ | ڇڏڻ | تبديليون |
2019.04.03 | 17.0 | Xcelium simulations کي هلائڻ لاءِ حڪم شامل ڪيو ويو. |
2017.11.08 |
17.0 |
KDB جواب ۾ لنڪ شامل ڪئي وئي جيڪا IP ڪور ۾ ATX PLLs کي cascading ڪرڻ جي ڪري Intel Arria® 10 ڊوائيسز تي امڪاني خرابي لاءِ ڪم ڪار مهيا ڪري ٿي.
ڏانهن رجوع ڪريو ڊيزائن ٺاهڻ Example صفحي 7 تي ۽ گڏ ڪرڻ ۽ ڊيزائن کي ترتيب ڏيڻ Exampهارڊويئر ۾ صفحي 10 تي. هي ڊزائن اڳوڻيampلي يوزر گائيڊ کي عڪاسي ڪرڻ لاءِ اپڊيٽ نه ڪيو ويو آهي نوٽ: Intel Quartus Prime ۾ ڊيزائن جي نسل ۾ معمولي تبديليون بعد ۾ Intel Quartus Prime سافٽ ويئر رليز کان پوءِ رليز ٿيون v17.0. |
2017.05.08 | 17.0 | شروعاتي عوامي رليز. |
Intel Corporation. سڀ حق محفوظ آهن. Intel، Intel لوگو، ۽ ٻيا Intel نشان آھن Intel Corporation يا ان جي ماتحت ادارن جا ٽريڊ مارڪ. Intel وارنٽي ڏئي ٿو پنهنجي FPGA ۽ سيمڪنڊڪٽر پروڊڪٽس جي ڪارڪردگي کي موجوده وضاحتن مطابق Intel جي معياري وارنٽي مطابق، پر ڪنهن به وقت بغير اطلاع جي ڪنهن به پروڊڪٽس ۽ خدمتن ۾ تبديليون ڪرڻ جو حق محفوظ رکي ٿو. Intel هتي بيان ڪيل ڪنهن به معلومات، پراڊڪٽ، يا خدمت جي ايپليڪيشن يا استعمال مان پيدا ٿيندڙ ڪا به ذميواري يا ذميواري قبول نه ڪندو آهي سواءِ انٽيل طرفان لکڻ ۾ واضح طور تي اتفاق ڪيو ويو. Intel گراهڪن کي صلاح ڏني وئي آهي ته ڪنهن به شايع ٿيل معلومات تي ڀروسو ڪرڻ کان پهريان ۽ مصنوعات يا خدمتن لاءِ آرڊر ڏيڻ کان پهريان ڊوائيس جي وضاحتن جو جديد نسخو حاصل ڪن. * ٻيا نالا ۽ برانڊ ٻين جي ملڪيت طور دعوي ڪري سگھن ٿا.
دستاويز / وسيلا
![]() |
Intel 50G Ethernet Design Example [pdf] استعمال ڪندڙ ھدايت 50G Ethernet ڊيزائن Example، 50G، Ethernet Design Exampلي، ڊيزائن Example |