Intel 50G Ethernet Design Example
Treoir Thapa 50GbE
Soláthraíonn croí IP 50GbE binse tástála insamhalta agus dearadh crua-earraí example a thacaíonn le tiomsú agus tástáil crua-earraí. Nuair a ghineann tú an dearadh example, cruthaíonn an eagarthóir paraiméadar go huathoibríoch an files riachtanach chun an dearadh i crua-earraí a insamhail, a thiomsú agus a thástáil. Is féidir leat an dearadh crua-earraí tiomsaithe a íoslódáil chuig gléas Arria 10 GT.
Nóta: Tá an dearadh seo exampDíríonn le gléas Arria 10 GT agus teastaíonn ath-amadóir 25G. Déan teagmháil le d’ionadaí Intel FPGA le do thoil chun fiosrú a dhéanamh faoi ardán atá oiriúnach chun na crua-earraí seo a rith example. I gcásanna áirithe d’fhéadfadh go mbeadh iasacht de chrua-earraí cuí ar fáil. Ina theannta sin, soláthraíonn Intel leagan tiomsaithe amháin example tionscadal ar féidir leat é a úsáid chun croí-limistéar agus uainiú IP a mheas go tapa.
Fíor 1. Dearadh Example Úsáid
Intel Corporation. Gach ceart ar cosaint. Is trádmharcanna de chuid Intel Corporation nó a fhochuideachtaí iad Intel, lógó Intel, agus marcanna Intel eile. Barántaíonn Intel feidhmíocht a tháirgí FPGA agus leathsheoltóra de réir sonraíochtaí reatha de réir bharántas caighdeánach Intel, ach coimeádann sé an ceart chun athruithe a dhéanamh ar aon táirgí agus seirbhísí ag am ar bith gan fógra. Ní ghlacann Intel aon fhreagracht nó dliteanas a eascraíonn as cur i bhfeidhm nó úsáid aon fhaisnéise, táirge nó seirbhíse a thuairiscítear anseo ach amháin mar a chomhaontaítear go sainráite i scríbhinn ag Intel. Moltar do chustaiméirí Intel an leagan is déanaí de shonraíochtaí feiste a fháil sula dtéann siad ag brath ar aon fhaisnéis foilsithe agus sula ndéanann siad orduithe le haghaidh táirgí nó seirbhísí. *Féadfar ainmneacha agus brandaí eile a éileamh mar shealúchas daoine eile.
Dearadh Example Struchtúr Eolaire
Fíor 2. 50GbE Design Example Struchtúr Eolaire
An chumraíocht crua-earraí agus tástála files (dearadh crua-earraí example) atá lonnaithe iample_dir>/hardware_test_design. An insamhalta files (testbench le haghaidh insamhalta amháin) lonnaithe iample_dir>/ example_testbench.An dearadh tiomsaithe amháin example suite iample_dir>/compilation_test_design.
Dearadh Insamhladh Example Comhpháirteanna
Fíor 3. Dearadh Insamhladh 50GbE Example Léaráid Bloc
An insamhalta example dearadh barrleibhéil tástála file is basic_avl_tb_top.sv Seo file instantiates agus nascann ATX PLL. Cuimsíonn sé tasc, send_packets_50g_avl, chun 10 bpaicéad a sheoladh agus a fháil.
Tábla 1. 50GbE IP Core Testbench File Cur síos
File Ainm | Cur síos |
Testbench agus Insamhladh Files | |
bunúsach_avl_tb_top.sv | Binse tástála barrleibhéil file. Cuireann an binse tástála an DUT ar an toirt agus ritheann sé tascanna Verilog HDL chun paicéid a ghiniúint agus a ghlacadh. |
Scripteanna Testbench | |
rith_vsim.do | An script ModelSim chun an binse tástála a rith. |
rith_vcs.sh | An script Synopsys VCS chun an binse tástála a rith. |
rith_ncsim.sh | An script Cadence NCSim chun an binse tástála a rith. |
rith_xcelium.sh | An script Cadence Xcelium* chun an binse tástála a rith. |
Dearadh rdware Example Comhpháirteanna
Fíor 4. Dearadh Crua-earraí 50GbE Example Léaráid Bhloc Ardleibhéil
An dearadh crua-earraí 50GbE exampÁirítear le le na comhpháirteanna seo a leanas
- Croí IP 50GbE.
- Loighic an chliaint a chomhordaíonn ríomhchlárú an chroí IP agus giniúint paicéad.
- ATX PLL chun na bealaí transceiver gléas a thiomáint.
- IOPLL chun clog 100 MHz a ghiniúint ó chlog ionchuir 50 MHz go dtí an dearadh crua-earraí example.
- JTAG rialtóir a dhéanann cumarsáid le Consól an Chórais. Déanann tú cumarsáid le loighic an chliaint tríd an gConsól Córais.
Tábla 2. Dearadh Crua-earraí Croí IP 50GbE Example File Cur síos
File Ainmneacha | Cur síos |
eth_ex_50g.qpf | Tionscadal Quartus Príomh file |
eth_ex_50g.qsf | Socruithe tionscadail Quartus file |
eth_ex_50g.sdc | Achoimre Srianta Dearaidh file. Is féidir leat é seo a chóipeáil agus a mhodhnú file le haghaidh do dhearadh 50GbE féin. |
ar lean… |
Treoir Thapa 50GbE
File Ainmneacha | Cur síos |
eth_ex_50g.v | Dearadh barrleibhéil Verilog HDL example file |
coitianta/ | Dearadh crua-earraí example tacaíocht files |
hwtest/príomh.tcl | Príomh- file chun rochtain a fháil ar Chonsól an Chórais |
Ag Giniúint an Dearaidh Example
Fíor 5. Nós Imeachta
Fíor 6. Example Design Tab san Eagarthóir Paraiméadar 50GbE
Lean na céimeanna seo chun an dearadh crua-earraí example agus testbench
- Ag brath ar cibé an bhfuil tú ag baint úsáide as bogearraí Intel Quartus® Prime Pro Edition nó bogearraí Intel Quartus Prime Standard Edition, déan ceann de na gníomhartha seo a leanas: In Intel Quartus Prime Pro Edition, cliceáil File ➤ Treoraí Tionscadail Nua chun tionscadal nua Quartus Prime a chruthú, nó File ➤ Tionscadal Oscailte chun tionscadal Quartus Prime atá ann cheana féin a oscailt. Spreagann an draoi tú gléas a shonrú. I mbogearraí Intel Quartus Prime Standard Edition, sa Chatalóg IP (Tools IP Catalog), roghnaigh an teaghlach gléas sprice Arria 10.
- Sa Chatalóg IP, aimsigh agus roghnaigh Ethernet 50G. Feictear an fhuinneog Nua Athraithe IP.
- Sonraigh ainm barrleibhéil do d’athrú IP agus cliceáil OK. Cuireann an t-eagarthóir paraiméadar leis an .qsys barrleibhéil (in Intel Quartus Prime Standard Edition) nó .ip (in Intel Quartus Prime Pro Edition) file chuig an tionscadal reatha go huathoibríoch. Má iarrtar ort an .qsys nó .ip a chur leis de láimh file leis an tionscadal, cliceáil Tionscadal ➤ Cuir leis/Bain Files i Tionscadal chun an file.
- I mbogearraí Intel Quartus Prime Standard Edition, ní mór duit feiste Arria 10 ar leith a roghnú sa réimse Gléas, nó an fheiste réamhshocraithe a bheartaíonn na bogearraí Quartus Prime a choinneáil.
Nóta: An dearadh crua-earraí example overwrites an roghnú leis an gléas ar an spriocchlár. Sonraíonn tú an spriocchlár ón roghchlár dearadh example roghanna san Example Dearadh tab (Céim 8). - Cliceáil OK. Tá an t-eagarthóir paraiméadar le feiceáil.
- Ar an táb IP, sonraigh na paraiméadair do do chroí-athrú IP.
- Ar an Example Design tab, le haghaidh Example Dearadh Files, roghnaigh an rogha Insamhladh chun an testbench a ghiniúint, agus roghnaigh an rogha Sintéis chun an dearadh crua-earraí a ghiniúint example. Verilog HDL amháin files a ghintear.
Nóta: Níl croí feidhmiúil VHDL IP ar fáil. Sonraigh Verilog HDL amháin, do dhearadh croí IP example. - Maidir le Bord Crua-earraí roghnaigh an Arria 10 GX Transceiver Signal Signal Development Kit.
Nóta: Déan teagmháil le d'ionadaí Intel FPGA chun faisnéis a fháil faoi ardán atá oiriúnach chun na crua-earraí seo a rith example. - Cliceáil ar an Gin Example Dearadh cnaipe. An Roghnaigh Example Design Eolaire feictear fuinneog.
- Más mian leat an dearadh a mhodhnú example cosán eolaire nó ainm ó na réamhshocruithe ar taispeáint (alt_e50_0_example_design), brabhsáil chuig an gcosán nua agus clóscríobh an dearadh nua example ainm eolaire (ample_dir>).
- Cliceáil OK.
- Déan tagairt don Freagra KDB Conas is féidir liom cúiteamh a dhéanamh as giodam cascáideacha PLL nó cosán cloig neamhthiomnaithe do chlog tagartha Arria 10 PLL? le haghaidh réiteach ba chóir duit iarratas a dhéanamh ar an eolaire hardware_test_design sa .sdc file.
Nóta: Ní mór duit dul i gcomhairle leis an Freagra KDB seo toisc go n-áirítear PLLanna cascáideacha sa chonair RX i gcroílár IP 50GbE. Mar sin, d'fhéadfadh go mbeadh gá le giodam breise ar na cloig lárnacha IP i bhfeistí Arria 10. Soiléiríonn an Freagra KDB seo na heisiúintí bogearraí ina bhfuil an t-ionad oibre riachtanach.
Eolas Gaolmhar
Freagra KDB: Conas is féidir liom cúiteamh a dhéanamh as giodam cascáideacha PLL nó cosán cloig neamhthiomnaithe do chlog tagartha Arria 10 PLL?
Insamhladh an 50GbE Design Example Testbench
Fíor 7. Nós Imeachta
Lean na céimeanna seo chun an binse tástála a insamhail
- Athraigh chuig an eolaire ionsamhlúcháin testbenchample_dir>/ example_testbench.
- Rith an script ionsamhlúcháin le haghaidh an t-insamhlóir tacaithe de do rogha féin. Déanann an script an binse tástála a thiomsú agus a rith san insamhlóir. Déan tagairt don tábla “Céimeanna chun an Binse Tástála a Insamhladh”.
- Déan anailís ar na torthaí. Seolann an bhinse tástála rathúil deich bpaicéad, faigheann sé deich bpaicéad, agus taispeánann sé “Testbench complete.”
Tábla 3. Céimeanna chun an Testbench a Insamhladh
Insamhlóir | Treoracha |
MúnlaSim | Sa líne ordaithe, cineál vsim -do run_vsim.do
Más fearr leat insamhail a dhéanamh gan an ModelSim GUI a thabhairt suas, clóscríobh vsim -c -do run_vsim.do Nóta: Níl an cumas ag an Insamhlóir ModelSim* - Intel FPGA Edition an croí IP seo a insamhladh. Ní mór duit ionsamhlóir ModelSim eile a bhfuil tacaíocht aige a úsáid ar nós ModelSim SE. |
NCSim | Sa líne ordaithe, cineál sh run_ncsim.sh |
VCS | Sa líne ordaithe, cineál sh run_vcs.sh |
Xcelium | Sa líne ordaithe, cineál sh run_xcelium.sh |
Taispeánann an rith tástála rathúil aschur a dhearbhaíonn an t-iompar seo a leanas
- Ag fanacht le clog RX a shocrú
- Stádas PHY a phriontáil
- 10 bpaicéad á sheoladh
- 10 bpaicéad a fháil
- Ag taispeáint “Testbench críochnaithe.”
Seo a leanas sampLéiríonn le aschur rith tástála insamhalta rathúil
- Reáchtáiltear clog #ref ag 625 MHz ionas gur féidir slánuimhreacha a úsáid le haghaidh gach tréimhse cloig.
- #Micríochtaí tuairiscithe a iolrú faoi 33/32 chun minicíochtaí clog iarbhír a fháil.
- # Ag fanacht le ailíniú RX
- #RX deasc faoi ghlas
- Ailíniú lána #RX faoi ghlas
- #TX cumasaithe
- #**Paicéad 1 á Sheoladh…
- #**Paicéad 2 á Sheoladh…
- #**Paicéad 3 á Sheoladh…
- #**Paicéad 4 á Sheoladh…
- #**Paicéad 5 á Sheoladh…
- #**Paicéad 6 á Sheoladh…
- #**Paicéad 7 á Sheoladh…
- #**Paicéad Faighte 1…
- #**Paicéad 8 á Sheoladh…
- #**Paicéad Faighte 2…
- #**Paicéad 9 á Sheoladh…
- #**Paicéad Faighte 3…
- #**Paicéad 10 á Sheoladh…
- #**Paicéad Faighte 4…
- #**Paicéad Faighte 5…
- #**Paicéad Faighte 6…
- #**Paicéad Faighte 7…
- #**Paicéad Faighte 8…
- #**Paicéad Faighte 9…
- #**Paicéad Faighte 10…
- #**
- #** Testbench críochnaithe.
- #**
- #****************************************
An Dearadh a Thiomsú agus a Chumrú Example i Crua-earraí
Chun an dearadh crua-earraí a thiomsú example agus cumraigh é ar do ghléas Arria 10 GT, lean na céimeanna seo
- Cinntigh dearadh crua-earraí example giniúint iomlán.
- I mbogearraí Intel Quartus Prime, oscail an tionscadal Intel Quartus Primeample_dir>/hardware_test_design/eth_ex_50g.qpf.
- Sula tiomsaigh tú, cinntigh go bhfuil an réiteach oibre ó Freagra KDB curtha i bhfeidhm agat Conas a chúitítear an Giodam PLL cascáideacha nó cosán cloig neamhthiomnaithe do chlog tagartha Arria 10 PLL? más ábhartha do scaoileadh bogearraí.
- Ar an roghchlár Próiseáil, cliceáil Tosaigh Tiomsú.
- Tar éis duit réad SRAM a ghiniúint file .sof, lean na céimeanna seo chun an dearadh crua-earraí a ríomhchlárú example ar an ngléas Arria 10:
- Ar an roghchlár Uirlisí, cliceáil Ríomhchláraitheoir.
- Sa Ríomhchláraitheoir, cliceáil Socrú Crua-earraí.
- Roghnaigh gléas ríomhchlárúcháin.
- Roghnaigh agus cuir an bord Arria 10 GT le ath-amadóir 25G le do sheisiún Intel Quartus Prime.
- Cinntigh go bhfuil Mód socraithe go JTAG.
- Roghnaigh an gléas Arria 10 agus cliceáil Cuir Gléas. Taispeánann an Ríomhchláraitheoir blocléaráid de na naisc idir na gléasanna ar do chlár.
- Sa ró le do .sof, cuir tic sa bhosca don .sof.
- Ticeáil an bosca sa cholún Clár/Cumraigh.
- Cliceáil Tosaigh
Nóta: Tá an dearadh seo example spriocanna an gléas Arria 10 GT. Déan teagmháil le d’ionadaí Intel FPGA le do thoil chun fiosrú a dhéanamh faoi ardán atá oiriúnach chun na crua-earraí seo a rith example
Eolas Gaolmhar
- Freagra KDB: Conas is féidir liom cúiteamh a dhéanamh as giodam cascáideacha PLL nó cosán cloig neamhthiomnaithe do chlog tagartha Arria 10 PLL?
- Tiomsú Incriminteach le haghaidh Dearadh Ordlathach agus Foireann-Bhunaithe
- Gléasanna Intel FPGA a Ríomhchlárú
Ag tástáil an 50GbE Hardware Design Example
Tar éis duit an croí-dhearadh 50GbE IP a thiomsú exampLe agus é a chumrú ar do ghléas Arria 10 GT, is féidir leat an Consól Córais a úsáid chun an croí IP agus a chláir lárnacha IP Dúchasach PHY leabaithe a ríomh. Chun Consól an Chórais a chur ar siúl agus an dearadh crua-earraí a thástáil example, lean na céimeanna seo:
- Tar éis an dearadh crua-earraí example tá sé cumraithe ar an bhfeiste Arria 10, i mbogearraí Intel Quartus Prime, ar an roghchlár Uirlisí, cliceáil Uirlisí Dífhabhtaithe an Chórais ➤ Consól an Chórais.
- Sa phána Tcl Console, clóscríobh cd hwtest chun an t-eolaire a athrú goample_dir>/hardware_test_design/hwtest.
- Cineál foinse main.tcl chun nasc leis an JTAG máistir.
Is féidir leat an croí IP a ríomhchlárú leis an dearadh seo a leanas example horduithe
- chkphy_status: Taispeánann sé na minicíochtaí clog agus stádas glasála PHY.
- start_pkt_gen : Tosaíonn an gineadóir paicéad.
- stop_pkt_gen : Stopann an gineadóir paicéad.
- loop_on: Cuireann sé loopback sraitheach inmheánach ar siúl
- loop_off: Múch an loopback sraitheach inmheánach.
- reg_léamh : Tuairisceáin an croí-luach cláir IP ag .
- reg_scríobh : Scríobhann chuig an gclár lárnach IP ag an seoladh .
Eolas Gaolmhar
- 50GbE Dearadh Example Clár ar leathanach 13 Clárú léarscáil le haghaidh dearadh crua-earraí example.
- Dearthaí a Anailísiú agus a Dhífhabhtú le Consól Córais
Dearadh Example Tuairisc
An dearadh exampLéiríonn le feidhmeanna an chroí 50GbE le comhéadan transceiver a chomhlíonann sonraíocht chaighdeánach IEEE 802.3ba CAUI-4. Is féidir leat an dearadh a ghiniúint ón Example Dearadh cluaisín san eagarthóir paraiméadar 50GbE. Chun an dearadh a ghiniúint example, ní mór duit na luachanna paraiméadar a shocrú ar dtús don chroíathrú IP atá beartaithe agat a ghiniúint i do tháirge deiridh. Ag giniúint an dearadh exampcruthaíonn le cóip den chroí IP; an testbench agus dearadh crua-earraí example húsáid an éagsúlacht seo mar an DUT. Mura socraíonn tú na luachanna paraiméadar don DUT chun luachanna na bparaiméadar i do tháirge deiridh a mheaitseáil, beidh an dearadh exampLe You Generator ní fheidhmíonn sé an croí-athrú IP atá beartaithe agat.
Nóta: Léiríonn an testbench tástáil bhunúsach ar an croí IP. Níl sé beartaithe é a chur in ionad timpeallachta fíoraithe iomláin. Ní mór duit fíorú níos fairsinge a dhéanamh ar do dhearadh 50GbE féin in ionsamhlúchán agus i gcrua-earraí.
Eolas Gaolmhar
Intel Arria® 10 50Gbps Ethernet IP Core Treoir Úsáideora
Dearadh Example Iompar
Seolann an bhinse tástála trácht tríd an gcroí IP, ag feidhmiú an taobh tarchurtha agus taobh glactha an chroí IP. Sa dearadh crua-earraí example, is féidir leat an croí IP a ríomhchlárú i mód aisghabhála sraitheach inmheánach agus trácht a ghiniúint ar an taobh tarchurtha a lúbann ar ais tríd an taobh glactha.
Dearadh Example Comharthaí Comhéadain
Tá an binse tástála 50GbE féinchuimsitheach agus ní gá duit aon chomharthaí ionchuir a thiomáint.
Tábla 4. Dearadh Crua-earraí 50GbE Example Comharthaí Comhéadain
Comhartha | Treo | Tuairimí |
clk50 |
Ionchur |
Tiomáint ag 50 MHz. Is é an rún é seo a thiomáint ó oscillator 50 Mhz ar an gclár. |
clk_ref | Ionchur | Tiomáint ag 644.53125 MHz. |
cpu_resetn |
Ionchur |
Athshocraigh an croí IP. Gníomhach íseal. Tiomáineann sé an t-athshocrú crua domhanda csr_reset_n chuig an croí IP. |
ar lean… |
Intel Corporation. Gach ceart ar cosaint. Is trádmharcanna de chuid Intel Corporation nó a fhochuideachtaí iad Intel, lógó Intel, agus marcanna Intel eile. Barántaíonn Intel feidhmíocht a tháirgí FPGA agus leathsheoltóra de réir sonraíochtaí reatha de réir bharántas caighdeánach Intel, ach coimeádann sé an ceart chun athruithe a dhéanamh ar aon táirgí agus seirbhísí ag am ar bith gan fógra. Ní ghlacann Intel aon fhreagracht nó dliteanas a eascraíonn as cur i bhfeidhm nó úsáid aon fhaisnéise, táirge nó seirbhíse a thuairiscítear anseo ach amháin mar a chomhaontaítear go sainráite i scríbhinn ag Intel. Moltar do chustaiméirí Intel an leagan is déanaí de shonraíochtaí feiste a fháil sula dtéann siad ag brath ar aon fhaisnéis foilsithe agus sula ndéanann siad orduithe le haghaidh táirgí nó seirbhísí. *Féadtar ainmneacha agus brandaí eile a éileamh mar shealúchas daoine eile.
Comhartha | Treo | Tuairimí |
tx_sraith[1:0] | Aschur | Sonraí sraitheach aschuir Transceiver PHY. |
rx_srathach[1:0] | Ionchur | Sonraí sraitheach ionchuir Transceiver PHY. |
user_led[7:0] |
Aschur |
Comharthaí stádais. An dearadh crua-earraí exampnascann le na giotán seo chun soilse a thiomáint ar an spriocchlár. Léiríonn giotán aonair na luachanna comhartha seo a leanas agus iompar clog:
• [0]: Príomhchomhartha athshocraithe go croí IP • [1]: Leagan roinnte de clk_ref • [2]: Leagan roinnte de clk50 • [3]: Leagan roinnte de chlog stádais 100 MHz • [4] : tx_lanes_stábla • [5]: rx_block_lock • [6]: rx_am_lock • [7]: rx_pcs_ready |
Eolas Gaolmhar
Comhéadain agus Cur síos ar Chomhartha Soláthraíonn sé cur síos mionsonraithe ar na croí-chomharthaí IP 50GbE agus na comhéadain lena mbaineann siad.
50GbE Dearadh Example Cláir
Tábla 5. Dearadh Crua-earraí 50GbE Example Cláraigh Léarscáil
Liostaítear na raonta clár cuimhne-mhapáilte don dearadh crua-earraí example. Faigheann tú rochtain ar na cláir seo leis na feidhmeanna reg_read agus reg_write sa Chonsól Córais.
Fritháireamh Focal | Catagóir Chláraithe |
0x300–0x5FF | Cláir lárnacha IP 50GbE. |
0x4000–0x4C00 | Arria 10 cláir athchumraithe dinimiciúil. Is é 0x4000 an seoladh bonn clárúcháin do Lána 0 agus 0x4400 do Lána 1. |
Eolas Gaolmhar
- Ag tástáil an 50GbE Hardware Design Example ar leathanach 11 Orduithe Consól an Chórais chun rochtain a fháil ar an gcroí IP agus ar na cláir PHY Dúchasach.
- 50GbE Rialú agus Clár Stádas Cur síos ar na cláir lárnacha IP 50GbE.
Stair Athbhreithnithe Doiciméid
Tábla 6. 50G Ethernet Design Example Stair Athbhreithnithe an Treoir Úsáideora
Dáta | Scaoileadh | Athruithe |
2019.04.03 | 17.0 | Cuireadh an t-ordú leis chun insamhaltaí Xcelium a rith. |
2017.11.08 |
17.0 |
Nasc curtha leis le Freagra KDB a sholáthraíonn réiteach oibre do ghiodam fhéideartha ar fheistí Intel Arria® 10 mar gheall ar ATX PLLs a eascú sa chroí IP.
Déan tagairt do Ag Giniúint an Dearaidh Example ar leathanach 7 agus Ag tiomsú agus Cumraíocht an Dearaidh Example i Crua-earraí ar leathanach 10. Tá an dearadh seo example níor tugadh an treoir úsáideora cothrom le dáta le léiriú Nóta: mionathruithe ar ghiniúint dearaidh in eisiúintí Intel Quartus Prime níos déanaí ná eisiúint bogearraí Intel Quartus Prime v17.0. |
2017.05.08 | 17.0 | Eisiúint phoiblí tosaigh. |
Intel Corporation. Gach ceart ar cosaint. Is trádmharcanna de chuid Intel Corporation nó a fhochuideachtaí iad Intel, lógó Intel, agus marcanna Intel eile. Barántaíonn Intel feidhmíocht a tháirgí FPGA agus leathsheoltóra de réir sonraíochtaí reatha de réir bharántas caighdeánach Intel, ach coimeádann sé an ceart chun athruithe a dhéanamh ar aon táirgí agus seirbhísí ag am ar bith gan fógra. Ní ghlacann Intel aon fhreagracht nó dliteanas a eascraíonn as cur i bhfeidhm nó úsáid aon fhaisnéise, táirge nó seirbhíse a thuairiscítear anseo ach amháin mar a chomhaontaítear go sainráite i scríbhinn ag Intel. Moltar do chustaiméirí Intel an leagan is déanaí de shonraíochtaí feiste a fháil sula dtéann siad ag brath ar aon fhaisnéis foilsithe agus sula ndéanann siad orduithe le haghaidh táirgí nó seirbhísí. *Féadtar ainmneacha agus brandaí eile a éileamh mar shealúchas daoine eile.
Doiciméid / Acmhainní
![]() |
Intel 50G Ethernet Design Example [pdfTreoir Úsáideora Dearadh Ethernet 50G Example, 50G, Ethernet Design Example, Dearadh Example |