intel 50G ਈਥਰਨੈੱਟ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample
50GbE ਤੇਜ਼ ਸ਼ੁਰੂਆਤ ਗਾਈਡ
50GbE IP ਕੋਰ ਇੱਕ ਸਿਮੂਲੇਸ਼ਨ ਟੈਸਟਬੈਂਚ ਅਤੇ ਇੱਕ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਐਕਸ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈample ਜੋ ਕੰਪਾਇਲੇਸ਼ਨ ਅਤੇ ਹਾਰਡਵੇਅਰ ਟੈਸਟਿੰਗ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। ਜਦੋਂ ਤੁਸੀਂ ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਦੇ ਹੋ ਤਾਂ ਸਾਬਕਾample, ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ ਆਟੋਮੈਟਿਕਲੀ ਬਣਾਉਂਦਾ ਹੈ fileਹਾਰਡਵੇਅਰ ਵਿੱਚ ਡਿਜ਼ਾਈਨ ਦੀ ਨਕਲ, ਕੰਪਾਇਲ ਅਤੇ ਜਾਂਚ ਕਰਨ ਲਈ ਜ਼ਰੂਰੀ ਹੈ। ਤੁਸੀਂ ਕੰਪਾਇਲ ਕੀਤੇ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਨੂੰ Arria 10 GT ਡਿਵਾਈਸ 'ਤੇ ਡਾਊਨਲੋਡ ਕਰ ਸਕਦੇ ਹੋ।
ਨੋਟ: ਇਹ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample Arria 10 GT ਡਿਵਾਈਸ ਨੂੰ ਨਿਸ਼ਾਨਾ ਬਣਾਉਂਦਾ ਹੈ ਅਤੇ ਇੱਕ 25G ਰੀਟਾਈਮਰ ਦੀ ਲੋੜ ਹੁੰਦੀ ਹੈ। ਕਿਰਪਾ ਕਰਕੇ ਇਸ ਹਾਰਡਵੇਅਰ ਨੂੰ ਚਲਾਉਣ ਲਈ ਢੁਕਵੇਂ ਪਲੇਟਫਾਰਮ ਬਾਰੇ ਪੁੱਛਗਿੱਛ ਕਰਨ ਲਈ ਆਪਣੇ Intel FPGA ਪ੍ਰਤੀਨਿਧੀ ਨਾਲ ਸੰਪਰਕ ਕਰੋample. ਕੁਝ ਮਾਮਲਿਆਂ ਵਿੱਚ ਢੁਕਵੇਂ ਹਾਰਡਵੇਅਰ ਦਾ ਕਰਜ਼ਾ ਉਪਲਬਧ ਹੋ ਸਕਦਾ ਹੈ। ਇਸ ਤੋਂ ਇਲਾਵਾ, Intel ਇੱਕ ਸੰਕਲਨ-ਸਿਰਫ ਐਕਸ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈample ਪ੍ਰੋਜੈਕਟ ਜਿਸਦੀ ਵਰਤੋਂ ਤੁਸੀਂ IP ਕੋਰ ਖੇਤਰ ਅਤੇ ਸਮੇਂ ਦਾ ਤੇਜ਼ੀ ਨਾਲ ਅਨੁਮਾਨ ਲਗਾਉਣ ਲਈ ਕਰ ਸਕਦੇ ਹੋ.
ਚਿੱਤਰ 1. ਡਿਜ਼ਾਈਨ ਐਕਸample ਵਰਤੋਂ
ਇੰਟੇਲ ਕਾਰਪੋਰੇਸ਼ਨ. ਸਾਰੇ ਹੱਕ ਰਾਖਵੇਂ ਹਨ. Intel, Intel ਲੋਗੋ, ਅਤੇ ਹੋਰ Intel ਚਿੰਨ੍ਹ Intel ਕਾਰਪੋਰੇਸ਼ਨ ਜਾਂ ਇਸਦੀਆਂ ਸਹਾਇਕ ਕੰਪਨੀਆਂ ਦੇ ਟ੍ਰੇਡਮਾਰਕ ਹਨ। Intel ਆਪਣੇ FPGA ਅਤੇ ਸੈਮੀਕੰਡਕਟਰ ਉਤਪਾਦਾਂ ਦੀ ਕਾਰਗੁਜ਼ਾਰੀ ਦੀ ਵਾਰੰਟੀ Intel ਦੀ ਸਟੈਂਡਰਡ ਵਾਰੰਟੀ ਦੇ ਅਨੁਸਾਰ ਮੌਜੂਦਾ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦੇ ਅਨੁਸਾਰ ਕਰਦਾ ਹੈ, ਪਰ ਬਿਨਾਂ ਨੋਟਿਸ ਦੇ ਕਿਸੇ ਵੀ ਸਮੇਂ ਕਿਸੇ ਵੀ ਉਤਪਾਦ ਅਤੇ ਸੇਵਾਵਾਂ ਵਿੱਚ ਤਬਦੀਲੀਆਂ ਕਰਨ ਦਾ ਅਧਿਕਾਰ ਰਾਖਵਾਂ ਰੱਖਦਾ ਹੈ। ਇੰਟੇਲ ਇੱਥੇ ਵਰਣਿਤ ਕਿਸੇ ਵੀ ਜਾਣਕਾਰੀ, ਉਤਪਾਦ, ਜਾਂ ਸੇਵਾ ਦੀ ਐਪਲੀਕੇਸ਼ਨ ਜਾਂ ਵਰਤੋਂ ਤੋਂ ਪੈਦਾ ਹੋਣ ਵਾਲੀ ਕੋਈ ਜ਼ਿੰਮੇਵਾਰੀ ਜਾਂ ਜ਼ਿੰਮੇਵਾਰੀ ਨਹੀਂ ਲੈਂਦਾ, ਸਿਵਾਏ ਇੰਟੇਲ ਦੁਆਰਾ ਲਿਖਤੀ ਤੌਰ 'ਤੇ ਸਪੱਸ਼ਟ ਤੌਰ 'ਤੇ ਸਹਿਮਤ ਹੋਏ। Intel ਗਾਹਕਾਂ ਨੂੰ ਸਲਾਹ ਦਿੱਤੀ ਜਾਂਦੀ ਹੈ ਕਿ ਉਹ ਕਿਸੇ ਵੀ ਪ੍ਰਕਾਸ਼ਿਤ ਜਾਣਕਾਰੀ 'ਤੇ ਭਰੋਸਾ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ ਅਤੇ ਉਤਪਾਦਾਂ ਜਾਂ ਸੇਵਾਵਾਂ ਲਈ ਆਰਡਰ ਦੇਣ ਤੋਂ ਪਹਿਲਾਂ ਡਿਵਾਈਸ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦਾ ਨਵੀਨਤਮ ਸੰਸਕਰਣ ਪ੍ਰਾਪਤ ਕਰਨ। *ਹੋਰ ਨਾਵਾਂ ਅਤੇ ਬ੍ਰਾਂਡਾਂ 'ਤੇ ਦੂਜਿਆਂ ਦੀ ਸੰਪਤੀ ਵਜੋਂ ਦਾਅਵਾ ਕੀਤਾ ਜਾ ਸਕਦਾ ਹੈ।
ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਡਾਇਰੈਕਟਰੀ ਬਣਤਰ
ਚਿੱਤਰ 2. 50GbE ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਡਾਇਰੈਕਟਰੀ ਬਣਤਰ
ਹਾਰਡਵੇਅਰ ਸੰਰਚਨਾ ਅਤੇ ਟੈਸਟ files (ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample) ਵਿੱਚ ਸਥਿਤ ਹਨample_dir>/ਹਾਰਡਵੇਅਰ_ਟੈਸਟ_ਡਿਜ਼ਾਈਨ। ਸਿਮੂਲੇਸ਼ਨ files (ਸਿਰਫ ਸਿਮੂਲੇਸ਼ਨ ਲਈ ਟੈਸਟਬੈਂਚ) ਵਿੱਚ ਸਥਿਤ ਹਨample_dir>/ ਉਦਾਹਰਨample_testbench.The compilation-only design example ਵਿੱਚ ਸਥਿਤ ਹੈample_dir>/compilation_test_design.
ਸਿਮੂਲੇਸ਼ਨ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਭਾਗ
ਚਿੱਤਰ 3. 50GbE ਸਿਮੂਲੇਸ਼ਨ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਬਲਾਕ ਡਾਇਗ੍ਰਾਮ
ਸਿਮੂਲੇਸ਼ਨ ਸਾਬਕਾample ਡਿਜ਼ਾਈਨ ਸਿਖਰ-ਪੱਧਰੀ ਟੈਸਟ file ਬੁਨਿਆਦੀ_avl_tb_top.sv ਇਹ ਹੈ file ਇੱਕ ATX PLL ਨੂੰ ਤਤਕਾਲ ਅਤੇ ਜੋੜਦਾ ਹੈ। ਇਸ ਵਿੱਚ 50 ਪੈਕੇਟ ਭੇਜਣ ਅਤੇ ਪ੍ਰਾਪਤ ਕਰਨ ਲਈ ਇੱਕ ਕੰਮ, send_packets_10g_avl ਸ਼ਾਮਲ ਹੈ।
ਸਾਰਣੀ 1. 50GbE IP ਕੋਰ ਟੈਸਟਬੈਂਚ File ਵਰਣਨ
File ਨਾਮ | ਵਰਣਨ |
ਟੈਸਟਬੈਂਚ ਅਤੇ ਸਿਮੂਲੇਸ਼ਨ Files | |
ਬੁਨਿਆਦੀ_avl_tb_top.sv | ਸਿਖਰ-ਪੱਧਰੀ ਟੈਸਟਬੈਂਚ file. ਟੈਸਟਬੈਂਚ ਡੀਯੂਟੀ ਨੂੰ ਤਤਕਾਲ ਬਣਾਉਂਦਾ ਹੈ ਅਤੇ ਪੈਕੇਟ ਬਣਾਉਣ ਅਤੇ ਸਵੀਕਾਰ ਕਰਨ ਲਈ ਵੇਰੀਲੌਗ ਐਚਡੀਐਲ ਕਾਰਜ ਚਲਾਉਂਦਾ ਹੈ। |
ਟੈਸਟਬੈਂਚ ਸਕ੍ਰਿਪਟਾਂ | |
run_vsim.do | ਟੈਸਟਬੈਂਚ ਨੂੰ ਚਲਾਉਣ ਲਈ ਮਾਡਲਸਿਮ ਸਕ੍ਰਿਪਟ। |
run_vcs.sh | ਟੈਸਟਬੈਂਚ ਨੂੰ ਚਲਾਉਣ ਲਈ Synopsys VCS ਸਕ੍ਰਿਪਟ। |
run_ncsim.sh | ਟੈਸਟਬੈਂਚ ਨੂੰ ਚਲਾਉਣ ਲਈ Cadence NCSim ਸਕ੍ਰਿਪਟ। |
run_xcelium.sh | ਟੈਸਟਬੈਂਚ ਨੂੰ ਚਲਾਉਣ ਲਈ Cadence Xcelium* ਸਕ੍ਰਿਪਟ। |
rdware ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਭਾਗ
ਚਿੱਤਰ 4. 50GbE ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾampਉੱਚ ਪੱਧਰੀ ਬਲਾਕ ਡਾਇਗ੍ਰਾਮ
50GbE ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਵਿੱਚ ਹੇਠ ਲਿਖੇ ਭਾਗ ਸ਼ਾਮਲ ਹਨ
- 50GbE IP ਕੋਰ।
- ਕਲਾਇੰਟ ਤਰਕ ਜੋ IP ਕੋਰ ਅਤੇ ਪੈਕੇਟ ਜਨਰੇਸ਼ਨ ਦੀ ਪ੍ਰੋਗਰਾਮਿੰਗ ਦਾ ਤਾਲਮੇਲ ਕਰਦਾ ਹੈ।
- ਡਿਵਾਈਸ ਟ੍ਰਾਂਸਸੀਵਰ ਚੈਨਲਾਂ ਨੂੰ ਚਲਾਉਣ ਲਈ ATX PLL.
- IOPLL 100 MHz ਇਨਪੁਟ ਘੜੀ ਤੋਂ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਲਈ 50 MHz ਘੜੀ ਤਿਆਰ ਕਰਨ ਲਈ ਸਾਬਕਾample.
- JTAG ਕੰਟਰੋਲਰ ਜੋ ਸਿਸਟਮ ਕੰਸੋਲ ਨਾਲ ਸੰਚਾਰ ਕਰਦਾ ਹੈ। ਤੁਸੀਂ ਸਿਸਟਮ ਕੰਸੋਲ ਰਾਹੀਂ ਕਲਾਇੰਟ ਤਰਕ ਨਾਲ ਸੰਚਾਰ ਕਰਦੇ ਹੋ।
ਟੇਬਲ 2. 50GbE IP ਕੋਰ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample File ਵਰਣਨ
File ਨਾਮ | ਵਰਣਨ |
eth_ex_50g.qpf | ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋਜੈਕਟ file |
eth_ex_50g.qsf | Quartus ਪ੍ਰੋਜੈਕਟ ਸੈਟਿੰਗਾਂ file |
eth_ex_50g.sdc | Synopsys ਡਿਜ਼ਾਈਨ ਪਾਬੰਦੀਆਂ file. ਤੁਸੀਂ ਇਸਨੂੰ ਕਾਪੀ ਅਤੇ ਸੋਧ ਸਕਦੇ ਹੋ file ਤੁਹਾਡੇ ਆਪਣੇ 50GbE ਡਿਜ਼ਾਈਨ ਲਈ। |
ਜਾਰੀ… |
50GbE ਤੇਜ਼ ਸ਼ੁਰੂਆਤ ਗਾਈਡ
File ਨਾਮ | ਵਰਣਨ |
eth_ex_50g.v | ਉੱਚ-ਪੱਧਰੀ ਵੇਰੀਲੌਗ ਐਚਡੀਐਲ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample file |
ਆਮ/ | ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਸਹਿਯੋਗ files |
hwtest/main.tcl | ਮੁੱਖ file ਸਿਸਟਮ ਕੰਸੋਲ ਨੂੰ ਐਕਸੈਸ ਕਰਨ ਲਈ |
ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਨਾ ਐਕਸample
ਚਿੱਤਰ 5. ਵਿਧੀ
ਚਿੱਤਰ 6. ਸਾਬਕਾamp50GbE ਪੈਰਾਮੀਟਰ ਐਡੀਟਰ ਵਿੱਚ le ਡਿਜ਼ਾਈਨ ਟੈਬ
ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਐਕਸ ਬਣਾਉਣ ਲਈ ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋample ਅਤੇ testbench
- ਇਸ ਗੱਲ 'ਤੇ ਨਿਰਭਰ ਕਰਦੇ ਹੋਏ ਕਿ ਕੀ ਤੁਸੀਂ Intel Quartus® Prime Pro Edition ਸੌਫਟਵੇਅਰ ਜਾਂ Intel Quartus Prime Standard Edition ਸੌਫਟਵੇਅਰ ਦੀ ਵਰਤੋਂ ਕਰ ਰਹੇ ਹੋ, ਹੇਠ ਲਿਖੀਆਂ ਕਾਰਵਾਈਆਂ ਵਿੱਚੋਂ ਇੱਕ ਕਰੋ: Intel Quartus Prime Pro Edition ਵਿੱਚ, ਕਲਿੱਕ ਕਰੋ File ➤ ਨਵਾਂ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋਜੈਕਟ ਬਣਾਉਣ ਲਈ ਨਵਾਂ ਪ੍ਰੋਜੈਕਟ ਵਿਜ਼ਾਰਡ, ਜਾਂ File ➤ ਇੱਕ ਮੌਜੂਦਾ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋਜੈਕਟ ਨੂੰ ਖੋਲ੍ਹਣ ਲਈ ਪ੍ਰੋਜੈਕਟ ਖੋਲ੍ਹੋ। ਵਿਜ਼ਾਰਡ ਤੁਹਾਨੂੰ ਇੱਕ ਡਿਵਾਈਸ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ ਪੁੱਛਦਾ ਹੈ। Intel Quartus Prime Standard Edition ਸੌਫਟਵੇਅਰ ਵਿੱਚ, IP ਕੈਟਾਲਾਗ (ਟੂਲਸ IP ਕੈਟਾਲਾਗ) ਵਿੱਚ, Arria 10 ਟਾਰਗੇਟ ਡਿਵਾਈਸ ਪਰਿਵਾਰ ਦੀ ਚੋਣ ਕਰੋ।
- IP ਕੈਟਾਲਾਗ ਵਿੱਚ, 50G ਈਥਰਨੈੱਟ ਲੱਭੋ ਅਤੇ ਚੁਣੋ। ਨਵੀਂ IP ਪਰਿਵਰਤਨ ਵਿੰਡੋ ਦਿਖਾਈ ਦਿੰਦੀ ਹੈ।
- ਆਪਣੇ IP ਪਰਿਵਰਤਨ ਲਈ ਇੱਕ ਉੱਚ-ਪੱਧਰ ਦਾ ਨਾਮ ਦਿਓ ਅਤੇ ਠੀਕ 'ਤੇ ਕਲਿੱਕ ਕਰੋ। ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ ਉੱਚ-ਪੱਧਰੀ .qsys (Intel Quartus Prime Standard Edition ਵਿੱਚ) ਜਾਂ .ip (Intel Quartus Prime Pro ਐਡੀਸ਼ਨ ਵਿੱਚ) ਜੋੜਦਾ ਹੈ। file ਆਪਣੇ ਆਪ ਮੌਜੂਦਾ ਪ੍ਰੋਜੈਕਟ ਲਈ। ਜੇਕਰ ਤੁਹਾਨੂੰ ਦਸਤੀ .qsys ਜਾਂ .ip ਸ਼ਾਮਲ ਕਰਨ ਲਈ ਕਿਹਾ ਜਾਂਦਾ ਹੈ file ਪ੍ਰੋਜੈਕਟ ਲਈ, ਪ੍ਰੋਜੈਕਟ ➤ ਜੋੜੋ/ਹਟਾਓ 'ਤੇ ਕਲਿੱਕ ਕਰੋ Fileਨੂੰ ਜੋੜਨ ਲਈ ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ s file.
- Intel Quartus Prime Standard Edition ਸੌਫਟਵੇਅਰ ਵਿੱਚ, ਤੁਹਾਨੂੰ ਡਿਵਾਈਸ ਫੀਲਡ ਵਿੱਚ ਇੱਕ ਖਾਸ Arria 10 ਡਿਵਾਈਸ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ, ਜਾਂ Quartus Prime ਸਾਫਟਵੇਅਰ ਦੁਆਰਾ ਪ੍ਰਸਤਾਵਿਤ ਡਿਫੌਲਟ ਡਿਵਾਈਸ ਨੂੰ ਰੱਖਣਾ ਚਾਹੀਦਾ ਹੈ।
ਨੋਟ: ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਟੀਚੇ ਦੇ ਬੋਰਡ 'ਤੇ ਡਿਵਾਈਸ ਨਾਲ ਚੋਣ ਨੂੰ ਓਵਰਰਾਈਟ ਕਰਦਾ ਹੈ। ਤੁਸੀਂ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਦੇ ਮੇਨੂ ਤੋਂ ਟੀਚਾ ਬੋਰਡ ਨਿਸ਼ਚਿਤ ਕਰਦੇ ਹੋampਸਾਬਕਾ ਵਿੱਚ le ਵਿਕਲਪample ਡਿਜ਼ਾਈਨ ਟੈਬ (ਕਦਮ 8)। - ਕਲਿਕ ਕਰੋ ਠੀਕ ਹੈ. ਪੈਰਾਮੀਟਰ ਐਡੀਟਰ ਦਿਸਦਾ ਹੈ।
- IP ਟੈਬ 'ਤੇ, ਆਪਣੇ IP ਕੋਰ ਪਰਿਵਰਤਨ ਲਈ ਮਾਪਦੰਡ ਨਿਰਧਾਰਤ ਕਰੋ।
- ਸਾਬਕਾ 'ਤੇample ਡਿਜ਼ਾਈਨ ਟੈਬ, ਸਾਬਕਾ ਲਈampਲੇ ਡਿਜ਼ਾਇਨ Files, ਟੈਸਟਬੈਂਚ ਬਣਾਉਣ ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਵਿਕਲਪ ਦੀ ਚੋਣ ਕਰੋ, ਅਤੇ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਨ ਲਈ ਸਿੰਥੇਸਿਸ ਵਿਕਲਪ ਚੁਣੋ।ample. ਸਿਰਫ਼ Verilog HDL files ਤਿਆਰ ਕੀਤੇ ਜਾਂਦੇ ਹਨ।
ਨੋਟ: ਇੱਕ ਕਾਰਜਸ਼ੀਲ VHDL IP ਕੋਰ ਉਪਲਬਧ ਨਹੀਂ ਹੈ। ਤੁਹਾਡੇ IP ਕੋਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਲਈ, ਸਿਰਫ਼ ਵੇਰੀਲੌਗ HDL ਨਿਸ਼ਚਿਤ ਕਰੋample. - ਹਾਰਡਵੇਅਰ ਬੋਰਡ ਲਈ Arria 10 GX ਟ੍ਰਾਂਸਸੀਵਰ ਸਿਗਨਲ ਇੰਟੈਗਰਿਟੀ ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ ਦੀ ਚੋਣ ਕਰੋ।
ਨੋਟ: ਇਸ ਹਾਰਡਵੇਅਰ ਨੂੰ ਚਲਾਉਣ ਲਈ ਢੁਕਵੇਂ ਪਲੇਟਫਾਰਮ ਬਾਰੇ ਜਾਣਕਾਰੀ ਲਈ ਆਪਣੇ Intel FPGA ਪ੍ਰਤੀਨਿਧੀ ਨਾਲ ਸੰਪਰਕ ਕਰੋample. - ਜਨਰੇਟ ਐਕਸ 'ਤੇ ਕਲਿੱਕ ਕਰੋample ਡਿਜ਼ਾਈਨ ਬਟਨ. ਸਾਬਕਾ ਚੁਣੋample ਡਿਜ਼ਾਈਨ ਡਾਇਰੈਕਟਰੀ ਵਿੰਡੋ ਦਿਖਾਈ ਦਿੰਦੀ ਹੈ।
- ਜੇਕਰ ਤੁਸੀਂ ਡਿਜ਼ਾਈਨ ਨੂੰ ਸੋਧਣਾ ਚਾਹੁੰਦੇ ਹੋ ਤਾਂ ਸਾਬਕਾample ਡਾਇਰੈਕਟਰੀ ਮਾਰਗ ਜਾਂ ਡਿਸਪਲੇ ਕੀਤੇ ਡਿਫੌਲਟ ਤੋਂ ਨਾਮ (alt_e50_0_example_design), ਨਵੇਂ ਮਾਰਗ 'ਤੇ ਬ੍ਰਾਊਜ਼ ਕਰੋ ਅਤੇ ਨਵਾਂ ਡਿਜ਼ਾਈਨ ਐਕਸ ਟਾਈਪ ਕਰੋample ਡਾਇਰੈਕਟਰੀ ਦਾ ਨਾਮ (ample_dir>).
- ਕਲਿਕ ਕਰੋ ਠੀਕ ਹੈ.
- KDB ਜਵਾਬ ਵੇਖੋ ਮੈਂ Arria 10 PLL ਸੰਦਰਭ ਘੜੀ ਲਈ PLL ਕੈਸਕੇਡਿੰਗ ਜਾਂ ਗੈਰ-ਸਮਰਪਿਤ ਕਲਾਕ ਮਾਰਗ ਦੇ ਝਟਕੇ ਦੀ ਭਰਪਾਈ ਕਿਵੇਂ ਕਰਾਂ? ਇੱਕ ਹੱਲ ਲਈ ਤੁਹਾਨੂੰ .sdc ਵਿੱਚ hardware_test_design ਡਾਇਰੈਕਟਰੀ ਵਿੱਚ ਅਰਜ਼ੀ ਦੇਣੀ ਚਾਹੀਦੀ ਹੈ file.
ਨੋਟ: ਤੁਹਾਨੂੰ ਇਸ KDB ਜਵਾਬ ਦੀ ਸਲਾਹ ਲੈਣੀ ਚਾਹੀਦੀ ਹੈ ਕਿਉਂਕਿ 50GbE IP ਕੋਰ ਵਿੱਚ RX ਮਾਰਗ ਵਿੱਚ ਕੈਸਕੇਡਡ PLL ਸ਼ਾਮਲ ਹਨ। ਇਸਲਈ, IP ਕੋਰ ਘੜੀਆਂ ਅਰਰੀਆ 10 ਡਿਵਾਈਸਾਂ ਵਿੱਚ ਵਾਧੂ ਝਟਕੇ ਦਾ ਅਨੁਭਵ ਕਰ ਸਕਦੀਆਂ ਹਨ। ਇਹ KDB ਜਵਾਬ ਸਾਫਟਵੇਅਰ ਰੀਲੀਜ਼ਾਂ ਨੂੰ ਸਪੱਸ਼ਟ ਕਰਦਾ ਹੈ ਜਿਸ ਵਿੱਚ ਹੱਲ ਜ਼ਰੂਰੀ ਹੈ।
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
KDB ਉੱਤਰ: ਮੈਂ Arria 10 PLL ਸੰਦਰਭ ਘੜੀ ਲਈ PLL ਕੈਸਕੇਡਿੰਗ ਜਾਂ ਗੈਰ-ਸਮਰਪਿਤ ਕਲਾਕ ਮਾਰਗ ਦੇ ਝਟਕੇ ਲਈ ਕਿਵੇਂ ਮੁਆਵਜ਼ਾ ਦੇ ਸਕਦਾ ਹਾਂ?
50GbE ਡਿਜ਼ਾਈਨ ਐਕਸ ਦੀ ਨਕਲ ਕਰਨਾampਲੇ ਟੈਸਟਬੈਂਚ
ਚਿੱਤਰ 7. ਵਿਧੀ
ਟੈਸਟਬੈਂਚ ਦੀ ਨਕਲ ਕਰਨ ਲਈ ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ
- ਟੈਸਟਬੈਂਚ ਸਿਮੂਲੇਸ਼ਨ ਡਾਇਰੈਕਟਰੀ ਵਿੱਚ ਬਦਲੋample_dir>/ ਉਦਾਹਰਨample_testbench.
- ਆਪਣੀ ਪਸੰਦ ਦੇ ਸਮਰਥਿਤ ਸਿਮੂਲੇਟਰ ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਸਕ੍ਰਿਪਟ ਚਲਾਓ। ਸਕ੍ਰਿਪਟ ਸਿਮੂਲੇਟਰ ਵਿੱਚ ਟੈਸਟਬੈਂਚ ਨੂੰ ਕੰਪਾਇਲ ਕਰਦੀ ਹੈ ਅਤੇ ਚਲਾਉਂਦੀ ਹੈ। ਟੇਬਲ "ਟੈਸਟਬੈਂਚ ਦੀ ਨਕਲ ਕਰਨ ਲਈ ਕਦਮ" ਵੇਖੋ।
- ਨਤੀਜਿਆਂ ਦਾ ਵਿਸ਼ਲੇਸ਼ਣ ਕਰੋ। ਸਫਲ ਟੈਸਟਬੈਂਚ ਦਸ ਪੈਕੇਟ ਭੇਜਦਾ ਹੈ, ਦਸ ਪੈਕੇਟ ਪ੍ਰਾਪਤ ਕਰਦਾ ਹੈ, ਅਤੇ "ਟੈਸਟਬੈਂਚ ਪੂਰਾ" ਪ੍ਰਦਰਸ਼ਿਤ ਕਰਦਾ ਹੈ।
ਸਾਰਣੀ 3. ਟੈਸਟਬੈਂਚ ਦੀ ਨਕਲ ਕਰਨ ਲਈ ਕਦਮ
ਸਿਮੂਲੇਟਰ | ਹਦਾਇਤਾਂ |
ਮਾਡਲਸਿਮ | ਕਮਾਂਡ ਲਾਈਨ ਵਿੱਚ, ਟਾਈਪ ਕਰੋ vsim -do run_vsim.do
ਜੇਕਰ ਤੁਸੀਂ ModelSim GUI ਨੂੰ ਪੇਸ਼ ਕੀਤੇ ਬਿਨਾਂ ਸਿਮੂਲੇਟ ਕਰਨਾ ਪਸੰਦ ਕਰਦੇ ਹੋ, ਤਾਂ ਟਾਈਪ ਕਰੋ vsim -c -do run_vsim.do ਨੋਟ: The ModelSim* - Intel FPGA ਐਡੀਸ਼ਨ ਸਿਮੂਲੇਟਰ ਕੋਲ ਇਸ IP ਕੋਰ ਦੀ ਨਕਲ ਕਰਨ ਦੀ ਸਮਰੱਥਾ ਨਹੀਂ ਹੈ। ਤੁਹਾਨੂੰ ਇੱਕ ਹੋਰ ਸਮਰਥਿਤ ModelSim ਸਿਮੂਲੇਟਰ ਜਿਵੇਂ ਕਿ ModelSim SE ਦੀ ਵਰਤੋਂ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ। |
NCSim | ਕਮਾਂਡ ਲਾਈਨ ਵਿੱਚ, ਟਾਈਪ ਕਰੋ sh run_ncsim.sh |
ਵੀ.ਸੀ.ਐਸ | ਕਮਾਂਡ ਲਾਈਨ ਵਿੱਚ, ਟਾਈਪ ਕਰੋ sh run_vcs.sh |
ਐਕਸੇਲੀਅਮ | ਕਮਾਂਡ ਲਾਈਨ ਵਿੱਚ, ਟਾਈਪ ਕਰੋ sh run_xcelium.sh |
ਸਫਲ ਟੈਸਟ ਰਨ ਹੇਠਾਂ ਦਿੱਤੇ ਵਿਵਹਾਰ ਦੀ ਪੁਸ਼ਟੀ ਕਰਦਾ ਆਉਟਪੁੱਟ ਪ੍ਰਦਰਸ਼ਿਤ ਕਰਦਾ ਹੈ
- RX ਘੜੀ ਦੇ ਸੈਟਲ ਹੋਣ ਦੀ ਉਡੀਕ ਕੀਤੀ ਜਾ ਰਹੀ ਹੈ
- PHY ਸਥਿਤੀ ਨੂੰ ਛਾਪਣਾ
- 10 ਪੈਕੇਟ ਭੇਜੇ ਜਾ ਰਹੇ ਹਨ
- 10 ਪੈਕੇਟ ਪ੍ਰਾਪਤ ਕਰ ਰਹੇ ਹਨ
- "ਟੈਸਟਬੈਂਚ ਪੂਰਾ" ਪ੍ਰਦਰਸ਼ਿਤ ਕੀਤਾ ਜਾ ਰਿਹਾ ਹੈ।
ਹੇਠ ਲਿਖੇ ਐਸample ਆਉਟਪੁੱਟ ਇੱਕ ਸਫਲ ਸਿਮੂਲੇਸ਼ਨ ਟੈਸਟ ਰਨ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ
- #Ref ਘੜੀ 625 MHz 'ਤੇ ਚਲਾਈ ਜਾਂਦੀ ਹੈ ਤਾਂ ਜੋ ਸਾਰੇ ਘੜੀ ਦੇ ਸਮੇਂ ਲਈ ਪੂਰੇ ਨੰਬਰ ਵਰਤੇ ਜਾ ਸਕਣ।
- # ਵਾਸਤਵਿਕ ਘੜੀ ਦੀ ਬਾਰੰਬਾਰਤਾ ਪ੍ਰਾਪਤ ਕਰਨ ਲਈ ਰਿਪੋਰਟ ਕੀਤੀ ਫ੍ਰੀਕੁਐਂਸੀ ਨੂੰ 33/32 ਦੁਆਰਾ ਗੁਣਾ ਕਰੋ।
- # RX ਅਲਾਈਨਮੈਂਟ ਲਈ ਉਡੀਕ ਕਰ ਰਿਹਾ ਹੈ
- #RX ਡੈਸਕਿਊ ਲਾਕ ਕੀਤਾ ਗਿਆ
- #RX ਲੇਨ ਅਲਾਈਨਮੈਂਟ ਲੌਕ ਕੀਤੀ ਗਈ
- #TX ਸਮਰਥਿਤ
- #**ਪੈਕੇਟ 1 ਭੇਜਿਆ ਜਾ ਰਿਹਾ ਹੈ...
- #**ਪੈਕੇਟ 2 ਭੇਜਿਆ ਜਾ ਰਿਹਾ ਹੈ...
- #**ਪੈਕੇਟ 3 ਭੇਜਿਆ ਜਾ ਰਿਹਾ ਹੈ...
- #**ਪੈਕੇਟ 4 ਭੇਜਿਆ ਜਾ ਰਿਹਾ ਹੈ...
- #**ਪੈਕੇਟ 5 ਭੇਜਿਆ ਜਾ ਰਿਹਾ ਹੈ...
- #**ਪੈਕੇਟ 6 ਭੇਜਿਆ ਜਾ ਰਿਹਾ ਹੈ...
- #**ਪੈਕੇਟ 7 ਭੇਜਿਆ ਜਾ ਰਿਹਾ ਹੈ...
- #**ਪ੍ਰਾਪਤ ਪੈਕੇਟ 1...
- #**ਪੈਕੇਟ 8 ਭੇਜਿਆ ਜਾ ਰਿਹਾ ਹੈ...
- #**ਪ੍ਰਾਪਤ ਪੈਕੇਟ 2...
- #**ਪੈਕੇਟ 9 ਭੇਜਿਆ ਜਾ ਰਿਹਾ ਹੈ...
- #**ਪ੍ਰਾਪਤ ਪੈਕੇਟ 3...
- #**ਪੈਕੇਟ 10 ਭੇਜਿਆ ਜਾ ਰਿਹਾ ਹੈ...
- #**ਪ੍ਰਾਪਤ ਪੈਕੇਟ 4...
- #**ਪ੍ਰਾਪਤ ਪੈਕੇਟ 5...
- #**ਪ੍ਰਾਪਤ ਪੈਕੇਟ 6...
- #**ਪ੍ਰਾਪਤ ਪੈਕੇਟ 7...
- #**ਪ੍ਰਾਪਤ ਪੈਕੇਟ 8...
- #**ਪ੍ਰਾਪਤ ਪੈਕੇਟ 9...
- #**ਪ੍ਰਾਪਤ ਪੈਕੇਟ 10...
- #**
- #** ਟੈਸਟਬੈਂਚ ਪੂਰਾ ਹੋਇਆ।
- #**
- #*******************************************
ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨਾ ਅਤੇ ਕੌਂਫਿਗਰ ਕਰਨਾ Exampਹਾਰਡਵੇਅਰ ਵਿੱਚ le
ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨ ਲਈ ਸਾਬਕਾample ਅਤੇ ਇਸਨੂੰ ਆਪਣੇ Arria 10 GT ਡਿਵਾਈਸ 'ਤੇ ਕੌਂਫਿਗਰ ਕਰੋ, ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ
- ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਨੂੰ ਯਕੀਨੀ ਬਣਾਓ ਸਾਬਕਾampਲੀ ਪੀੜ੍ਹੀ ਪੂਰੀ ਹੋ ਗਈ ਹੈ।
- Intel Quartus Prime ਸਾਫਟਵੇਅਰ ਵਿੱਚ, Intel Quartus Prime ਪ੍ਰੋਜੈਕਟ ਨੂੰ ਖੋਲ੍ਹੋample_dir>/hardware_test_design/eth_ex_50g.qpf।
- ਕੰਪਾਈਲ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ, ਯਕੀਨੀ ਬਣਾਓ ਕਿ ਤੁਸੀਂ KDB ਜਵਾਬ ਤੋਂ ਹੱਲ ਲਾਗੂ ਕੀਤਾ ਹੈ ਮੈਂ Arria 10 PLL ਸੰਦਰਭ ਘੜੀ ਲਈ PLL ਕੈਸਕੇਡਿੰਗ ਜਾਂ ਗੈਰ-ਸਮਰਪਿਤ ਕਲਾਕ ਮਾਰਗ ਦੇ ਝਟਕੇ ਦੀ ਭਰਪਾਈ ਕਿਵੇਂ ਕਰਾਂ? ਜੇਕਰ ਤੁਹਾਡੇ ਸਾਫਟਵੇਅਰ ਰੀਲੀਜ਼ ਲਈ ਢੁਕਵਾਂ ਹੋਵੇ।
- ਪ੍ਰੋਸੈਸਿੰਗ ਮੀਨੂ 'ਤੇ, ਸੰਕਲਨ ਸ਼ੁਰੂ ਕਰੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
- ਤੁਹਾਡੇ ਦੁਆਰਾ ਇੱਕ SRAM ਆਬਜੈਕਟ ਬਣਾਉਣ ਤੋਂ ਬਾਅਦ file .sof, ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਨੂੰ ਪ੍ਰੋਗਰਾਮ ਕਰਨ ਲਈ ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋampArria 10 ਡਿਵਾਈਸ 'ਤੇ le:
- ਟੂਲਸ ਮੀਨੂ 'ਤੇ, ਪ੍ਰੋਗਰਾਮਰ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
- ਪ੍ਰੋਗਰਾਮਰ ਵਿੱਚ, ਹਾਰਡਵੇਅਰ ਸੈੱਟਅੱਪ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
- ਇੱਕ ਪ੍ਰੋਗਰਾਮਿੰਗ ਡਿਵਾਈਸ ਚੁਣੋ।
- ਆਪਣੇ Intel Quartus Prime ਸੈਸ਼ਨ ਵਿੱਚ 10G ਰੀਟਾਈਮਰ ਵਾਲੇ Arria 25 GT ਬੋਰਡ ਨੂੰ ਚੁਣੋ ਅਤੇ ਸ਼ਾਮਲ ਕਰੋ।
- ਯਕੀਨੀ ਬਣਾਓ ਕਿ ਮੋਡ ਜੇ 'ਤੇ ਸੈੱਟ ਹੈTAG.
- Arria 10 ਡਿਵਾਈਸ ਚੁਣੋ ਅਤੇ ਡਿਵਾਈਸ ਜੋੜੋ ਤੇ ਕਲਿਕ ਕਰੋ। ਪ੍ਰੋਗਰਾਮਰ ਤੁਹਾਡੇ ਬੋਰਡ 'ਤੇ ਡਿਵਾਈਸਾਂ ਵਿਚਕਾਰ ਕਨੈਕਸ਼ਨਾਂ ਦਾ ਇੱਕ ਬਲਾਕ ਚਿੱਤਰ ਪ੍ਰਦਰਸ਼ਿਤ ਕਰਦਾ ਹੈ।
- ਤੁਹਾਡੇ .sof ਦੇ ਨਾਲ ਕਤਾਰ ਵਿੱਚ, .sof ਲਈ ਬਾਕਸ 'ਤੇ ਨਿਸ਼ਾਨ ਲਗਾਓ।
- ਪ੍ਰੋਗਰਾਮ/ਸੰਰਚਨਾ ਕਾਲਮ ਵਿੱਚ ਬਾਕਸ ਨੂੰ ਚੈੱਕ ਕਰੋ।
- ਸਟਾਰਟ 'ਤੇ ਕਲਿੱਕ ਕਰੋ
ਨੋਟ: ਇਹ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample Arria 10 GT ਡਿਵਾਈਸ ਨੂੰ ਨਿਸ਼ਾਨਾ ਬਣਾਉਂਦਾ ਹੈ। ਕਿਰਪਾ ਕਰਕੇ ਇਸ ਹਾਰਡਵੇਅਰ ਨੂੰ ਚਲਾਉਣ ਲਈ ਢੁਕਵੇਂ ਪਲੇਟਫਾਰਮ ਬਾਰੇ ਪੁੱਛਗਿੱਛ ਕਰਨ ਲਈ ਆਪਣੇ Intel FPGA ਪ੍ਰਤੀਨਿਧੀ ਨਾਲ ਸੰਪਰਕ ਕਰੋample
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
- KDB ਜਵਾਬ: ਮੈਂ Arria 10 PLL ਸੰਦਰਭ ਘੜੀ ਲਈ PLL ਕੈਸਕੇਡਿੰਗ ਜਾਂ ਗੈਰ-ਸਮਰਪਣ ਵਾਲੇ ਕਲਾਕ ਮਾਰਗ ਦੇ ਝਟਕੇ ਦੀ ਭਰਪਾਈ ਕਿਵੇਂ ਕਰਾਂ?
- ਲੜੀਵਾਰ ਅਤੇ ਟੀਮ-ਅਧਾਰਿਤ ਡਿਜ਼ਾਈਨ ਲਈ ਵਾਧਾ ਸੰਕਲਨ
- ਪ੍ਰੋਗਰਾਮਿੰਗ Intel FPGA ਡਿਵਾਈਸਾਂ
50GbE ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਦੀ ਜਾਂਚ ਕਰ ਰਿਹਾ ਹੈample
ਤੁਹਾਡੇ ਦੁਆਰਾ 50GbE IP ਕੋਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨ ਤੋਂ ਬਾਅਦample ਅਤੇ ਇਸਨੂੰ ਆਪਣੇ Arria 10 GT ਡਿਵਾਈਸ 'ਤੇ ਕੌਂਫਿਗਰ ਕਰੋ, ਤੁਸੀਂ IP ਕੋਰ ਅਤੇ ਇਸ ਦੇ ਏਮਬੇਡ ਕੀਤੇ ਨੇਟਿਵ PHY IP ਕੋਰ ਰਜਿਸਟਰਾਂ ਨੂੰ ਪ੍ਰੋਗਰਾਮ ਕਰਨ ਲਈ ਸਿਸਟਮ ਕੰਸੋਲ ਦੀ ਵਰਤੋਂ ਕਰ ਸਕਦੇ ਹੋ। ਸਿਸਟਮ ਕੰਸੋਲ ਨੂੰ ਚਾਲੂ ਕਰਨ ਅਤੇ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਦੀ ਜਾਂਚ ਕਰਨ ਲਈ ਸਾਬਕਾampਲੇ, ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ:
- ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਤੋਂ ਬਾਅਦ ਸਾਬਕਾample ਨੂੰ Arria 10 ਡਿਵਾਈਸ 'ਤੇ ਸੰਰਚਿਤ ਕੀਤਾ ਗਿਆ ਹੈ, Intel Quartus Prime ਸਾਫਟਵੇਅਰ ਵਿੱਚ, ਟੂਲਸ ਮੀਨੂ 'ਤੇ, ਸਿਸਟਮ ਡੀਬਗਿੰਗ ਟੂਲਸ ➤ ਸਿਸਟਮ ਕੰਸੋਲ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
- Tcl ਕੰਸੋਲ ਪੈਨ ਵਿੱਚ, ਡਾਇਰੈਕਟਰੀ ਨੂੰ ਬਦਲਣ ਲਈ cd hwtest ਟਾਈਪ ਕਰੋample_dir>/hardware_test_design/hwtest।
- ਜੇ ਨਾਲ ਕੁਨੈਕਸ਼ਨ ਖੋਲ੍ਹਣ ਲਈ ਸਰੋਤ main.tcl ਟਾਈਪ ਕਰੋTAG ਮਾਸਟਰ
ਤੁਸੀਂ ਹੇਠਾਂ ਦਿੱਤੇ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਨਾਲ IP ਕੋਰ ਨੂੰ ਪ੍ਰੋਗਰਾਮ ਕਰ ਸਕਦੇ ਹੋample ਹੁਕਮ
- chkphy_status: ਘੜੀ ਦੀ ਬਾਰੰਬਾਰਤਾ ਅਤੇ PHY ਲਾਕ ਸਥਿਤੀ ਪ੍ਰਦਰਸ਼ਿਤ ਕਰਦਾ ਹੈ।
- start_pkt_gen: ਪੈਕੇਟ ਜਨਰੇਟਰ ਸ਼ੁਰੂ ਕਰਦਾ ਹੈ।
- stop_pkt_gen: ਪੈਕੇਟ ਜਨਰੇਟਰ ਨੂੰ ਰੋਕਦਾ ਹੈ।
- ਲੂਪ_ਆਨ: ਅੰਦਰੂਨੀ ਸੀਰੀਅਲ ਲੂਪਬੈਕ ਨੂੰ ਚਾਲੂ ਕਰਦਾ ਹੈ
- ਲੂਪ_ਆਫ: ਅੰਦਰੂਨੀ ਸੀਰੀਅਲ ਲੂਪਬੈਕ ਨੂੰ ਬੰਦ ਕਰਦਾ ਹੈ।
- reg_read : 'ਤੇ IP ਕੋਰ ਰਜਿਸਟਰ ਮੁੱਲ ਵਾਪਸ ਕਰਦਾ ਹੈ .
- reg_write : ਲਿਖਦਾ ਹੈ ਪਤੇ 'ਤੇ ਆਈਪੀ ਕੋਰ ਰਜਿਸਟਰ ਲਈ .
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
- 50GbE ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਰਜਿਸਟਰ ਪੰਨਾ 13 'ਤੇ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਲਈ ਨਕਸ਼ਾ ਰਜਿਸਟਰ ਕਰੋample.
- ਸਿਸਟਮ ਕੰਸੋਲ ਨਾਲ ਡਿਜ਼ਾਈਨ ਦਾ ਵਿਸ਼ਲੇਸ਼ਣ ਕਰਨਾ ਅਤੇ ਡੀਬੱਗ ਕਰਨਾ
ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਵੇਰਵਾ
ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample IEEE 50ba ਸਟੈਂਡਰਡ CAUI-802.3 ਨਿਰਧਾਰਨ ਦੇ ਅਨੁਕੂਲ ਟ੍ਰਾਂਸਸੀਵਰ ਇੰਟਰਫੇਸ ਦੇ ਨਾਲ 4GbE ਕੋਰ ਦੇ ਫੰਕਸ਼ਨਾਂ ਨੂੰ ਪ੍ਰਦਰਸ਼ਿਤ ਕਰਦਾ ਹੈ। ਤੁਸੀਂ ਐਕਸ ਤੋਂ ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰ ਸਕਦੇ ਹੋamp50GbE ਪੈਰਾਮੀਟਰ ਐਡੀਟਰ ਵਿੱਚ le ਡਿਜ਼ਾਈਨ ਟੈਬ। ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਨ ਲਈ ਸਾਬਕਾampਇਸ ਲਈ, ਤੁਹਾਨੂੰ ਪਹਿਲਾਂ IP ਕੋਰ ਪਰਿਵਰਤਨ ਲਈ ਪੈਰਾਮੀਟਰ ਮੁੱਲ ਸੈੱਟ ਕਰਨੇ ਚਾਹੀਦੇ ਹਨ ਜੋ ਤੁਸੀਂ ਆਪਣੇ ਅੰਤਮ ਉਤਪਾਦ ਵਿੱਚ ਬਣਾਉਣਾ ਚਾਹੁੰਦੇ ਹੋ। ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਨਾ ਸਾਬਕਾample IP ਕੋਰ ਦੀ ਇੱਕ ਕਾਪੀ ਬਣਾਉਂਦਾ ਹੈ; ਟੈਸਟਬੈਂਚ ਅਤੇ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਇਸ ਪਰਿਵਰਤਨ ਨੂੰ DUT ਦੇ ਤੌਰ ਤੇ ਵਰਤੋ. ਜੇਕਰ ਤੁਸੀਂ ਆਪਣੇ ਅੰਤਮ ਉਤਪਾਦ ਵਿੱਚ ਪੈਰਾਮੀਟਰ ਮੁੱਲਾਂ ਨਾਲ ਮੇਲ ਕਰਨ ਲਈ DUT ਲਈ ਪੈਰਾਮੀਟਰ ਮੁੱਲ ਸੈੱਟ ਨਹੀਂ ਕਰਦੇ ਹੋ, ਤਾਂ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾampਤੁਹਾਡੇ ਦੁਆਰਾ ਤਿਆਰ ਕੀਤਾ ਗਿਆ IP ਕੋਰ ਪਰਿਵਰਤਨ ਦਾ ਅਭਿਆਸ ਨਹੀਂ ਕਰਦਾ ਜੋ ਤੁਸੀਂ ਚਾਹੁੰਦੇ ਹੋ।
ਨੋਟ: ਟੈਸਟਬੈਂਚ ਆਈਪੀ ਕੋਰ ਦਾ ਇੱਕ ਬੁਨਿਆਦੀ ਟੈਸਟ ਪ੍ਰਦਰਸ਼ਿਤ ਕਰਦਾ ਹੈ। ਇਹ ਇੱਕ ਪੂਰੇ ਤਸਦੀਕ ਵਾਤਾਵਰਣ ਲਈ ਇੱਕ ਬਦਲ ਹੋਣ ਦਾ ਇਰਾਦਾ ਨਹੀਂ ਹੈ। ਤੁਹਾਨੂੰ ਸਿਮੂਲੇਸ਼ਨ ਅਤੇ ਹਾਰਡਵੇਅਰ ਵਿੱਚ ਆਪਣੇ ਖੁਦ ਦੇ 50GbE ਡਿਜ਼ਾਈਨ ਦੀ ਵਧੇਰੇ ਵਿਆਪਕ ਪੁਸ਼ਟੀ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
Intel Arria® 10 50Gbps ਈਥਰਨੈੱਟ IP ਕੋਰ ਯੂਜ਼ਰ ਗਾਈਡ
ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਵਿਵਹਾਰ
ਟੈਸਟਬੈਂਚ IP ਕੋਰ ਦੁਆਰਾ ਟਰੈਫਿਕ ਭੇਜਦਾ ਹੈ, ਟ੍ਰਾਂਸਮਿਟ ਸਾਈਡ ਦਾ ਅਭਿਆਸ ਕਰਦਾ ਹੈ ਅਤੇ IP ਕੋਰ ਦੇ ਸਾਈਡ ਨੂੰ ਪ੍ਰਾਪਤ ਕਰਦਾ ਹੈ। ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਵਿਚ ਸਾਬਕਾampਲੇ, ਤੁਸੀਂ ਅੰਦਰੂਨੀ ਸੀਰੀਅਲ ਲੂਪਬੈਕ ਮੋਡ ਵਿੱਚ IP ਕੋਰ ਨੂੰ ਪ੍ਰੋਗਰਾਮ ਕਰ ਸਕਦੇ ਹੋ ਅਤੇ ਟ੍ਰਾਂਸਮਿਟ ਸਾਈਡ 'ਤੇ ਟ੍ਰੈਫਿਕ ਪੈਦਾ ਕਰ ਸਕਦੇ ਹੋ ਜੋ ਰਿਸੀਵ ਸਾਈਡ ਰਾਹੀਂ ਵਾਪਸ ਆ ਜਾਂਦਾ ਹੈ।
ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਇੰਟਰਫੇਸ ਸਿਗਨਲ
50GbE ਟੈਸਟਬੈਂਚ ਸਵੈ-ਨਿਰਭਰ ਹੈ ਅਤੇ ਤੁਹਾਨੂੰ ਕਿਸੇ ਵੀ ਇਨਪੁਟ ਸਿਗਨਲ ਨੂੰ ਚਲਾਉਣ ਦੀ ਲੋੜ ਨਹੀਂ ਹੈ।
ਸਾਰਣੀ 4. 50GbE ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਇੰਟਰਫੇਸ ਸਿਗਨਲ
ਸਿਗਨਲ | ਦਿਸ਼ਾ | ਟਿੱਪਣੀਆਂ |
clk50 |
ਇੰਪੁੱਟ |
50 MHz 'ਤੇ ਗੱਡੀ ਚਲਾਓ। ਇਸ ਨੂੰ ਬੋਰਡ 'ਤੇ 50 Mhz ਔਸਿਲੇਟਰ ਤੋਂ ਚਲਾਉਣ ਦਾ ਇਰਾਦਾ ਹੈ। |
clk_ref | ਇੰਪੁੱਟ | 644.53125 MHz 'ਤੇ ਡ੍ਰਾਈਵ ਕਰੋ। |
cpu_resetn |
ਇੰਪੁੱਟ |
IP ਕੋਰ ਨੂੰ ਰੀਸੈੱਟ ਕਰਦਾ ਹੈ। ਕਿਰਿਆਸ਼ੀਲ ਘੱਟ। ਗਲੋਬਲ ਹਾਰਡ ਰੀਸੈਟ csr_reset_n ਨੂੰ IP ਕੋਰ 'ਤੇ ਚਲਾਉਂਦਾ ਹੈ। |
ਜਾਰੀ… |
ਇੰਟੇਲ ਕਾਰਪੋਰੇਸ਼ਨ. ਸਾਰੇ ਹੱਕ ਰਾਖਵੇਂ ਹਨ. Intel, Intel ਲੋਗੋ, ਅਤੇ ਹੋਰ Intel ਚਿੰਨ੍ਹ Intel ਕਾਰਪੋਰੇਸ਼ਨ ਜਾਂ ਇਸਦੀਆਂ ਸਹਾਇਕ ਕੰਪਨੀਆਂ ਦੇ ਟ੍ਰੇਡਮਾਰਕ ਹਨ। Intel ਆਪਣੇ FPGA ਅਤੇ ਸੈਮੀਕੰਡਕਟਰ ਉਤਪਾਦਾਂ ਦੀ ਕਾਰਗੁਜ਼ਾਰੀ ਦੀ ਵਾਰੰਟੀ Intel ਦੀ ਸਟੈਂਡਰਡ ਵਾਰੰਟੀ ਦੇ ਅਨੁਸਾਰ ਮੌਜੂਦਾ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦੇ ਅਨੁਸਾਰ ਕਰਦਾ ਹੈ, ਪਰ ਬਿਨਾਂ ਨੋਟਿਸ ਦੇ ਕਿਸੇ ਵੀ ਸਮੇਂ ਕਿਸੇ ਵੀ ਉਤਪਾਦ ਅਤੇ ਸੇਵਾਵਾਂ ਵਿੱਚ ਤਬਦੀਲੀਆਂ ਕਰਨ ਦਾ ਅਧਿਕਾਰ ਰਾਖਵਾਂ ਰੱਖਦਾ ਹੈ। ਇੰਟੇਲ ਇੱਥੇ ਵਰਣਿਤ ਕਿਸੇ ਵੀ ਜਾਣਕਾਰੀ, ਉਤਪਾਦ, ਜਾਂ ਸੇਵਾ ਦੀ ਐਪਲੀਕੇਸ਼ਨ ਜਾਂ ਵਰਤੋਂ ਤੋਂ ਪੈਦਾ ਹੋਣ ਵਾਲੀ ਕੋਈ ਜ਼ਿੰਮੇਵਾਰੀ ਜਾਂ ਜ਼ਿੰਮੇਵਾਰੀ ਨਹੀਂ ਲੈਂਦਾ, ਸਿਵਾਏ ਇੰਟੇਲ ਦੁਆਰਾ ਲਿਖਤੀ ਤੌਰ 'ਤੇ ਸਪੱਸ਼ਟ ਤੌਰ 'ਤੇ ਸਹਿਮਤ ਹੋਏ। Intel ਗਾਹਕਾਂ ਨੂੰ ਸਲਾਹ ਦਿੱਤੀ ਜਾਂਦੀ ਹੈ ਕਿ ਉਹ ਕਿਸੇ ਵੀ ਪ੍ਰਕਾਸ਼ਿਤ ਜਾਣਕਾਰੀ 'ਤੇ ਭਰੋਸਾ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ ਅਤੇ ਉਤਪਾਦਾਂ ਜਾਂ ਸੇਵਾਵਾਂ ਲਈ ਆਰਡਰ ਦੇਣ ਤੋਂ ਪਹਿਲਾਂ ਡਿਵਾਈਸ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦਾ ਨਵੀਨਤਮ ਸੰਸਕਰਣ ਪ੍ਰਾਪਤ ਕਰਨ। *ਹੋਰ ਨਾਵਾਂ ਅਤੇ ਬ੍ਰਾਂਡਾਂ 'ਤੇ ਦੂਜਿਆਂ ਦੀ ਸੰਪਤੀ ਵਜੋਂ ਦਾਅਵਾ ਕੀਤਾ ਜਾ ਸਕਦਾ ਹੈ।
ਸਿਗਨਲ | ਦਿਸ਼ਾ | ਟਿੱਪਣੀਆਂ |
tx_ਸੀਰੀਅਲ[1:0] | ਆਉਟਪੁੱਟ | ਟ੍ਰਾਂਸਸੀਵਰ PHY ਆਉਟਪੁੱਟ ਸੀਰੀਅਲ ਡੇਟਾ। |
rx_ਸੀਰੀਅਲ[1:0] | ਇੰਪੁੱਟ | ਟ੍ਰਾਂਸਸੀਵਰ PHY ਇਨਪੁਟ ਸੀਰੀਅਲ ਡੇਟਾ। |
user_led[7:0] |
ਆਉਟਪੁੱਟ |
ਸਥਿਤੀ ਸੰਕੇਤ। ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਇਹਨਾਂ ਬਿੱਟਾਂ ਨੂੰ ਟਾਰਗੇਟ ਬੋਰਡ 'ਤੇ LED ਨੂੰ ਚਲਾਉਣ ਲਈ ਜੋੜਦਾ ਹੈ। ਵਿਅਕਤੀਗਤ ਬਿੱਟ ਹੇਠਾਂ ਦਿੱਤੇ ਸਿਗਨਲ ਮੁੱਲਾਂ ਅਤੇ ਘੜੀ ਦੇ ਵਿਹਾਰ ਨੂੰ ਦਰਸਾਉਂਦੇ ਹਨ:
• [0]: IP ਕੋਰ ਲਈ ਮੁੱਖ ਰੀਸੈਟ ਸਿਗਨਲ • [1]: clk_ref ਦਾ ਵੰਡਿਆ ਹੋਇਆ ਸੰਸਕਰਣ • [2]: clk50 ਦਾ ਵੰਡਿਆ ਹੋਇਆ ਸੰਸਕਰਣ • [3]: 100 MHz ਸਥਿਤੀ ਘੜੀ ਦਾ ਵੰਡਿਆ ਸੰਸਕਰਣ • [4]: tx_lanes_stable • [5]: rx_block_lock • [6]: rx_am_lock • [7]: rx_pcs_ready |
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
ਇੰਟਰਫੇਸ ਅਤੇ ਸਿਗਨਲ ਵਰਣਨ 50GbE IP ਕੋਰ ਸਿਗਨਲਾਂ ਅਤੇ ਉਹਨਾਂ ਇੰਟਰਫੇਸਾਂ ਦੇ ਵਿਸਤ੍ਰਿਤ ਵਰਣਨ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ ਜਿਸ ਨਾਲ ਉਹ ਸੰਬੰਧਿਤ ਹਨ।
50GbE ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਰਜਿਸਟਰ
ਸਾਰਣੀ 5. 50GbE ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਰਜਿਸਟਰ ਦਾ ਨਕਸ਼ਾ
ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਐਕਸ ਲਈ ਮੈਮੋਰੀ ਮੈਪਡ ਰਜਿਸਟਰ ਰੇਂਜਾਂ ਨੂੰ ਸੂਚੀਬੱਧ ਕਰਦਾ ਹੈample. ਤੁਸੀਂ ਸਿਸਟਮ ਕੰਸੋਲ ਵਿੱਚ reg_read ਅਤੇ reg_write ਫੰਕਸ਼ਨਾਂ ਨਾਲ ਇਹਨਾਂ ਰਜਿਸਟਰਾਂ ਤੱਕ ਪਹੁੰਚ ਕਰਦੇ ਹੋ।
ਸ਼ਬਦ ਔਫਸੈੱਟ | ਸ਼੍ਰੇਣੀ ਰਜਿਸਟਰ ਕਰੋ |
0x300–0x5FF | 50GbE IP ਕੋਰ ਰਜਿਸਟਰ। |
0x4000–0x4C00 | ਅਰਰੀਆ 10 ਡਾਇਨਾਮਿਕ ਰੀਕਨਫਿਗਰੇਸ਼ਨ ਰਜਿਸਟਰ। ਰਜਿਸਟਰ ਦਾ ਅਧਾਰ ਪਤਾ ਲੇਨ 0 ਲਈ 4000x0 ਅਤੇ ਲੇਨ 0 ਲਈ 4400x1 ਹੈ। |
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
- 50GbE ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਦੀ ਜਾਂਚ ਕਰ ਰਿਹਾ ਹੈample ਪੰਨਾ 11 'ਤੇ ਸਿਸਟਮ ਕੰਸੋਲ IP ਕੋਰ ਅਤੇ ਨੇਟਿਵ PHY ਰਜਿਸਟਰਾਂ ਤੱਕ ਪਹੁੰਚ ਕਰਨ ਲਈ ਕਮਾਂਡਾਂ ਦਿੰਦਾ ਹੈ।
- 50GbE ਨਿਯੰਤਰਣ ਅਤੇ ਸਥਿਤੀ ਰਜਿਸਟਰ ਵਰਣਨ 50GbE IP ਕੋਰ ਰਜਿਸਟਰਾਂ ਦਾ ਵਰਣਨ ਕਰਦਾ ਹੈ।
ਦਸਤਾਵੇਜ਼ ਸੰਸ਼ੋਧਨ ਇਤਿਹਾਸ
ਟੇਬਲ 6. 50G ਈਥਰਨੈੱਟ ਡਿਜ਼ਾਈਨ ਐਕਸample ਯੂਜ਼ਰ ਗਾਈਡ ਰੀਵਿਜ਼ਨ ਇਤਿਹਾਸ
ਮਿਤੀ | ਜਾਰੀ ਕਰੋ | ਤਬਦੀਲੀਆਂ |
2019.04.03 | 17.0 | Xcelium ਸਿਮੂਲੇਸ਼ਨ ਨੂੰ ਚਲਾਉਣ ਲਈ ਕਮਾਂਡ ਸ਼ਾਮਲ ਕੀਤੀ ਗਈ। |
2017.11.08 |
17.0 |
KDB ਜਵਾਬ ਲਈ ਲਿੰਕ ਜੋੜਿਆ ਗਿਆ ਹੈ ਜੋ IP ਕੋਰ ਵਿੱਚ ATX PLLs ਨੂੰ ਕੈਸਕੇਡਿੰਗ ਕਰਕੇ Intel Arria® 10 ਡਿਵਾਈਸਾਂ 'ਤੇ ਸੰਭਾਵੀ ਘਬਰਾਹਟ ਲਈ ਹੱਲ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ।
ਨੂੰ ਵੇਖੋ ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਨਾ ਐਕਸample ਪੰਨਾ 7 ਅਤੇ ਕੰਪਾਈਲਿੰਗ ਅਤੇ ਡਿਜ਼ਾਈਨ ਦੀ ਸੰਰਚਨਾ ਕਰਨਾ ਸਾਬਕਾampਹਾਰਡਵੇਅਰ ਵਿੱਚ le ਪੰਨਾ 10 'ਤੇ. ਇਹ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਉਪਭੋਗਤਾ ਗਾਈਡ ਨੂੰ ਪ੍ਰਤੀਬਿੰਬਤ ਕਰਨ ਲਈ ਅਪਡੇਟ ਨਹੀਂ ਕੀਤਾ ਗਿਆ ਹੈ ਨੋਟ: Intel Quartus Prime ਵਿੱਚ ਡਿਜ਼ਾਈਨ ਜਨਰੇਸ਼ਨ ਵਿੱਚ ਮਾਮੂਲੀ ਤਬਦੀਲੀਆਂ Intel Quartus Prime ਸਾਫਟਵੇਅਰ ਰੀਲੀਜ਼ ਤੋਂ ਬਾਅਦ ਵਿੱਚ ਰਿਲੀਜ਼ ਹੁੰਦੀਆਂ ਹਨ v17.0. |
2017.05.08 | 17.0 | ਸ਼ੁਰੂਆਤੀ ਜਨਤਕ ਰਿਲੀਜ਼। |
ਇੰਟੇਲ ਕਾਰਪੋਰੇਸ਼ਨ. ਸਾਰੇ ਹੱਕ ਰਾਖਵੇਂ ਹਨ. Intel, Intel ਲੋਗੋ, ਅਤੇ ਹੋਰ Intel ਚਿੰਨ੍ਹ Intel ਕਾਰਪੋਰੇਸ਼ਨ ਜਾਂ ਇਸਦੀਆਂ ਸਹਾਇਕ ਕੰਪਨੀਆਂ ਦੇ ਟ੍ਰੇਡਮਾਰਕ ਹਨ। Intel ਆਪਣੇ FPGA ਅਤੇ ਸੈਮੀਕੰਡਕਟਰ ਉਤਪਾਦਾਂ ਦੀ ਕਾਰਗੁਜ਼ਾਰੀ ਦੀ ਵਾਰੰਟੀ Intel ਦੀ ਸਟੈਂਡਰਡ ਵਾਰੰਟੀ ਦੇ ਅਨੁਸਾਰ ਮੌਜੂਦਾ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦੇ ਅਨੁਸਾਰ ਕਰਦਾ ਹੈ, ਪਰ ਬਿਨਾਂ ਨੋਟਿਸ ਦੇ ਕਿਸੇ ਵੀ ਸਮੇਂ ਕਿਸੇ ਵੀ ਉਤਪਾਦ ਅਤੇ ਸੇਵਾਵਾਂ ਵਿੱਚ ਤਬਦੀਲੀਆਂ ਕਰਨ ਦਾ ਅਧਿਕਾਰ ਰਾਖਵਾਂ ਰੱਖਦਾ ਹੈ। ਇੰਟੇਲ ਇੱਥੇ ਵਰਣਿਤ ਕਿਸੇ ਵੀ ਜਾਣਕਾਰੀ, ਉਤਪਾਦ, ਜਾਂ ਸੇਵਾ ਦੀ ਐਪਲੀਕੇਸ਼ਨ ਜਾਂ ਵਰਤੋਂ ਤੋਂ ਪੈਦਾ ਹੋਣ ਵਾਲੀ ਕੋਈ ਜ਼ਿੰਮੇਵਾਰੀ ਜਾਂ ਜ਼ਿੰਮੇਵਾਰੀ ਨਹੀਂ ਲੈਂਦਾ, ਸਿਵਾਏ ਇੰਟੇਲ ਦੁਆਰਾ ਲਿਖਤੀ ਤੌਰ 'ਤੇ ਸਪੱਸ਼ਟ ਤੌਰ 'ਤੇ ਸਹਿਮਤ ਹੋਏ। Intel ਗਾਹਕਾਂ ਨੂੰ ਸਲਾਹ ਦਿੱਤੀ ਜਾਂਦੀ ਹੈ ਕਿ ਉਹ ਕਿਸੇ ਵੀ ਪ੍ਰਕਾਸ਼ਿਤ ਜਾਣਕਾਰੀ 'ਤੇ ਭਰੋਸਾ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ ਅਤੇ ਉਤਪਾਦਾਂ ਜਾਂ ਸੇਵਾਵਾਂ ਲਈ ਆਰਡਰ ਦੇਣ ਤੋਂ ਪਹਿਲਾਂ ਡਿਵਾਈਸ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦਾ ਨਵੀਨਤਮ ਸੰਸਕਰਣ ਪ੍ਰਾਪਤ ਕਰਨ। *ਹੋਰ ਨਾਵਾਂ ਅਤੇ ਬ੍ਰਾਂਡਾਂ 'ਤੇ ਦੂਜਿਆਂ ਦੀ ਸੰਪਤੀ ਵਜੋਂ ਦਾਅਵਾ ਕੀਤਾ ਜਾ ਸਕਦਾ ਹੈ।
ਦਸਤਾਵੇਜ਼ / ਸਰੋਤ
![]() |
intel 50G ਈਥਰਨੈੱਟ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample [pdf] ਯੂਜ਼ਰ ਗਾਈਡ 50G ਈਥਰਨੈੱਟ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample, 50G, ਈਥਰਨੈੱਟ ਡਿਜ਼ਾਈਨ ਐਕਸample, ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample |