intel 50G ఈథర్నెట్ డిజైన్ Example
50GbE త్వరిత ప్రారంభ గైడ్
50GbE IP కోర్ అనుకరణ టెస్ట్బెంచ్ మరియు హార్డ్వేర్ డిజైన్ మాజీని అందిస్తుందిampసంకలనం మరియు హార్డ్వేర్ పరీక్షలకు మద్దతు ఇచ్చే le. మీరు డిజైన్ను రూపొందించినప్పుడు example, పారామీటర్ ఎడిటర్ స్వయంచాలకంగా సృష్టిస్తుంది fileహార్డ్వేర్లో డిజైన్ను అనుకరించడం, కంపైల్ చేయడం మరియు పరీక్షించడం అవసరం. మీరు సంకలనం చేసిన హార్డ్వేర్ డిజైన్ను Arria 10 GT పరికరానికి డౌన్లోడ్ చేసుకోవచ్చు.
గమనిక: ఈ డిజైన్ మాజీample Arria 10 GT పరికరాన్ని లక్ష్యంగా చేసుకుంటుంది మరియు 25G రీటైమర్ అవసరం. ఈ హార్డ్వేర్ మాజీని అమలు చేయడానికి తగిన ప్లాట్ఫారమ్ గురించి విచారించడానికి దయచేసి మీ Intel FPGA ప్రతినిధిని సంప్రదించండిample. కొన్ని సందర్భాల్లో తగిన హార్డ్వేర్ రుణం అందుబాటులో ఉండవచ్చు. అదనంగా, ఇంటెల్ సంకలనం-మాత్రమే మాజీని అందిస్తుందిampIP కోర్ ప్రాంతం మరియు సమయాన్ని త్వరగా అంచనా వేయడానికి మీరు ఉపయోగించగల ప్రాజెక్ట్.
మూర్తి 1. డిజైన్ Example వినియోగం
ఇంటెల్ కార్పొరేషన్. అన్ని హక్కులు ప్రత్యేకించబడ్డాయి. ఇంటెల్, ఇంటెల్ లోగో మరియు ఇతర ఇంటెల్ గుర్తులు ఇంటెల్ కార్పొరేషన్ లేదా దాని అనుబంధ సంస్థల ట్రేడ్మార్క్లు. Intel దాని FPGA మరియు సెమీకండక్టర్ ఉత్పత్తుల పనితీరును ఇంటెల్ యొక్క ప్రామాణిక వారంటీకి అనుగుణంగా ప్రస్తుత స్పెసిఫికేషన్లకు హామీ ఇస్తుంది, అయితే నోటీసు లేకుండా ఏ సమయంలోనైనా ఏదైనా ఉత్పత్తులు మరియు సేవలకు మార్పులు చేసే హక్కును కలిగి ఉంది. ఇంటెల్ వ్రాతపూర్వకంగా అంగీకరించినట్లు మినహా ఇక్కడ వివరించిన ఏదైనా సమాచారం, ఉత్పత్తి లేదా సేవ యొక్క అప్లికేషన్ లేదా ఉపయోగం నుండి ఉత్పన్నమయ్యే బాధ్యత లేదా బాధ్యతను Intel తీసుకోదు. ఇంటెల్ కస్టమర్లు ఏదైనా ప్రచురించబడిన సమాచారంపై ఆధారపడే ముందు మరియు ఉత్పత్తులు లేదా సేవల కోసం ఆర్డర్లు చేసే ముందు పరికర నిర్దేశాల యొక్క తాజా వెర్షన్ను పొందాలని సూచించారు. *ఇతర పేర్లు మరియు బ్రాండ్లను ఇతరుల ఆస్తిగా క్లెయిమ్ చేయవచ్చు.
డిజైన్ ఎక్స్ample డైరెక్టరీ నిర్మాణం
మూర్తి 2. 50GbE డిజైన్ Example డైరెక్టరీ నిర్మాణం
హార్డ్వేర్ కాన్ఫిగరేషన్ మరియు పరీక్ష files (హార్డ్వేర్ డిజైన్ ఉదాample) లో ఉన్నాయిample_dir>/hardware_test_design. అనుకరణ files (అనుకరణ కోసం మాత్రమే టెస్ట్ బెంచ్) లో ఉన్నాయిample_dir>/ ఉదాample_testbench. సంకలనం-మాత్రమే డిజైన్ మాజీample లో ఉందిample_dir>/compilation_test_design.
అనుకరణ డిజైన్ Example భాగాలు
మూర్తి 3. 50GbE అనుకరణ డిజైన్ Example బ్లాక్ రేఖాచిత్రం
అనుకరణ example డిజైన్ ఉన్నత-స్థాయి పరీక్ష file ప్రాథమిక_avl_tb_top.sv ఇది file ATX PLLని తక్షణం చేస్తుంది మరియు కనెక్ట్ చేస్తుంది. ఇది 50 ప్యాకెట్లను పంపడానికి మరియు స్వీకరించడానికి ఒక టాస్క్, send_packets_10g_avlని కలిగి ఉంటుంది.
టేబుల్ 1. 50GbE IP కోర్ టెస్ట్బెంచ్ File వివరణలు
File పేరు | వివరణ |
టెస్ట్బెంచ్ మరియు అనుకరణ Files | |
ప్రాథమిక_avl_tb_top.sv | అత్యున్నత స్థాయి టెస్ట్బెంచ్ file. టెస్ట్బెంచ్ DUTని ప్రారంభిస్తుంది మరియు ప్యాకెట్లను రూపొందించడానికి మరియు ఆమోదించడానికి Verilog HDL టాస్క్లను అమలు చేస్తుంది. |
టెస్ట్బెంచ్ స్క్రిప్ట్లు | |
run_vsim.do | టెస్ట్బెంచ్ను అమలు చేయడానికి మోడల్సిమ్ స్క్రిప్ట్. |
run_vcs.sh | టెస్ట్బెంచ్ను అమలు చేయడానికి Synopsys VCS స్క్రిప్ట్. |
run_ncsim.sh | టెస్ట్బెంచ్ను అమలు చేయడానికి కాడెన్స్ NCSim స్క్రిప్ట్. |
run_xcelium.sh | టెస్ట్బెంచ్ను అమలు చేయడానికి Cadence Xcelium* స్క్రిప్ట్. |
rdware డిజైన్ Example భాగాలు
మూర్తి 4. 50GbE హార్డ్వేర్ డిజైన్ Example ఉన్నత స్థాయి బ్లాక్ రేఖాచిత్రం
50GbE హార్డ్వేర్ డిజైన్ మాజీample కింది భాగాలను కలిగి ఉంటుంది
- 50GbE IP కోర్.
- IP కోర్ మరియు ప్యాకెట్ జనరేషన్ యొక్క ప్రోగ్రామింగ్ను సమన్వయం చేసే క్లయింట్ లాజిక్.
- పరికర ట్రాన్స్సీవర్ ఛానెల్లను నడపడానికి ATX PLL.
- IOPLL 100 MHz గడియారాన్ని 50 MHz ఇన్పుట్ క్లాక్ నుండి హార్డ్వేర్ డిజైన్ ఎక్స్కు ఉత్పత్తి చేస్తుందిample.
- JTAG సిస్టమ్ కన్సోల్తో కమ్యూనికేట్ చేసే కంట్రోలర్. మీరు సిస్టమ్ కన్సోల్ ద్వారా క్లయింట్ లాజిక్తో కమ్యూనికేట్ చేస్తారు.
టేబుల్ 2. 50GbE IP కోర్ హార్డ్వేర్ డిజైన్ ఎక్స్ample File వివరణలు
File పేర్లు | వివరణ |
eth_ex_50g.qpf | క్వార్టస్ ప్రైమ్ ప్రాజెక్ట్ file |
eth_ex_50g.qsf | క్వార్టస్ ప్రాజెక్ట్ సెట్టింగ్లు file |
eth_ex_50g.sdc | సారాంశ రూపకల్పన పరిమితులు file. మీరు దీన్ని కాపీ చేసి సవరించవచ్చు file మీ స్వంత 50GbE డిజైన్ కోసం. |
కొనసాగింది… |
50GbE త్వరిత ప్రారంభ గైడ్
File పేర్లు | వివరణ |
eth_ex_50g.v | అగ్ర-స్థాయి వెరిలాగ్ HDL డిజైన్ మాజీample file |
సాధారణ/ | హార్డ్వేర్ డిజైన్ మాజీample మద్దతు files |
hwtest/main.tcl | ప్రధాన file సిస్టమ్ కన్సోల్ని యాక్సెస్ చేయడం కోసం |
డిజైన్ ఎక్స్ని రూపొందిస్తోందిample
మూర్తి 5. విధానం
మూర్తి 6. ఉదాamp50GbE పారామీటర్ ఎడిటర్లో డిజైన్ ట్యాబ్
హార్డ్వేర్ డిజైన్ను రూపొందించడానికి ఈ దశలను అనుసరించండిample మరియు టెస్ట్బెంచ్
- మీరు Intel Quartus® Prime Pro ఎడిషన్ సాఫ్ట్వేర్ లేదా Intel Quartus Prime స్టాండర్డ్ ఎడిషన్ సాఫ్ట్వేర్ని ఉపయోగిస్తున్నారా అనే దానిపై ఆధారపడి, కింది చర్యలలో ఒకదాన్ని చేయండి: Intel Quartus Prime Pro ఎడిషన్లో, క్లిక్ చేయండి File ➤ కొత్త క్వార్టస్ ప్రైమ్ ప్రాజెక్ట్ని సృష్టించడానికి కొత్త ప్రాజెక్ట్ విజార్డ్, లేదా File ➤ ఇప్పటికే ఉన్న క్వార్టస్ ప్రైమ్ ప్రాజెక్ట్ను తెరవడానికి ప్రాజెక్ట్ను తెరవండి. పరికరాన్ని పేర్కొనమని విజర్డ్ మిమ్మల్ని అడుగుతుంది. ఇంటెల్ క్వార్టస్ ప్రైమ్ స్టాండర్డ్ ఎడిషన్ సాఫ్ట్వేర్లో, IP కేటలాగ్ (టూల్స్ IP కేటలాగ్)లో, అర్రియా 10 టార్గెట్ పరికర కుటుంబాన్ని ఎంచుకోండి.
- IP కేటలాగ్లో, 50G ఈథర్నెట్ని గుర్తించి, ఎంచుకోండి. కొత్త IP వేరియేషన్ విండో కనిపిస్తుంది.
- మీ IP వైవిధ్యం కోసం ఉన్నత-స్థాయి పేరును పేర్కొనండి మరియు సరే క్లిక్ చేయండి. పారామీటర్ ఎడిటర్ అగ్ర-స్థాయి .qsys (ఇంటెల్ క్వార్టస్ ప్రైమ్ స్టాండర్డ్ ఎడిషన్లో) లేదా .ip (ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్లో) జోడిస్తుంది. file స్వయంచాలకంగా ప్రస్తుత ప్రాజెక్ట్కి. మీరు .qsys లేదా .ipని మాన్యువల్గా జోడించమని ప్రాంప్ట్ చేయబడితే file ప్రాజెక్ట్కి, ప్రాజెక్ట్ ➤ జోడించు/తీసివేయి క్లిక్ చేయండి Fileజోడించడానికి ప్రాజెక్ట్లో లు file.
- ఇంటెల్ క్వార్టస్ ప్రైమ్ స్టాండర్డ్ ఎడిషన్ సాఫ్ట్వేర్లో, మీరు తప్పనిసరిగా డివైస్ ఫీల్డ్లో నిర్దిష్ట అర్రియా 10 పరికరాన్ని ఎంచుకోవాలి లేదా క్వార్టస్ ప్రైమ్ సాఫ్ట్వేర్ ప్రతిపాదించిన డిఫాల్ట్ పరికరాన్ని ఉంచాలి.
గమనిక: హార్డ్వేర్ డిజైన్ మాజీample టార్గెట్ బోర్డ్లోని పరికరంతో ఎంపికను ఓవర్రైట్ చేస్తుంది. మీరు మాజీ డిజైన్ మెను నుండి టార్గెట్ బోర్డ్ను పేర్కొనండిampEx లో le ఎంపికలుampలే డిజైన్ ట్యాబ్ (స్టెప్ 8). - సరే క్లిక్ చేయండి. పారామీటర్ ఎడిటర్ కనిపిస్తుంది.
- IP ట్యాబ్లో, మీ IP కోర్ వైవిధ్యం కోసం పారామితులను పేర్కొనండి.
- మాజీలోample డిజైన్ ట్యాబ్, Exampలే డిజైన్ Files, టెస్ట్బెంచ్ను రూపొందించడానికి అనుకరణ ఎంపికను ఎంచుకోండి మరియు హార్డ్వేర్ డిజైన్ను రూపొందించడానికి సింథసిస్ ఎంపికను ఎంచుకోండి.ample. వెరిలోగ్ HDL మాత్రమే fileలు ఉత్పత్తి చేయబడతాయి.
గమనిక: ఫంక్షనల్ VHDL IP కోర్ అందుబాటులో లేదు. మీ IP కోర్ డిజైన్ కోసం వెరిలాగ్ HDLని మాత్రమే పేర్కొనండిample. - హార్డ్వేర్ బోర్డ్ కోసం అర్రియా 10 GX ట్రాన్స్సీవర్ సిగ్నల్ ఇంటెగ్రిటీ డెవలప్మెంట్ కిట్ను ఎంచుకోండి.
గమనిక: ఈ హార్డ్వేర్ మాజీని అమలు చేయడానికి తగిన ప్లాట్ఫారమ్ గురించి సమాచారం కోసం మీ Intel FPGA ప్రతినిధిని సంప్రదించండిample. - జెనరేట్ ఎక్స్ని క్లిక్ చేయండిample డిజైన్ బటన్. సెలెక్ట్ ఎక్స్ample డిజైన్ డైరెక్టరీ విండో కనిపిస్తుంది.
- మీరు డిజైన్ను సవరించాలనుకుంటే మాజీampప్రదర్శించబడే డిఫాల్ట్ల నుండి le డైరెక్టరీ మార్గం లేదా పేరు (alt_e50_0_example_design), కొత్త మార్గాన్ని బ్రౌజ్ చేయండి మరియు కొత్త డిజైన్ను టైప్ చేయండి example డైరెక్టరీ పేరు (ample_dir>).
- సరే క్లిక్ చేయండి.
- KDB సమాధానాన్ని చూడండి. Arria 10 PLL రిఫరెన్స్ గడియారం కోసం PLL క్యాస్కేడింగ్ లేదా నాన్-డెడికేటెడ్ క్లాక్ పాత్ను నేను ఎలా భర్తీ చేయాలి? పరిష్కారానికి మీరు .sdcలోని hardware_test_design డైరెక్టరీలో దరఖాస్తు చేయాలి file.
గమనిక: 50GbE IP కోర్లోని RX పాత్ క్యాస్కేడ్ PLLలను కలిగి ఉన్నందున మీరు ఈ KDB సమాధానాన్ని తప్పనిసరిగా సంప్రదించాలి. అందువల్ల, అరియా 10 పరికరాలలో IP కోర్ గడియారాలు అదనపు గందరగోళాన్ని అనుభవించవచ్చు. ఈ KDB సమాధానం సాఫ్ట్వేర్ విడుదలలను స్పష్టం చేస్తుంది, దీనిలో ప్రత్యామ్నాయం అవసరం.
సంబంధిత సమాచారం
KDB సమాధానం: Arria 10 PLL రిఫరెన్స్ గడియారం కోసం PLL క్యాస్కేడింగ్ లేదా నాన్-డెడికేటెడ్ క్లాక్ పాత్ను నేను ఎలా భర్తీ చేయాలి?
50GbE డిజైన్ ఎక్స్ని అనుకరిస్తోందిample టెస్ట్బెంచ్
మూర్తి 7. విధానం
టెస్ట్బెంచ్ను అనుకరించడానికి ఈ దశలను అనుసరించండి
- టెస్ట్బెంచ్ సిమ్యులేషన్ డైరెక్టరీకి మార్చండిample_dir>/ ఉదాample_testbench.
- మీకు నచ్చిన మద్దతు ఉన్న సిమ్యులేటర్ కోసం అనుకరణ స్క్రిప్ట్ను అమలు చేయండి. స్క్రిప్ట్ సిమ్యులేటర్లో టెస్ట్బెంచ్ను కంపైల్ చేస్తుంది మరియు అమలు చేస్తుంది. "టెస్ట్బెంచ్ను అనుకరించే దశలు" పట్టికను చూడండి.
- ఫలితాలను విశ్లేషించండి. విజయవంతమైన టెస్ట్బెంచ్ పది ప్యాకెట్లను పంపుతుంది, పది ప్యాకెట్లను అందుకుంటుంది మరియు “టెస్ట్బెంచ్ పూర్తయింది” అని ప్రదర్శిస్తుంది.
టేబుల్ 3. టెస్ట్బెంచ్ను అనుకరించే దశలు
సిమ్యులేటర్ | సూచనలు |
మోడల్సిమ్ | కమాండ్ లైన్లో, vsim -do run_vsim.do అని టైప్ చేయండి
మీరు ModelSim GUIని తీసుకురాకుండా అనుకరించాలనుకుంటే, vsim -c -do run_vsim.do అని టైప్ చేయండి గమనిక: ModelSim* – Intel FPGA ఎడిషన్ సిమ్యులేటర్కి ఈ IP కోర్ని అనుకరించే సామర్థ్యం లేదు. మీరు ModelSim SE వంటి మరొక మద్దతు ఉన్న ModelSim సిమ్యులేటర్ని తప్పనిసరిగా ఉపయోగించాలి. |
NCSim | కమాండ్ లైన్లో, sh run_ncsim.sh అని టైప్ చేయండి |
VCS | కమాండ్ లైన్లో, sh run_vcs.sh అని టైప్ చేయండి |
Xcelium | కమాండ్ లైన్లో, sh run_xcelium.sh అని టైప్ చేయండి |
విజయవంతమైన టెస్ట్ రన్ క్రింది ప్రవర్తనను నిర్ధారిస్తూ అవుట్పుట్ని ప్రదర్శిస్తుంది
- RX గడియారం స్థిరపడటానికి వేచి ఉంది
- PHY స్థితిని ముద్రిస్తోంది
- 10 ప్యాకెట్లను పంపుతోంది
- 10 ప్యాకెట్లు అందుతున్నాయి
- "టెస్ట్బెంచ్ పూర్తయింది"ని ప్రదర్శిస్తోంది.
కింది ఎస్ample అవుట్పుట్ విజయవంతమైన అనుకరణ పరీక్ష పరుగును వివరిస్తుంది
- #Ref గడియారం 625 MHz వద్ద నడుస్తుంది కాబట్టి పూర్ణ సంఖ్యలను అన్ని గడియార కాలాల కోసం ఉపయోగించవచ్చు.
- #అసలు గడియార పౌనఃపున్యాలను పొందడానికి నివేదించబడిన ఫ్రీక్వెన్సీలను 33/32తో గుణించండి.
- #RX అమరిక కోసం వేచి ఉంది
- #RX డెస్కీ లాక్ చేయబడింది
- #RX లేన్ అమరిక లాక్ చేయబడింది
- #TX ప్రారంభించబడింది
- #**ప్యాకెట్ 1 పంపుతోంది…
- #**ప్యాకెట్ 2 పంపుతోంది…
- #**ప్యాకెట్ 3 పంపుతోంది…
- #**ప్యాకెట్ 4 పంపుతోంది…
- #**ప్యాకెట్ 5 పంపుతోంది…
- #**ప్యాకెట్ 6 పంపుతోంది…
- #**ప్యాకెట్ 7 పంపుతోంది…
- #** ప్యాకెట్ 1 అందుకుంది…
- #**ప్యాకెట్ 8 పంపుతోంది…
- #** ప్యాకెట్ 2 అందుకుంది…
- #**ప్యాకెట్ 9 పంపుతోంది…
- #** ప్యాకెట్ 3 అందుకుంది…
- #**ప్యాకెట్ 10 పంపుతోంది…
- #** ప్యాకెట్ 4 అందుకుంది…
- #** ప్యాకెట్ 5 అందుకుంది…
- #** ప్యాకెట్ 6 అందుకుంది…
- #** ప్యాకెట్ 7 అందుకుంది…
- #** ప్యాకెట్ 8 అందుకుంది…
- #** ప్యాకెట్ 9 అందుకుంది…
- #** ప్యాకెట్ 10 అందుకుంది…
- #**
- #** టెస్ట్బెంచ్ పూర్తయింది.
- #**
- #*******************************************
డిజైన్ ఎక్స్ని కంపైల్ చేయడం మరియు కాన్ఫిగర్ చేయడంampహార్డ్వేర్లో లే
హార్డ్వేర్ డిజైన్ను కంపైల్ చేయడానికి మాజీample మరియు దానిని మీ Arria 10 GT పరికరంలో కాన్ఫిగర్ చేయండి, ఈ దశలను అనుసరించండి
- హార్డ్వేర్ డిజైన్ను నిర్ధారించుకోండిample తరం పూర్తయింది.
- ఇంటెల్ క్వార్టస్ ప్రైమ్ సాఫ్ట్వేర్లో, ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రాజెక్ట్ను తెరవండిample_dir>/hardware_test_design/eth_ex_50g.qpf.
- కంపైల్ చేయడానికి ముందు, మీరు KDB సమాధానం నుండి పరిష్కారాన్ని అమలు చేశారని నిర్ధారించుకోండి, నేను PLL క్యాస్కేడింగ్ లేదా అర్రియా 10 PLL రిఫరెన్స్ క్లాక్ కోసం నాన్-డెడికేటెడ్ క్లాక్ పాత్ను ఎలా భర్తీ చేయాలి? మీ సాఫ్ట్వేర్ విడుదలకు సంబంధించినది అయితే.
- ప్రాసెసింగ్ మెనులో, కంపైలేషన్ ప్రారంభించు క్లిక్ చేయండి.
- మీరు SRAM వస్తువును రూపొందించిన తర్వాత file .sof, హార్డ్వేర్ డిజైన్ మాజీని ప్రోగ్రామ్ చేయడానికి ఈ దశలను అనుసరించండిampArria 10 పరికరంలో le:
- టూల్స్ మెనులో, ప్రోగ్రామర్ క్లిక్ చేయండి.
- ప్రోగ్రామర్లో, హార్డ్వేర్ సెటప్ క్లిక్ చేయండి.
- ప్రోగ్రామింగ్ పరికరాన్ని ఎంచుకోండి.
- మీ ఇంటెల్ క్వార్టస్ ప్రైమ్ సెషన్కు 10G రీటైమర్తో Arria 25 GT బోర్డ్ను ఎంచుకుని, జోడించండి.
- మోడ్ J కి సెట్ చేయబడిందని నిర్ధారించుకోండిTAG.
- Arria 10 పరికరాన్ని ఎంచుకుని, పరికరాన్ని జోడించు క్లిక్ చేయండి. ప్రోగ్రామర్ మీ బోర్డ్లోని పరికరాల మధ్య కనెక్షన్ల బ్లాక్ రేఖాచిత్రాన్ని ప్రదర్శిస్తుంది.
- మీ .sof ఉన్న వరుసలో, .sof కోసం బాక్స్ను చెక్ చేయండి.
- ప్రోగ్రామ్/కాన్ఫిగర్ కాలమ్లోని పెట్టెను ఎంచుకోండి.
- ప్రారంభం క్లిక్ చేయండి
గమనిక: ఈ డిజైన్ మాజీample Arria 10 GT పరికరాన్ని లక్ష్యంగా చేసుకుంది. ఈ హార్డ్వేర్ మాజీని అమలు చేయడానికి తగిన ప్లాట్ఫారమ్ గురించి విచారించడానికి దయచేసి మీ Intel FPGA ప్రతినిధిని సంప్రదించండిample
సంబంధిత సమాచారం
- KDB సమాధానం: Arria 10 PLL రిఫరెన్స్ గడియారం కోసం PLL క్యాస్కేడింగ్ లేదా నాన్డెడికేటెడ్ క్లాక్ పాత్ను నేను ఎలా భర్తీ చేయాలి?
- క్రమానుగత మరియు జట్టు-ఆధారిత డిజైన్ కోసం ఇంక్రిమెంటల్ కంపైలేషన్
- ప్రోగ్రామింగ్ Intel FPGA పరికరాలు
50GbE హార్డ్వేర్ డిజైన్ ఎక్స్ని పరీక్షిస్తోందిample
మీరు 50GbE IP కోర్ డిజైన్ను కంపైల్ చేసిన తర్వాతample మరియు దానిని మీ Arria 10 GT పరికరంలో కాన్ఫిగర్ చేయండి, మీరు IP కోర్ మరియు దాని ఎంబెడెడ్ స్థానిక PHY IP కోర్ రిజిస్టర్లను ప్రోగ్రామ్ చేయడానికి సిస్టమ్ కన్సోల్ను ఉపయోగించవచ్చు. సిస్టమ్ కన్సోల్ని ఆన్ చేసి, హార్డ్వేర్ డిజైన్ని పరీక్షించడానికి మాజీample, ఈ దశలను అనుసరించండి:
- హార్డ్వేర్ డిజైన్ తర్వాత మాజీample Arria 10 పరికరంలో కాన్ఫిగర్ చేయబడింది, Intel Quartus Prime సాఫ్ట్వేర్లో, టూల్స్ మెనులో, సిస్టమ్ డీబగ్గింగ్ టూల్స్ ➤ సిస్టమ్ కన్సోల్ క్లిక్ చేయండి.
- Tcl కన్సోల్ పేన్లో, డైరెక్టరీని మార్చడానికి cd hwtest టైప్ చేయండిample_dir>/hardware_test_design/hwtest.
- J కి కనెక్షన్ని తెరవడానికి source main.tcl అని టైప్ చేయండిTAG మాస్టర్.
మీరు ఈ క్రింది డిజైన్తో IP కోర్ని ప్రోగ్రామ్ చేయవచ్చుample ఆదేశాలు
- chkphy_status: క్లాక్ ఫ్రీక్వెన్సీలు మరియు PHY లాక్ స్థితిని ప్రదర్శిస్తుంది.
- start_pkt_gen: ప్యాకెట్ జనరేటర్ను ప్రారంభిస్తుంది.
- stop_pkt_gen: ప్యాకెట్ జనరేటర్ను ఆపివేస్తుంది.
- loop_on: అంతర్గత సీరియల్ లూప్బ్యాక్ను ఆన్ చేస్తుంది
- loop_off: అంతర్గత సీరియల్ లూప్బ్యాక్ను ఆఫ్ చేస్తుంది.
- reg_read : వద్ద IP కోర్ రిజిస్టర్ విలువను అందిస్తుంది .
- reg_write : వ్రాస్తాడు చిరునామా వద్ద IP కోర్ రిజిస్టర్కు .
సంబంధిత సమాచారం
- 50GbE డిజైన్ Example రిజిస్టర్లు పేజీ 13 హార్డ్వేర్ డిజైన్ కోసం మ్యాప్ను నమోదు చేయండిample.
- సిస్టమ్ కన్సోల్తో డిజైన్లను విశ్లేషించడం మరియు డీబగ్గింగ్ చేయడం
డిజైన్ ఎక్స్ampలే వివరణ
డిజైన్ మాజీampIEEE 50ba ప్రామాణిక CAUI-802.3 స్పెసిఫికేషన్కు అనుగుణంగా ట్రాన్స్సీవర్ ఇంటర్ఫేస్తో 4GbE కోర్ యొక్క విధులను le ప్రదర్శిస్తుంది. మీరు Ex నుండి డిజైన్ను రూపొందించవచ్చుamp50GbE పారామీటర్ ఎడిటర్లో డిజైన్ ట్యాబ్. డిజైన్ను రూపొందించడానికి మాజీampఉదాహరణకు, మీరు ముందుగా మీ తుది ఉత్పత్తిలో ఉత్పత్తి చేయాలనుకుంటున్న IP కోర్ వైవిధ్యం కోసం పారామీటర్ విలువలను సెట్ చేయాలి. డిజైన్ను రూపొందించడం మాజీample IP కోర్ యొక్క కాపీని సృష్టిస్తుంది; టెస్ట్బెంచ్ మరియు హార్డ్వేర్ డిజైన్ మాజీampఈ వైవిధ్యాన్ని DUTగా ఉపయోగించండి. మీరు మీ తుది ఉత్పత్తిలో పారామీటర్ విలువలతో సరిపోలడానికి DUT కోసం పారామీటర్ విలువలను సెట్ చేయకుంటే, డిజైన్ ఎక్స్ampమీరు రూపొందించినది మీరు ఉద్దేశించిన IP కోర్ వైవిధ్యాన్ని అమలు చేయదు.
గమనిక: టెస్ట్బెంచ్ IP కోర్ యొక్క ప్రాథమిక పరీక్షను ప్రదర్శిస్తుంది. ఇది పూర్తి ధృవీకరణ వాతావరణానికి ప్రత్యామ్నాయంగా ఉద్దేశించబడలేదు. మీరు అనుకరణ మరియు హార్డ్వేర్లో మీ స్వంత 50GbE డిజైన్ యొక్క మరింత విస్తృతమైన ధృవీకరణను తప్పనిసరిగా నిర్వహించాలి.
సంబంధిత సమాచారం
Intel Arria® 10 50Gbps ఈథర్నెట్ IP కోర్ యూజర్ గైడ్
డిజైన్ ఎక్స్ample ప్రవర్తన
టెస్ట్బెంచ్ IP కోర్ ద్వారా ట్రాఫిక్ను పంపుతుంది, ట్రాన్స్మిట్ వైపు వ్యాయామం చేస్తుంది మరియు IP కోర్ వైపు అందుకుంటుంది. హార్డ్వేర్ డిజైన్లో మాజీampఅలాగే, మీరు అంతర్గత సీరియల్ లూప్బ్యాక్ మోడ్లో IP కోర్ను ప్రోగ్రామ్ చేయవచ్చు మరియు రిసీవ్ సైడ్ ద్వారా తిరిగి లూప్ చేసే ట్రాన్స్మిట్ వైపు ట్రాఫిక్ను రూపొందించవచ్చు.
డిజైన్ ఎక్స్ample ఇంటర్ఫేస్ సిగ్నల్స్
50GbE టెస్ట్బెంచ్ స్వీయ-నియంత్రణ మరియు మీరు ఇన్పుట్ సిగ్నల్లను డ్రైవ్ చేయాల్సిన అవసరం లేదు.
టేబుల్ 4. 50GbE హార్డ్వేర్ డిజైన్ ఎక్స్ample ఇంటర్ఫేస్ సిగ్నల్స్
సిగ్నల్ | దిశ | వ్యాఖ్యలు |
clk50 |
ఇన్పుట్ |
50 MHz వద్ద డ్రైవ్ చేయండి. బోర్డ్లోని 50 Mhz ఓసిలేటర్ నుండి దీన్ని నడపడం ఉద్దేశం. |
clk_ref | ఇన్పుట్ | 644.53125 MHz వద్ద డ్రైవ్ చేయండి. |
cpu_resetn |
ఇన్పుట్ |
IP కోర్ని రీసెట్ చేస్తుంది. యాక్టివ్ తక్కువ. గ్లోబల్ హార్డ్ రీసెట్ csr_reset_nని IP కోర్కి డ్రైవ్ చేస్తుంది. |
కొనసాగింది… |
ఇంటెల్ కార్పొరేషన్. అన్ని హక్కులు ప్రత్యేకించబడ్డాయి. ఇంటెల్, ఇంటెల్ లోగో మరియు ఇతర ఇంటెల్ గుర్తులు ఇంటెల్ కార్పొరేషన్ లేదా దాని అనుబంధ సంస్థల ట్రేడ్మార్క్లు. Intel దాని FPGA మరియు సెమీకండక్టర్ ఉత్పత్తుల పనితీరును ఇంటెల్ యొక్క ప్రామాణిక వారంటీకి అనుగుణంగా ప్రస్తుత స్పెసిఫికేషన్లకు హామీ ఇస్తుంది, అయితే నోటీసు లేకుండా ఏ సమయంలోనైనా ఏదైనా ఉత్పత్తులు మరియు సేవలకు మార్పులు చేసే హక్కును కలిగి ఉంది. ఇంటెల్ వ్రాతపూర్వకంగా అంగీకరించినట్లు మినహా ఇక్కడ వివరించిన ఏదైనా సమాచారం, ఉత్పత్తి లేదా సేవ యొక్క అప్లికేషన్ లేదా ఉపయోగం నుండి ఉత్పన్నమయ్యే బాధ్యత లేదా బాధ్యతను Intel తీసుకోదు. ఇంటెల్ కస్టమర్లు ఏదైనా ప్రచురించబడిన సమాచారంపై ఆధారపడే ముందు మరియు ఉత్పత్తులు లేదా సేవల కోసం ఆర్డర్లు చేసే ముందు పరికర నిర్దేశాల యొక్క తాజా వెర్షన్ను పొందాలని సూచించారు. *ఇతర పేర్లు మరియు బ్రాండ్లను ఇతరుల ఆస్తిగా క్లెయిమ్ చేయవచ్చు.
సిగ్నల్ | దిశ | వ్యాఖ్యలు |
tx_serial[1:0] | అవుట్పుట్ | ట్రాన్స్సీవర్ PHY అవుట్పుట్ సీరియల్ డేటా. |
rx_serial[1:0] | ఇన్పుట్ | ట్రాన్స్సీవర్ PHY ఇన్పుట్ సీరియల్ డేటా. |
user_led[7:0] |
అవుట్పుట్ |
స్థితి సంకేతాలు. హార్డ్వేర్ డిజైన్ మాజీample ఈ బిట్లను టార్గెట్ బోర్డ్లో LEDలను డ్రైవ్ చేయడానికి కనెక్ట్ చేస్తుంది. వ్యక్తిగత బిట్లు క్రింది సిగ్నల్ విలువలు మరియు గడియార ప్రవర్తనను ప్రతిబింబిస్తాయి:
• [0]: IP కోర్కి ప్రధాన రీసెట్ సిగ్నల్ • [1]: clk_ref యొక్క విభజించబడిన సంస్కరణ • [2]: clk50 యొక్క విభజించబడిన సంస్కరణ • [3]: 100 MHz స్థితి గడియారం యొక్క విభజించబడిన వెర్షన్ • [4]: tx_lanes_stable • [5]: rx_block_lock • [6]: rx_am_lock • [7]: rx_pcs_ready |
సంబంధిత సమాచారం
ఇంటర్ఫేస్లు మరియు సిగ్నల్ వివరణలు 50GbE IP కోర్ సిగ్నల్లు మరియు వాటికి సంబంధించిన ఇంటర్ఫేస్ల వివరణాత్మక వివరణలను అందిస్తుంది.
50GbE డిజైన్ Example రిజిస్టర్లు
టేబుల్ 5. 50GbE హార్డ్వేర్ డిజైన్ ఎక్స్ample రిజిస్టర్ మ్యాప్
హార్డ్వేర్ డిజైన్ ఎక్స్ కోసం మెమరీ మ్యాప్ చేయబడిన రిజిస్టర్ పరిధులను జాబితా చేస్తుందిample. మీరు సిస్టమ్ కన్సోల్లోని reg_read మరియు reg_write ఫంక్షన్లతో ఈ రిజిస్టర్లను యాక్సెస్ చేస్తారు.
వర్డ్ ఆఫ్సెట్ | నమోదు వర్గం |
0x300–0x5FF | 50GbE IP కోర్ రిజిస్టర్లు. |
0x4000–0x4C00 | అర్రియా 10 డైనమిక్ రీకాన్ఫిగరేషన్ రిజిస్టర్లు. లేన్ 0కి రిజిస్టర్ బేస్ అడ్రస్ 4000x0 మరియు లేన్ 0కి 4400x1. |
సంబంధిత సమాచారం
- 50GbE హార్డ్వేర్ డిజైన్ ఎక్స్ని పరీక్షిస్తోందిample పేజీ 11లో సిస్టమ్ కన్సోల్ IP కోర్ మరియు స్థానిక PHY రిజిస్టర్లను యాక్సెస్ చేయడానికి ఆదేశాలు ఇస్తుంది.
- 50GbE నియంత్రణ మరియు స్థితి రిజిస్టర్ వివరణలు 50GbE IP కోర్ రిజిస్టర్లను వివరిస్తాయి.
పత్ర పునర్విమర్శ చరిత్ర
టేబుల్ 6. 50G ఈథర్నెట్ డిజైన్ Example యూజర్ గైడ్ పునర్విమర్శ చరిత్ర
తేదీ | విడుదల | మార్పులు |
2019.04.03 | 17.0 | Xcelium అనుకరణలను అమలు చేయడానికి ఆదేశం జోడించబడింది. |
2017.11.08 |
17.0 |
IP కోర్లో ATX PLLల క్యాస్కేడింగ్ కారణంగా Intel Arria® 10 పరికరాలపై సంభావ్య గందరగోళానికి పరిష్కారాన్ని అందించే KDB సమాధానానికి లింక్ జోడించబడింది.
సూచించండి డిజైన్ ఎక్స్ని రూపొందిస్తోందిample 7 వ పేజీలో మరియు కంపైలింగ్ మరియు డిజైన్ ఎక్స్ని కాన్ఫిగర్ చేస్తోందిampహార్డ్వేర్లో లే 10వ పేజీలో. ఈ డిజైన్ మాజీample వినియోగదారు గైడ్ ప్రతిబింబించేలా నవీకరించబడలేదు గమనిక: ఇంటెల్ క్వార్టస్ ప్రైమ్ సాఫ్ట్వేర్ విడుదల కంటే ఇంటెల్ క్వార్టస్ ప్రైమ్ విడుదలలో డిజైన్ జనరేషన్లో చిన్న మార్పులు v17.0. |
2017.05.08 | 17.0 | ప్రారంభ పబ్లిక్ విడుదల. |
ఇంటెల్ కార్పొరేషన్. అన్ని హక్కులు ప్రత్యేకించబడ్డాయి. ఇంటెల్, ఇంటెల్ లోగో మరియు ఇతర ఇంటెల్ గుర్తులు ఇంటెల్ కార్పొరేషన్ లేదా దాని అనుబంధ సంస్థల ట్రేడ్మార్క్లు. Intel దాని FPGA మరియు సెమీకండక్టర్ ఉత్పత్తుల పనితీరును ఇంటెల్ యొక్క ప్రామాణిక వారంటీకి అనుగుణంగా ప్రస్తుత స్పెసిఫికేషన్లకు హామీ ఇస్తుంది, అయితే నోటీసు లేకుండా ఏ సమయంలోనైనా ఏదైనా ఉత్పత్తులు మరియు సేవలకు మార్పులు చేసే హక్కును కలిగి ఉంది. ఇంటెల్ వ్రాతపూర్వకంగా అంగీకరించినట్లు మినహా ఇక్కడ వివరించిన ఏదైనా సమాచారం, ఉత్పత్తి లేదా సేవ యొక్క అప్లికేషన్ లేదా ఉపయోగం నుండి ఉత్పన్నమయ్యే బాధ్యత లేదా బాధ్యతను Intel తీసుకోదు. ఇంటెల్ కస్టమర్లు ఏదైనా ప్రచురించిన సమాచారంపై ఆధారపడే ముందు మరియు ఉత్పత్తులు లేదా సేవల కోసం ఆర్డర్లు చేసే ముందు పరికర నిర్దేశాల యొక్క తాజా వెర్షన్ను పొందాలని సూచించారు. *ఇతర పేర్లు మరియు బ్రాండ్లను ఇతరుల ఆస్తిగా క్లెయిమ్ చేయవచ్చు.
పత్రాలు / వనరులు
![]() |
intel 50G ఈథర్నెట్ డిజైన్ Example [pdf] యూజర్ గైడ్ 50G ఈథర్నెట్ డిజైన్ Example, 50G, ఈథర్నెట్ డిజైన్ Exampలే, డిజైన్ ఎక్స్ample |