intel 50G ஈதர்நெட் வடிவமைப்பு Example
50GbE விரைவு தொடக்க வழிகாட்டி
50GbE ஐபி கோர் ஒரு சிமுலேஷன் டெஸ்ட்பெஞ்ச் மற்றும் வன்பொருள் வடிவமைப்பை வழங்குகிறதுampதொகுத்தல் மற்றும் வன்பொருள் சோதனையை ஆதரிக்கும் le. நீங்கள் வடிவமைப்பை உருவாக்கும் போது முன்னாள்ample, அளவுரு எடிட்டர் தானாகவே உருவாக்குகிறது fileவன்பொருளில் வடிவமைப்பை உருவகப்படுத்தவும், தொகுக்கவும் மற்றும் சோதிக்கவும் அவசியம். தொகுக்கப்பட்ட வன்பொருள் வடிவமைப்பை Arria 10 GT சாதனத்தில் பதிவிறக்கம் செய்யலாம்.
குறிப்பு: இந்த வடிவமைப்பு முன்னாள்ample Arria 10 GT சாதனத்தை குறிவைக்கிறது மற்றும் 25G retimer தேவைப்படுகிறது. இந்த வன்பொருளை இயக்குவதற்கு ஏற்ற இயங்குதளத்தைப் பற்றி விசாரிக்க உங்கள் Intel FPGA பிரதிநிதியைத் தொடர்பு கொள்ளவும்ample. சில சந்தர்ப்பங்களில் பொருத்தமான வன்பொருளின் கடன் கிடைக்கக்கூடும். கூடுதலாக, இன்டெல் ஒரு தொகுப்பு-மட்டும் ex ஐ வழங்குகிறதுampஐபி கோர் பகுதி மற்றும் நேரத்தை விரைவாக மதிப்பிட நீங்கள் பயன்படுத்தக்கூடிய le திட்டம்.
படம் 1. வடிவமைப்பு Example பயன்பாடு
இன்டெல் கார்ப்பரேஷன். அனைத்து உரிமைகளும் பாதுகாக்கப்பட்டவை. இன்டெல், இன்டெல் லோகோ மற்றும் பிற இன்டெல் குறிகள் இன்டெல் கார்ப்பரேஷன் அல்லது அதன் துணை நிறுவனங்களின் வர்த்தக முத்திரைகள். இன்டெல் அதன் FPGA மற்றும் செமிகண்டக்டர் தயாரிப்புகளின் செயல்திறன் இன்டெல்லின் நிலையான உத்தரவாதத்தின்படி தற்போதைய விவரக்குறிப்புகளுக்கு உத்தரவாதம் அளிக்கிறது, ஆனால் எந்த நேரத்திலும் அறிவிப்பு இல்லாமல் எந்த தயாரிப்புகள் மற்றும் சேவைகளில் மாற்றங்களைச் செய்வதற்கான உரிமையை கொண்டுள்ளது. Intel எழுத்துப்பூர்வமாக ஒப்புக்கொண்டதைத் தவிர, இங்கு விவரிக்கப்பட்டுள்ள எந்தவொரு தகவல், தயாரிப்பு அல்லது சேவையின் பயன்பாடு அல்லது பயன்பாட்டிலிருந்து எழும் எந்தப் பொறுப்பு அல்லது பொறுப்பையும் இன்டெல் ஏற்காது. Intel வாடிக்கையாளர்கள் வெளியிடப்பட்ட எந்த தகவலையும் நம்புவதற்கு முன் மற்றும் தயாரிப்புகள் அல்லது சேவைகளுக்கான ஆர்டர்களை வழங்குவதற்கு முன்பு சாதன விவரக்குறிப்புகளின் சமீபத்திய பதிப்பைப் பெற அறிவுறுத்தப்படுகிறார்கள். *பிற பெயர்கள் மற்றும் பிராண்டுகள் மற்றவர்களின் சொத்தாக உரிமை கோரப்படலாம்.
வடிவமைப்பு முன்னாள்ample அடைவு அமைப்பு
படம் 2. 50GbE வடிவமைப்பு Example அடைவு அமைப்பு
வன்பொருள் கட்டமைப்பு மற்றும் சோதனை files (வன்பொருள் வடிவமைப்பு முன்னாள்ample) இல் அமைந்துள்ளனample_dir>/hardware_test_design. உருவகப்படுத்துதல் files (உருவகப்படுத்துதலுக்கான சோதனை பெஞ்ச் மட்டும்) இல் அமைந்துள்ளதுample_dir>/ example_testbench. தொகுத்தல்-மட்டும் வடிவமைப்பு முன்னாள்ample இல் அமைந்துள்ளதுample_dir>/compilation_test_design.
உருவகப்படுத்துதல் வடிவமைப்பு Example கூறுகள்
படம் 3. 50GbE உருவகப்படுத்துதல் வடிவமைப்பு Example தொகுதி வரைபடம்
உருவகப்படுத்துதல் முன்னாள்ample வடிவமைப்பு உயர்மட்ட சோதனை file அடிப்படை_avl_tb_top.sv இது file ATX PLL ஐ உடனடியாகச் செய்து இணைக்கிறது. 50 பாக்கெட்டுகளை அனுப்புவதற்கும் பெறுவதற்கும், send_packets_10g_avl என்ற பணி உள்ளது.
அட்டவணை 1. 50GbE ஐபி கோர் டெஸ்ட்பெஞ்ச் File விளக்கங்கள்
File பெயர் | விளக்கம் |
டெஸ்ட்பெஞ்ச் மற்றும் சிமுலேஷன் Files | |
அடிப்படை_avl_tb_top.sv | உயர்மட்ட சோதனை பெஞ்ச் file. சோதனை பெஞ்ச் DUT ஐ நிறுவுகிறது மற்றும் பாக்கெட்டுகளை உருவாக்க மற்றும் ஏற்றுக்கொள்ள Verilog HDL பணிகளை இயக்குகிறது. |
டெஸ்ட்பெஞ்ச் ஸ்கிரிப்ட்கள் | |
run_vsim.do | டெஸ்ட்பெஞ்சை இயக்க ModelSim ஸ்கிரிப்ட். |
run_vcs.sh | சினாப்சிஸ் விசிஎஸ் ஸ்கிரிப்ட் டெஸ்ட்பெஞ்சை இயக்குகிறது. |
run_ncsim.sh | கேடென்ஸ் NCSim ஸ்கிரிப்ட் டெஸ்ட்பெஞ்சை இயக்கும். |
run_xcelium.sh | கேடென்ஸ் Xcelium* ஸ்கிரிப்ட் டெஸ்ட்பெஞ்சை இயக்கும். |
rdware Design Example கூறுகள்
படம் 4. 50GbE வன்பொருள் வடிவமைப்பு Example உயர் நிலை தொகுதி வரைபடம்
50GbE வன்பொருள் வடிவமைப்பு முன்னாள்ample பின்வரும் கூறுகளை உள்ளடக்கியது
- 50GbE ஐபி கோர்.
- IP கோர் மற்றும் பாக்கெட் உருவாக்கத்தின் நிரலாக்கத்தை ஒருங்கிணைக்கும் கிளையண்ட் லாஜிக்.
- டிவைஸ் டிரான்ஸ்ஸீவர் சேனல்களை இயக்க ATX PLL.
- ஐஓபிஎல்எல் 100 மெகா ஹெர்ட்ஸ் கடிகாரத்தை 50 மெகா ஹெர்ட்ஸ் உள்ளீட்டு கடிகாரத்திலிருந்து வன்பொருள் வடிவமைப்பிற்கு உருவாக்குகிறது.ampலெ.
- JTAG சிஸ்டம் கன்சோலுடன் தொடர்பு கொள்ளும் கட்டுப்படுத்தி. சிஸ்டம் கன்சோல் மூலம் கிளையன்ட் லாஜிக்குடன் நீங்கள் தொடர்பு கொள்கிறீர்கள்.
அட்டவணை 2. 50GbE IP கோர் ஹார்டுவேர் வடிவமைப்பு Example File விளக்கங்கள்
File பெயர்கள் | விளக்கம் |
eth_ex_50g.qpf | குவார்டஸ் பிரைம் திட்டம் file |
eth_ex_50g.qsf | குவார்டஸ் திட்ட அமைப்புகள் file |
eth_ex_50g.sdc | சுருக்க வடிவமைப்பு கட்டுப்பாடுகள் file. இதை நீங்கள் நகலெடுத்து மாற்றலாம் file உங்கள் சொந்த 50GbE வடிவமைப்பிற்கு. |
தொடர்ந்தது… |
50GbE விரைவு தொடக்க வழிகாட்டி
File பெயர்கள் | விளக்கம் |
eth_ex_50g.v | உயர்மட்ட வெரிலாக் HDL வடிவமைப்பு முன்னாள்ample file |
பொதுவான/ | வன்பொருள் வடிவமைப்பு முன்னாள்ampஆதரவு files |
hwtest/main.tcl | முக்கிய file சிஸ்டம் கன்சோலை அணுகுவதற்கு |
வடிவமைப்பை உருவாக்குதல் Example
படம் 5. நடைமுறை
படம் 6. Examp50GbE அளவுரு எடிட்டரில் டிசைன் டேப்
வன்பொருள் வடிவமைப்பை உருவாக்க, இந்தப் படிகளைப் பின்பற்றவும்ample மற்றும் டெஸ்ட்பெஞ்ச்
- நீங்கள் Intel Quartus® Prime Pro பதிப்பு மென்பொருள் அல்லது Intel Quartus Prime Standard Edition மென்பொருளைப் பயன்படுத்துகிறீர்களா என்பதைப் பொறுத்து, பின்வரும் செயல்களில் ஒன்றைச் செய்யவும்: Intel Quartus Prime Pro பதிப்பில், கிளிக் செய்யவும் File ➤ புதிய குவார்டஸ் பிரைம் திட்டத்தை உருவாக்க புதிய திட்ட வழிகாட்டி, அல்லது File ➤ ஏற்கனவே உள்ள குவார்டஸ் பிரைம் திட்டத்தை திறக்க ப்ராஜெக்ட்டைத் திறக்கவும். ஒரு சாதனத்தைக் குறிப்பிட வழிகாட்டி உங்களைத் தூண்டுகிறது. Intel Quartus Prime Standard Edition மென்பொருளில், IP அட்டவணையில் (Tools IP Catalog), Arria 10 இலக்கு சாதனக் குடும்பத்தைத் தேர்ந்தெடுக்கவும்.
- IP பட்டியலில், 50G ஈதர்நெட்டைக் கண்டுபிடித்து தேர்ந்தெடுக்கவும். புதிய ஐபி மாறுபாடு சாளரம் தோன்றும்.
- உங்கள் ஐபி மாறுபாட்டிற்கான உயர்மட்ட பெயரைக் குறிப்பிட்டு சரி என்பதைக் கிளிக் செய்யவும். அளவுரு எடிட்டர் மேல்-நிலை .qsys (இன்டெல் குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பில்) அல்லது .ஐபி (இன்டெல் குவார்டஸ் பிரைம் புரோ பதிப்பில்) சேர்க்கிறது file தற்போதைய திட்டத்திற்கு தானாகவே. .qsys அல்லது .ip ஐ கைமுறையாக சேர்க்கும்படி கேட்கப்பட்டால் file திட்டத்திற்கு, திட்டம் ➤ சேர்/நீக்கு என்பதைக் கிளிக் செய்யவும் Fileசேர்ப்பதற்கான திட்டத்தில் கள் file.
- Intel Quartus Prime Standard Edition மென்பொருளில், சாதனப் புலத்தில் குறிப்பிட்ட Arria 10 சாதனத்தைத் தேர்ந்தெடுக்க வேண்டும் அல்லது Quartus Prime மென்பொருள் முன்மொழியும் இயல்புநிலை சாதனத்தை வைத்திருக்க வேண்டும்.
குறிப்பு: வன்பொருள் வடிவமைப்பு முன்னாள்ample இலக்கு பலகையில் உள்ள சாதனத்துடன் தேர்வை மேலெழுதுகிறது. வடிவமைப்பு முன்னாள் மெனுவிலிருந்து இலக்கு பலகையைக் குறிப்பிடுகிறீர்கள்ampEx இல் le விருப்பங்கள்ample வடிவமைப்பு தாவல் (படி 8). - சரி என்பதைக் கிளிக் செய்யவும். அளவுரு எடிட்டர் தோன்றும்.
- IP தாவலில், உங்கள் IP மைய மாறுபாட்டிற்கான அளவுருக்களைக் குறிப்பிடவும்.
- முன்னாள் மீதுample வடிவமைப்பு தாவல், Example வடிவமைப்பு Files, testbench ஐ உருவாக்க உருவகப்படுத்துதல் விருப்பத்தைத் தேர்ந்தெடுத்து, வன்பொருள் வடிவமைப்பை உருவாக்குவதற்கு தொகுப்பு விருப்பத்தைத் தேர்ந்தெடுக்கவும்.ampலெ. வெரிலாக் HDL மட்டுமே fileகள் உருவாக்கப்படுகின்றன.
குறிப்பு: செயல்பாட்டு VHDL IP கோர் கிடைக்கவில்லை. உங்கள் ஐபி கோர் வடிவமைப்பிற்கு, வெரிலாக் HDL ஐ மட்டும் குறிப்பிடவும்ampலெ. - ஹார்டுவேர் போர்டுக்கு Arria 10 GX Transceiver Signal Integrity Development Kitஐத் தேர்ந்தெடுக்கவும்.
குறிப்பு: இந்த வன்பொருளை இயக்குவதற்கு ஏற்ற இயங்குதளம் பற்றிய தகவலுக்கு உங்கள் Intel FPGA பிரதிநிதியைத் தொடர்பு கொள்ளவும்ampலெ. - ஜெனரேட் எக்ஸ் என்பதைக் கிளிக் செய்யவும்ample வடிவமைப்பு பொத்தான். தேர்ந்தெடு முன்னாள்ample வடிவமைப்பு அடைவு சாளரம் தோன்றும்.
- நீங்கள் வடிவமைப்பை மாற்ற விரும்பினால், முன்னாள்ample அடைவு பாதை அல்லது இயல்புநிலையிலிருந்து பெயர் காட்டப்படும் (alt_e50_0_example_design), புதிய பாதையில் உலாவவும் மற்றும் புதிய வடிவமைப்பை டைப் செய்யவும்ample அடைவு பெயர் (ample_dir>).
- சரி என்பதைக் கிளிக் செய்யவும்.
- கேடிபி பதிலைப் பார்க்கவும். ஆர்ரியா 10 பிஎல்எல் குறிப்பு கடிகாரத்திற்கான பிஎல்எல் கேஸ்கேடிங் அல்லது அர்ப்பணிக்கப்படாத கடிகாரப் பாதையின் நடுக்கத்தை நான் எவ்வாறு ஈடுசெய்வது? .sdc இல் உள்ள Hardware_test_design கோப்பகத்தில் நீங்கள் விண்ணப்பிக்க வேண்டும் file.
குறிப்பு: 50GbE IP மையத்தில் உள்ள RX பாதையில் அடுக்கடுக்கான PLLகள் உள்ளதால் இந்த KDB பதிலை நீங்கள் பார்க்க வேண்டும். எனவே, IP கோர் கடிகாரங்கள் Arria 10 சாதனங்களில் கூடுதல் நடுக்கத்தை அனுபவிக்கலாம். இந்த KDB பதில், மென்பொருள் வெளியீடுகளில் தீர்வு தேவை என்பதை தெளிவுபடுத்துகிறது.
தொடர்புடைய தகவல்
கேடிபி பதில்: ஆர்ரியா 10 பிஎல்எல் குறிப்பு கடிகாரத்திற்கான பிஎல்எல் கேஸ்கேடிங் அல்லது அர்ப்பணிக்கப்படாத கடிகார பாதையின் நடுக்கத்தை நான் எவ்வாறு ஈடுசெய்வது?
50GbE வடிவமைப்பு Ex ஐ உருவகப்படுத்துகிறதுample டெஸ்ட்பெஞ்ச்
படம் 7. நடைமுறை
சோதனை பெஞ்சை உருவகப்படுத்த இந்த படிகளைப் பின்பற்றவும்
- டெஸ்ட்பெஞ்ச் சிமுலேஷன் கோப்பகத்திற்கு மாற்றவும்ample_dir>/ example_testbench.
- உங்கள் விருப்பப்படி ஆதரிக்கப்படும் சிமுலேட்டருக்கான சிமுலேஷன் ஸ்கிரிப்டை இயக்கவும். ஸ்கிரிப்ட் தொகுத்து, சிமுலேட்டரில் டெஸ்ட்பெஞ்சை இயக்குகிறது. "டெஸ்ட்பெஞ்சை உருவகப்படுத்துவதற்கான படிகள்" அட்டவணையைப் பார்க்கவும்.
- முடிவுகளை பகுப்பாய்வு செய்யுங்கள். வெற்றிகரமான டெஸ்ட்பெஞ்ச் பத்து பாக்கெட்டுகளை அனுப்புகிறது, பத்து பாக்கெட்டுகளைப் பெறுகிறது, மேலும் "டெஸ்ட்பெஞ்ச் முடிந்தது" என்பதைக் காட்டுகிறது.
அட்டவணை 3. டெஸ்ட்பெஞ்சை உருவகப்படுத்துவதற்கான படிகள்
சிமுலேட்டர் | வழிமுறைகள் |
மாடல் சிம் | கட்டளை வரியில், vsim -do run_vsim.do என தட்டச்சு செய்யவும்
நீங்கள் ModelSim GUI ஐ உருவாக்காமல் உருவகப்படுத்த விரும்பினால், vsim -c -do run_vsim.do என தட்டச்சு செய்யவும். குறிப்பு: மாடல்சிம்* – இன்டெல் எஃப்பிஜிஏ பதிப்பு சிமுலேட்டருக்கு இந்த ஐபி மையத்தை உருவகப்படுத்தும் திறன் இல்லை. ModelSim SE போன்ற மற்றொரு ஆதரிக்கப்படும் ModelSim சிமுலேட்டரை நீங்கள் பயன்படுத்த வேண்டும். |
NCSim | கட்டளை வரியில், sh run_ncsim.sh என தட்டச்சு செய்யவும் |
வி.சி.எஸ் | கட்டளை வரியில், sh run_vcs.sh என தட்டச்சு செய்யவும் |
Xcelium | கட்டளை வரியில், sh run_xcelium.sh என தட்டச்சு செய்யவும் |
வெற்றிகரமான சோதனை ஓட்டமானது பின்வரும் நடத்தையை உறுதிப்படுத்தும் வெளியீட்டைக் காட்டுகிறது
- RX கடிகாரம் செட்டில் ஆக காத்திருக்கிறது
- PHY நிலையை அச்சிடுகிறது
- 10 பாக்கெட்டுகள் அனுப்பப்படுகிறது
- 10 பாக்கெட்டுகள் பெறப்படுகின்றன
- "டெஸ்ட்பெஞ்ச் முடிந்தது" என்பதைக் காட்டுகிறது.
பின்வரும் எஸ்ample வெளியீடு வெற்றிகரமான உருவகப்படுத்துதல் சோதனை ஓட்டத்தை விளக்குகிறது
- #Ref கடிகாரம் 625 மெகா ஹெர்ட்ஸ் வேகத்தில் இயங்குவதால் முழு எண்களை அனைத்து கடிகார காலங்களுக்கும் பயன்படுத்தலாம்.
- #உண்மையான கடிகார அதிர்வெண்களைப் பெற, அறிக்கையிடப்பட்ட அதிர்வெண்களை 33/32 ஆல் பெருக்கவும்.
- #RX சீரமைப்புக்காக காத்திருக்கிறது
- #RX டெஸ்க்யூ பூட்டப்பட்டது
- #RX லேன் சீரமைப்பு பூட்டப்பட்டது
- #TX இயக்கப்பட்டது
- #**பாக்கெட் 1ஐ அனுப்புகிறது…
- #**பாக்கெட் 2ஐ அனுப்புகிறது…
- #**பாக்கெட் 3ஐ அனுப்புகிறது…
- #**பாக்கெட் 4ஐ அனுப்புகிறது…
- #**பாக்கெட் 5ஐ அனுப்புகிறது…
- #**பாக்கெட் 6ஐ அனுப்புகிறது…
- #**பாக்கெட் 7ஐ அனுப்புகிறது…
- #**பெற்ற தொகுப்பு 1…
- #**பாக்கெட் 8ஐ அனுப்புகிறது…
- #**பெற்ற தொகுப்பு 2…
- #**பாக்கெட் 9ஐ அனுப்புகிறது…
- #**பெற்ற தொகுப்பு 3…
- #**பாக்கெட் 10ஐ அனுப்புகிறது…
- #**பெற்ற தொகுப்பு 4…
- #**பெற்ற தொகுப்பு 5…
- #**பெற்ற தொகுப்பு 6…
- #**பெற்ற தொகுப்பு 7…
- #**பெற்ற தொகுப்பு 8…
- #**பெற்ற தொகுப்பு 9…
- #**பெற்ற தொகுப்பு 10…
- #**
- #** டெஸ்ட்பெஞ்ச் முடிந்தது.
- #**
- #*******************************************
வடிவமைப்பை தொகுத்தல் மற்றும் கட்டமைத்தல் Exampஹார்டுவேரில் le
வன்பொருள் வடிவமைப்பை தொகுக்க முன்னாள்ample மற்றும் அதை உங்கள் Arria 10 GT சாதனத்தில் உள்ளமைக்கவும், இந்த படிகளைப் பின்பற்றவும்
- வன்பொருள் வடிவமைப்பை உறுதிப்படுத்தவும் முன்னாள்ample தலைமுறை முடிந்தது.
- Intel Quartus Prime மென்பொருளில், Intel Quartus Prime திட்டத்தைத் திறக்கவும்ample_dir>/hardware_test_design/eth_ex_50g.qpf.
- தொகுக்கும் முன், KDB பதிலிலிருந்து நீங்கள் தீர்வுகளைச் செயல்படுத்தியுள்ளீர்கள் என்பதை உறுதிப்படுத்திக் கொள்ளுங்கள். உங்கள் மென்பொருள் வெளியீட்டிற்கு பொருத்தமானதாக இருந்தால்.
- செயலாக்க மெனுவில், தொகுப்பைத் தொடங்கு என்பதைக் கிளிக் செய்யவும்.
- நீங்கள் ஒரு SRAM பொருளை உருவாக்கிய பிறகு file .sof, வன்பொருள் வடிவமைப்பை நிரல் செய்ய இந்தப் படிகளைப் பின்பற்றவும்ampArria 10 சாதனத்தில் le:
- கருவிகள் மெனுவில், புரோகிராமர் என்பதைக் கிளிக் செய்யவும்.
- புரோகிராமரில், வன்பொருள் அமைவு என்பதைக் கிளிக் செய்யவும்.
- நிரலாக்க சாதனத்தைத் தேர்ந்தெடுக்கவும்.
- உங்கள் இன்டெல் குவார்டஸ் பிரைம் அமர்வில் 10G ரீடைமருடன் Arria 25 GT போர்டைத் தேர்ந்தெடுத்து சேர்க்கவும்.
- பயன்முறை J க்கு அமைக்கப்பட்டிருப்பதை உறுதிப்படுத்தவும்TAG.
- Arria 10 சாதனத்தைத் தேர்ந்தெடுத்து, சாதனத்தைச் சேர் என்பதைக் கிளிக் செய்யவும். புரோகிராமர் உங்கள் போர்டில் உள்ள சாதனங்களுக்கிடையேயான இணைப்புகளின் தொகுதி வரைபடத்தைக் காண்பிக்கும்.
- உங்கள் .sof உள்ள வரிசையில், .sofக்கான பெட்டியைத் தேர்வு செய்யவும்.
- நிரல்/கட்டமைவு நெடுவரிசையில் உள்ள பெட்டியை சரிபார்க்கவும்.
- தொடங்கு என்பதைக் கிளிக் செய்யவும்
குறிப்பு: இந்த வடிவமைப்பு முன்னாள்ample Arria 10 GT சாதனத்தை குறிவைக்கிறது. இந்த வன்பொருளை இயக்குவதற்கு ஏற்ற இயங்குதளத்தைப் பற்றி விசாரிக்க உங்கள் Intel FPGA பிரதிநிதியைத் தொடர்பு கொள்ளவும்ample
தொடர்புடைய தகவல்
- கேடிபி பதில்: ஆர்ரியா 10 பிஎல்எல் குறிப்பு கடிகாரத்திற்கான பிஎல்எல் கேஸ்கேடிங் அல்லது அர்ப்பணிக்கப்படாத கடிகார பாதையின் நடுக்கத்தை நான் எவ்வாறு ஈடுசெய்வது?
- படிநிலை மற்றும் குழு அடிப்படையிலான வடிவமைப்பிற்கான அதிகரிக்கும் தொகுப்பு
- இன்டெல் FPGA சாதனங்களை நிரலாக்கம்
50GbE ஹார்டுவேர் டிசைனை சோதிக்கிறது Example
நீங்கள் தொகுத்த பிறகு 50GbE ஐபி கோர் வடிவமைப்பு முன்னாள்ample மற்றும் அதை உங்கள் Arria 10 GT சாதனத்தில் உள்ளமைக்கவும், நீங்கள் IP கோர் மற்றும் அதன் உட்பொதிக்கப்பட்ட நேட்டிவ் PHY IP கோர் பதிவேடுகளை நிரல் செய்ய சிஸ்டம் கன்சோலைப் பயன்படுத்தலாம். சிஸ்டம் கன்சோலை இயக்கி, வன்பொருள் வடிவமைப்பை சோதிக்கample, இந்த வழிமுறைகளைப் பின்பற்றவும்:
- வன்பொருள் வடிவமைப்பிற்குப் பிறகு முன்னாள்ample என்பது Arria 10 சாதனத்தில் கட்டமைக்கப்பட்டுள்ளது, Intel Quartus Prime மென்பொருளில், Tools மெனுவில், System Debugging Tools ➤ System Console என்பதைக் கிளிக் செய்யவும்.
- Tcl கன்சோல் பலகத்தில், கோப்பகத்தை மாற்ற cd hwtest என தட்டச்சு செய்யவும்ample_dir>/hardware_test_design/hwtest.
- J உடன் இணைப்பைத் திறக்க, source main.tcl என உள்ளிடவும்TAG மாஸ்டர்.
நீங்கள் IP மையத்தை பின்வரும் வடிவமைப்பின் மூலம் நிரல் செய்யலாம்ample கட்டளைகள்
- chkphy_status: கடிகார அதிர்வெண்கள் மற்றும் PHY பூட்டு நிலையைக் காட்டுகிறது.
- start_pkt_gen: பாக்கெட் ஜெனரேட்டரைத் தொடங்குகிறது.
- stop_pkt_gen: பாக்கெட் ஜெனரேட்டரை நிறுத்துகிறது.
- loop_on: உள் தொடர் லூப்பேக்கை இயக்குகிறது
- loop_off: உள் தொடர் லூப்பேக்கை முடக்குகிறது.
- reg_read_ஐப் படிக்கவும் : IP மையப் பதிவு மதிப்பை வழங்குகிறது .
- reg_write : எழுதுகிறார் முகவரியில் உள்ள IP கோர் பதிவேட்டில் .
தொடர்புடைய தகவல்
- 50GbE வடிவமைப்பு Example பதிவுகள் பக்கம் 13 வன்பொருள் வடிவமைப்பிற்கான பதிவு வரைபடம் முன்னாள்ampலெ.
- சிஸ்டம் கன்சோல் மூலம் வடிவமைப்புகளை பகுப்பாய்வு செய்தல் மற்றும் பிழைத்திருத்தம் செய்தல்
வடிவமைப்பு முன்னாள்ample விளக்கம்
வடிவமைப்பு முன்னாள்ampIEEE 50ba நிலையான CAUI-802.3 விவரக்குறிப்புக்கு இணங்க டிரான்ஸ்ஸீவர் இடைமுகத்துடன் 4GbE மையத்தின் செயல்பாடுகளை le விளக்குகிறது. நீங்கள் Ex இலிருந்து வடிவமைப்பை உருவாக்கலாம்amp50GbE அளவுரு எடிட்டரில் டிசைன் டேப். வடிவமைப்பை உருவாக்க முன்னாள்ample, நீங்கள் முதலில் உங்கள் இறுதி தயாரிப்பில் உருவாக்க உத்தேசித்துள்ள IP மைய மாறுபாட்டிற்கான அளவுரு மதிப்புகளை அமைக்க வேண்டும். வடிவமைப்பை உருவாக்குதல் முன்னாள்ample ஐபி மையத்தின் நகலை உருவாக்குகிறது; டெஸ்ட்பெஞ்ச் மற்றும் வன்பொருள் வடிவமைப்பு முன்னாள்ampஇந்த மாறுபாட்டை DUT ஆகப் பயன்படுத்தவும். உங்கள் இறுதி தயாரிப்பில் உள்ள அளவுரு மதிப்புகளுடன் பொருந்துமாறு DUTக்கான அளவுரு மதிப்புகளை நீங்கள் அமைக்கவில்லை என்றால், வடிவமைப்பு முன்னாள்ampநீங்கள் உருவாக்குவது நீங்கள் உத்தேசித்துள்ள IP மைய மாறுபாட்டைப் பயன்படுத்தாது.
குறிப்பு: டெஸ்ட்பெஞ்ச் ஐபி மையத்தின் அடிப்படை சோதனையை நிரூபிக்கிறது. இது முழு சரிபார்ப்பு சூழலுக்கு மாற்றாக இருக்க விரும்பவில்லை. உருவகப்படுத்துதல் மற்றும் வன்பொருளில் உங்களின் சொந்த 50GbE வடிவமைப்பின் விரிவான சரிபார்ப்பை நீங்கள் செய்ய வேண்டும்.
தொடர்புடைய தகவல்
Intel Arria® 10 50Gbps ஈதர்நெட் IP கோர் பயனர் வழிகாட்டி
வடிவமைப்பு முன்னாள்ample நடத்தை
டெஸ்ட்பெஞ்ச் ஐபி கோர் வழியாக போக்குவரத்தை அனுப்புகிறது, டிரான்ஸ்மிட் பக்கத்தைப் பயன்படுத்துகிறது மற்றும் ஐபி மையத்தின் பக்கத்தைப் பெறுகிறது. வன்பொருள் வடிவமைப்பில் முன்னாள்ample, நீங்கள் IP மையத்தை உள் சீரியல் லூப்பேக் பயன்முறையில் நிரல் செய்யலாம் மற்றும் பரிமாற்றப் பக்கத்தில் டிராஃபிக்கை உருவாக்கலாம், அது ரிசீவ் பக்கத்தின் வழியாக மீண்டும் சுழலும்.
வடிவமைப்பு முன்னாள்ample இடைமுக சமிக்ஞைகள்
50GbE டெஸ்ட்பெஞ்ச் சுயமாக உள்ளது மற்றும் நீங்கள் எந்த உள்ளீட்டு சமிக்ஞைகளையும் இயக்க வேண்டியதில்லை.
அட்டவணை 4. 50GbE வன்பொருள் வடிவமைப்பு Example இடைமுக சமிக்ஞைகள்
சிக்னல் | திசை | கருத்துகள் |
clk50 |
உள்ளீடு |
50 மெகா ஹெர்ட்ஸ் வேகத்தில் ஓட்டவும். போர்டில் உள்ள 50 மெகா ஹெர்ட்ஸ் ஆஸிலேட்டரிலிருந்து இதை இயக்குவதே இதன் நோக்கம். |
clk_ref | உள்ளீடு | 644.53125 மெகா ஹெர்ட்ஸ் வேகத்தில் இயக்கவும். |
cpu_resetn |
உள்ளீடு |
ஐபி மையத்தை மீட்டமைக்கிறது. செயலில் குறைவு. உலகளாவிய கடின மீட்டமைப்பை csr_reset_n ஐ IP மையத்திற்கு இயக்குகிறது. |
தொடர்ந்தது… |
இன்டெல் கார்ப்பரேஷன். அனைத்து உரிமைகளும் பாதுகாக்கப்பட்டவை. இன்டெல், இன்டெல் லோகோ மற்றும் பிற இன்டெல் குறிகள் இன்டெல் கார்ப்பரேஷன் அல்லது அதன் துணை நிறுவனங்களின் வர்த்தக முத்திரைகள். இன்டெல் அதன் FPGA மற்றும் செமிகண்டக்டர் தயாரிப்புகளின் செயல்திறன் இன்டெல்லின் நிலையான உத்தரவாதத்தின்படி தற்போதைய விவரக்குறிப்புகளுக்கு உத்தரவாதம் அளிக்கிறது, ஆனால் எந்த நேரத்திலும் அறிவிப்பு இல்லாமல் எந்த தயாரிப்புகள் மற்றும் சேவைகளில் மாற்றங்களைச் செய்வதற்கான உரிமையை கொண்டுள்ளது. Intel எழுத்துப்பூர்வமாக ஒப்புக்கொண்டதைத் தவிர, இங்கு விவரிக்கப்பட்டுள்ள எந்தவொரு தகவல், தயாரிப்பு அல்லது சேவையின் பயன்பாடு அல்லது பயன்பாட்டிலிருந்து எழும் எந்தப் பொறுப்பு அல்லது பொறுப்பையும் இன்டெல் ஏற்காது. Intel வாடிக்கையாளர்கள் வெளியிடப்பட்ட எந்த தகவலையும் நம்புவதற்கு முன் மற்றும் தயாரிப்புகள் அல்லது சேவைகளுக்கான ஆர்டர்களை வழங்குவதற்கு முன்பு சாதன விவரக்குறிப்புகளின் சமீபத்திய பதிப்பைப் பெற அறிவுறுத்தப்படுகிறார்கள். *பிற பெயர்கள் மற்றும் பிராண்டுகள் மற்றவர்களின் சொத்தாக உரிமை கோரப்படலாம்.
சிக்னல் | திசை | கருத்துகள் |
tx_serial[1:0] | வெளியீடு | டிரான்ஸ்ஸீவர் PHY வெளியீடு தொடர் தரவு. |
rx_serial[1:0] | உள்ளீடு | டிரான்ஸ்ஸீவர் PHY உள்ளீடு தொடர் தரவு. |
user_led[7:0] |
வெளியீடு |
நிலை சமிக்ஞைகள். வன்பொருள் வடிவமைப்பு முன்னாள்ampஇலக்கு பலகையில் LED களை இயக்க le இந்த பிட்களை இணைக்கிறது. தனிப்பட்ட பிட்கள் பின்வரும் சமிக்ஞை மதிப்புகள் மற்றும் கடிகார நடத்தையை பிரதிபலிக்கின்றன:
• [0]: ஐபி மையத்திற்கு முதன்மை மீட்டமைப்பு சமிக்ஞை • [1]: clk_ref இன் பிரிக்கப்பட்ட பதிப்பு • [2]: clk50 இன் பிரிக்கப்பட்ட பதிப்பு • [3]: 100 மெகா ஹெர்ட்ஸ் நிலை கடிகாரத்தின் பிரிக்கப்பட்ட பதிப்பு • [4]: tx_lanes_stable • [5]: rx_block_lock • [6]: rx_am_lock • [7]: rx_pcs_ready |
தொடர்புடைய தகவல்
இடைமுகங்கள் மற்றும் சிக்னல் விளக்கங்கள் 50GbE ஐபி கோர் சிக்னல்கள் மற்றும் அவை சார்ந்த இடைமுகங்கள் பற்றிய விரிவான விளக்கங்களை வழங்குகிறது.
50GbE வடிவமைப்பு Example பதிவுகள்
அட்டவணை 5. 50GbE வன்பொருள் வடிவமைப்பு Example பதிவு வரைபடம்
வன்பொருள் வடிவமைப்பிற்கான நினைவக வரைபடப் பதிவு வரம்புகளை பட்டியலிடுகிறதுampலெ. சிஸ்டம் கன்சோலில் உள்ள reg_read மற்றும் reg_write செயல்பாடுகளுடன் இந்தப் பதிவேடுகளை அணுகலாம்.
வார்த்தை ஆஃப்செட் | பதிவு வகை |
0x300–0x5FF | 50GbE ஐபி கோர் பதிவுகள். |
0x4000–0x4C00 | Arria 10 டைனமிக் மறுகட்டமைப்பு பதிவுகள். லேன் 0 க்கு 4000x0 மற்றும் லேன் 0 க்கு 4400x1 பதிவு அடிப்படை முகவரி. |
தொடர்புடைய தகவல்
- 50GbE ஹார்டுவேர் டிசைனை சோதிக்கிறது Example on page 11 System Console ஐபி கோர் மற்றும் நேட்டிவ் PHY பதிவேடுகளை அணுக கட்டளையிடுகிறது.
- 50GbE கட்டுப்பாடு மற்றும் நிலைப் பதிவு விளக்கங்கள் 50GbE IP மையப் பதிவேடுகளை விவரிக்கிறது.
ஆவண திருத்த வரலாறு
அட்டவணை 6. 50G ஈதர்நெட் வடிவமைப்பு Example பயனர் வழிகாட்டி மீள்பார்வை வரலாறு
தேதி | விடுதலை | மாற்றங்கள் |
2019.04.03 | 17.0 | Xcelium உருவகப்படுத்துதல்களை இயக்க கட்டளை சேர்க்கப்பட்டது. |
2017.11.08 |
17.0 |
IP மையத்தில் ATX PLLகள் கேஸ்கேடிங் செய்வதால் Intel Arria® 10 சாதனங்களில் ஏற்படக்கூடிய நடுக்கத்திற்கான தீர்வை வழங்கும் KDB பதிலுக்கான இணைப்பு சேர்க்கப்பட்டது.
பார்க்கவும் வடிவமைப்பை உருவாக்குதல் Example பக்கம் 7 மற்றும் தொகுத்தல் மற்றும் வடிவமைப்பை உள்ளமைத்தல் Exampஹார்டுவேரில் le பக்கம் 10 இல். இந்த வடிவமைப்பு முன்னாள்ample பயனர் வழிகாட்டி பிரதிபலிக்கும் வகையில் புதுப்பிக்கப்படவில்லை குறிப்பு: இன்டெல் குவார்டஸ் பிரைம் மென்பொருள் வெளியீட்டை விட இன்டெல் குவார்டஸ் பிரைம் வெளியீடுகளில் வடிவமைப்பு உருவாக்கத்தில் சிறிய மாற்றங்கள் v17.0. |
2017.05.08 | 17.0 | ஆரம்ப பொது வெளியீடு. |
இன்டெல் கார்ப்பரேஷன். அனைத்து உரிமைகளும் பாதுகாக்கப்பட்டவை. இன்டெல், இன்டெல் லோகோ மற்றும் பிற இன்டெல் குறிகள் இன்டெல் கார்ப்பரேஷன் அல்லது அதன் துணை நிறுவனங்களின் வர்த்தக முத்திரைகள். இன்டெல் அதன் FPGA மற்றும் செமிகண்டக்டர் தயாரிப்புகளின் செயல்திறன் இன்டெல்லின் நிலையான உத்தரவாதத்தின்படி தற்போதைய விவரக்குறிப்புகளுக்கு உத்தரவாதம் அளிக்கிறது, ஆனால் எந்த நேரத்திலும் அறிவிப்பு இல்லாமல் எந்த தயாரிப்புகள் மற்றும் சேவைகளில் மாற்றங்களைச் செய்வதற்கான உரிமையை கொண்டுள்ளது. Intel எழுத்துப்பூர்வமாக ஒப்புக்கொண்டதைத் தவிர, இங்கு விவரிக்கப்பட்டுள்ள எந்தவொரு தகவல், தயாரிப்பு அல்லது சேவையின் பயன்பாடு அல்லது பயன்பாட்டிலிருந்து எழும் எந்தப் பொறுப்பு அல்லது பொறுப்பையும் இன்டெல் ஏற்காது. Intel வாடிக்கையாளர்கள் வெளியிடப்பட்ட எந்த தகவலையும் நம்புவதற்கு முன் மற்றும் தயாரிப்புகள் அல்லது சேவைகளுக்கான ஆர்டர்களை வழங்குவதற்கு முன்பு சாதன விவரக்குறிப்புகளின் சமீபத்திய பதிப்பைப் பெற அறிவுறுத்தப்படுகிறார்கள். *பிற பெயர்கள் மற்றும் பிராண்டுகள் மற்றவர்களின் சொத்தாக உரிமை கோரப்படலாம்.
ஆவணங்கள் / ஆதாரங்கள்
![]() |
intel 50G ஈதர்நெட் வடிவமைப்பு Example [pdf] பயனர் வழிகாட்டி 50G ஈதர்நெட் வடிவமைப்பு Example, 50G, ஈதர்நெட் வடிவமைப்பு Example, வடிவமைப்பு Example |