Microchip Technology Core JTAG Nosozliklarni tuzatish protsessorlari foydalanuvchi uchun qo'llanma
Kirish
Yadro JTAG Debug v4.0 Joint Test Action Group ulanishini osonlashtiradi (JTAG) J.ga mos yumshoq yadroli protsessorlarTAG Nosozliklarni tuzatish uchun TAP yoki umumiy maqsadli kirish/chiqish (GPIO) pinlari. Ushbu IP yadrosi bitta qurilma ichida maksimal 16 ta yumshoq yadroli protsessorlarni tuzatishni osonlashtiradi, shuningdek, GPIO orqali to'rtta alohida qurilmada protsessorlarni disk raskadrovka qilishni qo'llab-quvvatlaydi.
Xususiyatlari
CoreJTAGNosozliklarni tuzatish quyidagi asosiy xususiyatlarga ega:
- Matoning J.ga kirishini ta'minlaydiTAG J orqali interfeysTAG TAP.
- Matoning J.ga kirishini ta'minlaydiTAG GPIO pinlari orqali interfeys.
- J uchun IR kodini qo'llab-quvvatlashni sozlaydiTAG tunnel qazish.
- J orqali bir nechta qurilmalarni ulashni qo'llab-quvvatlaydiTAG TAP.
- Ko'p protsessorli nosozliklarni tuzatishni qo'llab-quvvatlaydi.
- Alohida soat va reset signallarini past egri marshrutlash manbalariga targ'ib qiladi.
- Faol-past va faol-yuqori maqsadni tiklashni qo'llab-quvvatlaydi.
- J ni qo'llab-quvvatlaydiTAG Xavfsizlik monitor interfeysi (UJTAG_SEC) PolarFire qurilmalari uchun.
Asosiy versiya
Ushbu hujjat CoreJ uchun amal qiladiTAGNosozliklarni tuzatish v4.0
Qo'llab-quvvatlanadigan oilalar
- PolarFire®
- RTG4™
- IGLOO® 2
- SmartFusion® 2
- SmartFusion
- ProASIC3/3E/3L
- IGLOO
- IGLOOe/+
Qurilmadan foydalanish va unumdorligi
Foydalanish va unumdorlik maʼlumotlari qoʻllab-quvvatlanadigan qurilmalar oilalari uchun quyidagi jadvalda keltirilgan. Ushbu jadvalda keltirilgan ma'lumotlar faqat ko'rsatkichdir. Yadroning umumiy qurilmadan foydalanishi va ishlashi tizimga bog'liq.
Jadval 1. Qurilmadan foydalanish va unumdorligi
Oila | Plitkalar ketma-ketligi | Kombinatorial | Jami | Foydalanish Qurilma | Jami % | Ishlash (MGts) |
PolarFire | 17 | 116 | 299554 | MPF300TS | 0.04 | 111.111 |
RTG4 | 19 | 121 | 151824 | RT4G150 | 0.09 | 50 |
SmartFusion2 | 17 | 120 | 56340 | M2S050 | 0.24 | 69.47 |
IGLOO2 | 17 | 120 | 56340 | M2GL050 | 0.24 | 68.76 |
SmartFusion | 17 | 151 | 4608 | A2F200M3F | 3.65 | 63.53 |
IGLOO | 17 | 172 | 3072 | AFL125V5 | 6.15 | 69.34 |
ProASIC3 | 17 | 157 | 13824 | A3P600 | 1.26 | 50 |
Eslatma: Ushbu jadvaldagi ma'lumotlarga -1 qismda odatiy sintez va tartib sozlamalari bilan Verilog RTL yordamida erishildi. Yuqori darajadagi parametrlar yoki umumiy parametrlar standart sozlamalarda qoldirildi.
Funktsional tavsif
CoreJTAGDebug UJ dan foydalanadiTAG J.ga kirishni ta'minlash uchun qattiq makroTAG FPGA matosidan interfeys. UJTAG qattiq makro matodan MSS yoki ASIC TAP kontrollerining chiqishiga ulanishni osonlashtiradi. Faqat, UJ ning bir nusxasiTAG matoda makroga ruxsat beriladi.
1-1-rasm. CoreJTAGNosozliklarni tuzatish blok diagrammasi
CoreJTAGNosozliklarni tuzatish uj_j ning namunasini o'z ichiga oladitag J.ni amalga oshiradigan tunnel boshqaruvchisiTAG J.ni osonlashtirish uchun tunnel boshqaruvchisiTAG FlashPro dasturchisi va maqsadli softcore protsessor o'rtasidagi tunnel. Yumshoq protsessor maxsus FPGA ning J orqali ulanadiTAG interfeys pinlari. J.dan IR skanerlariTAG interfeysi FPGA tuzilishida mavjud emas. Shunday qilib, tunnel protokoli J sanoat standartini qo'llab-quvvatlaydigan disk raskadrovka maqsadiga IR va DR skanerlashni osonlashtirish uchun talab qilinadi.TAG interfeys. Tunnel boshqaruvchisi DR skanerlash sifatida uzatilgan tunnel paketini dekodlaydi va tunnel paketining mazmuni va UIREG orqali taqdim etilgan IR registrining mazmuni asosida natijada IR yoki DR skanerini hosil qiladi. Tunnel boshqaruvchisi, shuningdek, IR registrining tarkibi uning IR kodiga mos kelganda, tunnel paketini dekodlaydi.
1-2-rasm. Tunnel paket protokoli
Konfiguratsiya parametri tunnel boshqaruvchisi tomonidan ishlatiladigan IR kodining konfiguratsiyasini ta'minlaydi. Bitta dizayndagi bir nechta softcore protsessorlarini disk raskadrovka qilishni osonlashtirish uchun yaratilgan tunnel kontrollerlari soni J ni ta'minlovchi 1 dan 16 gacha sozlanishi mumkin.TAG har bir maqsadli protsessorga mos interfeys. Ushbu maqsadli protsessorlarning har biriga namunaviy vaqtda o'rnatilgan noyob IR kodi orqali murojaat qilish mumkin.
CLKINT yoki BFR buferi har bir maqsadli protsessor disk raskadrovka interfeysining TGT_TCK qatorida yaratilgan.
UJ dan URSTB liniyasiTAG makro (TRSTB) CoreJ ichidagi global resursga ko'tariladiTAGNosozliklarni tuzatish. Ixtiyoriy inverter CoreJ ichidagi TGT_TRST liniyasiga joylashtirilganTAGNosozliklarni tuzatish maqsadiga ulanish uchun disk raskadrovka. U J.dan kiruvchi TRSTB signali deb taxmin qilinganda sozlanadiTAG TAP faol past. Agar ushbu konfiguratsiya bir yoki bir nechta disk raskadrovka maqsadlarini talab qilsa, qo'shimcha global marshrutlash resursi sarflanadi.
UJ dan URSTB liniyasiTAG makro (TRSTB) CoreJ ichidagi global resursga ko'tariladiTAGNosozliklarni tuzatish. Ixtiyoriy inverter CoreJ ichidagi TGT_TRST liniyasiga joylashtirilganTAGNosozliklarni tuzatish maqsadiga ulanish uchun disk raskadrovka. U J.dan kiruvchi TRSTB signali deb taxmin qilinganda sozlanadiTAG TAP faol past. TGT_TRSTN disk raskadrovka maqsadi uchun standart faol past natijadir. Agar ushbu konfiguratsiya bir yoki bir nechta disk raskadrovka maqsadlarini talab qilsa, qo'shimcha global marshrutlash resursi sarflanadi.
1-3-rasm. CoreJTAGKetma-ket ma'lumotlar va soatni tuzatish
Qurilma zanjiri
Muayyan ishlab chiqish kengashi yoki oila uchun FPGA dasturlash foydalanuvchi qo'llanmalariga qarang. Har bir ishlab chiqish kengashi turli jildlarda ishlashi mumkintages, va siz ularning ishlab chiqish platformalari bilan mumkinmi yoki yo'qligini tekshirishni tanlashingiz mumkin. Bundan tashqari, agar siz bir nechta ishlab chiqish platalaridan foydalansangiz, ular umumiy asosga ega ekanligiga ishonch hosil qiling.
FlashPro sarlavhasi orqali
FlashPro sarlavhasi yordamida matodagi bir nechta qurilmalarni zanjirlashni qo'llab-quvvatlash uchun uj_j ning bir nechta nusxalaritag talab qilinadi. Yadroning ushbu versiyasi uj_j ni qo'lda yaratishga hojat qoldirmasdan maksimal 16 yadroga kirishni ta'minlaydi.tag. Har bir yadro noyob IR kodiga ega (0x55 dan 0x64 gacha), u ID kodiga mos keladigan maxsus yadroga kirishni ta'minlaydi.
1-4-rasm. Bitta qurilmada bitta qurilmada bir nechta protsessorlar
CoreJ dan foydalanish uchunTAGBir nechta qurilmalarda nosozliklarni tuzatish, qurilmalardan biri master bo'lishi kerak. Ushbu qurilmada CoreJ mavjudTAGYadroni tuzatish. Keyin har bir protsessor quyidagi tarzda ulanadi:
1-5-rasm. Ikki qurilmada bir nechta protsessorlar
Boshqa platadagi yadroni disk raskadrovka qilish uchun JTAG CoreJ signallariTAGNosozliklarni tuzatish SmartDesign-da yuqori darajadagi pinlarga ko'tariladi. Keyin ular J ga ulanadiTAG signallarni bevosita protsessorga beradi.
Eslatma: CoreJTAGDebug, ikkinchi kengash dizaynida ixtiyoriydir UJ_JTAG makro va FlashPro sarlavhasi ikkinchi taxtali dizaynda ishlatilmaydi.
SoftConsole-da disk raskadrovka uchun protsessorni tanlash uchun disk raskadrovka konfiguratsiyalarini bosing, so'ngra Debugger yorlig'ini bosing.
Quyidagi rasmda ko'rsatilgan buyruq bajariladi.
1-6-rasm. Nosozliklarni tuzatuvchi konfiguratsiya UJ_JTAG_IRKOD
UJ_JTAG_IRCODE qaysi protsessorni disk raskadrovka qilayotganingizga qarab o'zgartirilishi mumkin. Misol uchunample: 0-qurilmadagi protsessorni disk raskadrovka qilish uchun, UJ_JTAG_IRCODE 0x55 yoki 0x56 ga o'rnatilishi mumkin.
GPIO orqali
GPIO orqali disk raskadrovka qilish uchun UJ parametriTAG _BYPASS tanlangan. GPIO sarlavhalari yoki pinlari orqali bitta va to'rt yadroli disk raskadrovka mumkin. SoftConsole v5.3 yoki undan yuqori versiyadagi GPIO'lar yordamida disk raskadrovka seansini ishga tushirish uchun disk raskadrovka konfiguratsiyasi quyidagicha sozlanishi kerak:
1-7-rasm. Nosozliklarni tuzatuvchi konfiguratsiya GPIO
Eslatma: Agar siz GPIO orqali disk raskadrovka qilsangiz, ishlab chiqish platalaridagi FlashPro sarlavhasi yoki o'rnatilgan FlashPro5 orqali protsessorni bir vaqtning o'zida disk raskadrovka qila olmaysiz. Misol uchunample: Identify yoki SmartDebug yordamida disk raskadrovkani osonlashtirish uchun FlashPro Header yoki Embedded FlashPro5 mavjud.
1-8-rasm. GPIO pinlari orqali nosozliklarni tuzatish
GPIO pinlari orqali qurilma zanjiri
GPIO orqali bir nechta qurilmalarni zanjirlashni qo'llab-quvvatlash uchun UJTAG_BYPASS parametrini tanlash kerak. Keyin TCK, TMS va TRSTb signallari yuqori darajadagi portlarga ko'tarilishi mumkin. Barcha maqsadli protsessorlarda TCK, TMS va TRSTb mavjud. Bular quyida ko'rsatilmagan.
1-9-rasm. GPIO pinlari orqali qurilma zanjiri
Asosan JTAG zanjir, protsessorning TDO si boshqa protsessorning TDI siga ulanadi va u barcha protsessorlar shu tarzda zanjirlanmaguncha davom etadi. Birinchi protsessorning TDI va oxirgi protsessorning TDO si J ga ulanadiTAG dasturchi barcha protsessorlarni zanjirlaydi. JTAG protsessorlardan signallar CoreJ ga yo'naltiriladiTAGDebug, ular zanjirlangan bo'lishi mumkin. Agar bir nechta qurilmalar bo'ylab zanjirlash tugallangan bo'lsa, CoreJ bilan qurilmaTAGNosozliklarni tuzatish asosiy qurilmaga aylanadi.
GPIO disk raskadrovka stsenariysida, har bir protsessorga IR kod ajratilmagan bo'lsa, qaysi qurilma disk raskadrovka qilinayotganini tanlash uchun o'zgartirilgan OpenOCD skriptidan foydalaniladi. Qaysi qurilma disk raskadrovka qilinganligini tanlash uchun OpenOCD skripti o'zgartiriladi. Mi-V dizayni uchun file SoftConsole o'rnatish joyida, openocd/scripts/board/ microsemi-riscv.cfg ostida joylashgan. Boshqa protsessorlar uchun files bir xil openocd joyida joylashgan.
Eslatma: Nosozliklarni tuzatish konfiguratsiyasi opsiyalari ham yangilanishi kerak, agar file qayta nomlanadi
1-10-rasm. Nosozliklarni tuzatish konfiguratsiyasi
Username-riscv-gpio-chain.cfg ni oching, keyin esa sobiqampko'rish kerak bo'lgan narsalar:
1-11-rasm. MIV konfiguratsiyasi File
Quyidagi sozlamalar GPIO orqali bitta qurilmada nosozliklarni tuzatish uchun ishlaydi. Zanjirni disk raskadrovka qilish uchun qo'shimcha buyruqlar qo'shilishi kerak, shunda disk raskadrovka qilinmagan qurilmalar aylanib o'tish rejimiga o'tkaziladi.
Zanjirdagi ikkita protsessor uchun quyidagi sample buyrug'i bajariladi:
Bu Target softcore protsessor 1 ni aylanib o'tish rejimiga o'tkazish orqali Target softcore protsessorini tuzatish imkonini beradi. Target softcore protsessor 0 ni disk raskadrovka qilish uchun quyidagi buyruq ishlatiladi:
Eslatma: Ushbu ikkita konfiguratsiya o'rtasidagi yagona farq shundaki, Microsemi RISCV konfiguratsiyasini chaqiradigan manba. file (microsemi-riscv.cfg) Target softcore protsessor 0 disk raskadrovkada yoki ikkinchidan, Target softcore protsessor 1 disk raskadrovkada keladi. Zanjirdagi ikkitadan ortiq qurilmalar uchun qo'shimcha jtag newtaps qo'shiladi. Misol uchunample, agar zanjirda uchta protsessor bo'lsa, unda quyidagi buyruq ishlatiladi:
1-12-rasm. MasalanampNosozliklarni tuzatish tizimi
Interfeys
Quyidagi bo'limlarda interfeys bilan bog'liq ma'lumotlar muhokama qilinadi.
Konfiguratsiya parametrlari
CoreJ uchun konfiguratsiya opsiyalariTAGNosozliklarni tuzatish quyidagi jadvalda tasvirlangan. Agar standartdan boshqa konfiguratsiya talab etilsa, konfiguratsiya qilinadigan parametrlar uchun mos qiymatlarni tanlash uchun SmartDesign-dagi Konfiguratsiya dialog oynasidan foydalaning.
2-1-jadval. CoreJTAGNosozliklarni tuzatish konfiguratsiyasi parametrlari
Ism | Yaroqli diapazon | Standart | Tavsif |
NUM_DEBUG_TGTS | 1-16 | 1 | FlashPro orqali mavjud disk raskadrovka maqsadlari soni (UJTAG_DEBUG = 0) 1-16. GPIO orqali mavjud disk raskadrovka maqsadlari soni (UJTAG_DEBUG = 1) 1-4. |
IR_CODE_TGT_x | 0X55-0X64 | 0X55 | JTAG IR kodi, har bir disk raskadrovka maqsadiga bittadan. Belgilangan qiymat ushbu disk raskadrovka maqsadi uchun yagona bo'lishi kerak. Ushbu disk raskadrovka maqsadli interfeysi bilan bog'langan tunnel boshqaruvchisi faqat TDO-ni boshqaradi va maqsadli disk raskadrovka interfeysini boshqaradi, agar IR registrining mazmuni ushbu IR kodiga mos kelsa. |
TGT_ACTIVE_HIGH_RESET_x | 0-1 | 0 | 0: TGT_TRSTN_x chiqishi UJ ning faol-past URSTB chiqishining global shakliga ulanganTAG makro.1: TGT_TRST chiqishi UJ ning faol-past URSTB chiqishining global teskari shakliga ichki ulangan.TAG makro. Agar ushbu parametr har qanday disk raskadrovka maqsadi uchun 1 ga o'rnatilgan bo'lsa, qo'shimcha global marshrutlash resursi sarflanadi. |
UJTAG_BYPASS | 0-1 | 0 | 0: GPIO disk raskadrovka o‘chirilgan, disk raskadrovka FlashPro sarlavhasi yoki o‘rnatilgan FlashPro5.1 orqali mavjud: GPIO disk raskadrovka funksiyasi yoqilgan, disk raskadrovka panelida foydalanuvchi tanlagan GPIO pinlari orqali mavjud.Eslatma: Nosozliklarni tuzatish GPIO orqali amalga oshirilganda, SoftConsole disk raskadrovka opsiyalarida quyidagi disk raskadrovka buyrug'i bajariladi: “—“FPGA_TAP N ni o'rnatish” buyrug'i. |
UJTAG_SEC_EN | 0-1 | 0 | 0: UJTAG agar UJ bo'lsa makro tanlanganTAG_BYPASS = 0. 1: UJTAGAgar UJ bo'lsa _SEC makrosi tanlanadiTAG_BYPASS= 0.Eslatma: Bu parametr faqat PolarFire uchun amal qiladi. Ya'ni OILA = 26. |
Signal tavsiflari
Quyidagi jadvalda CoreJ uchun signal tavsiflari keltirilganTAGNosozliklarni tuzatish.
2-2-jadval. CoreJTAGI/U signallarini tuzatish
Ism | Yaroqli diapazon | Standart | Tavsif |
NUM_DEBUG_TGTS | 1-16 | 1 | FlashPro orqali mavjud disk raskadrovka maqsadlari soni (UJTAG_DEBUG = 0) 1-16. GPIO orqali mavjud disk raskadrovka maqsadlari soni (UJTAG_DEBUG = 1) 1-4. |
IR_CODE_TGT_x | 0X55-0X64 | 0X55 | JTAG IR kodi, har bir disk raskadrovka maqsadiga bittadan. Belgilangan qiymat ushbu disk raskadrovka maqsadi uchun yagona bo'lishi kerak. Ushbu disk raskadrovka maqsadli interfeysi bilan bog'langan tunnel boshqaruvchisi faqat TDO-ni boshqaradi va maqsadli disk raskadrovka interfeysini boshqaradi, agar IR registrining mazmuni ushbu IR kodiga mos kelsa. |
TGT_ACTIVE_HIGH_RESET_x | 0-1 | 0 | 0: TGT_TRSTN_x chiqishi UJ ning faol-past URSTB chiqishining global shakliga ulanganTAG makro.1: TGT_TRST chiqishi UJ ning faol-past URSTB chiqishining global teskari shakliga ichki ulangan.TAG makro. Agar ushbu parametr har qanday disk raskadrovka maqsadi uchun 1 ga o'rnatilgan bo'lsa, qo'shimcha global marshrutlash resursi sarflanadi. |
UJTAG_BYPASS | 0-1 | 0 | 0: GPIO disk raskadrovka o‘chirilgan, disk raskadrovka FlashPro sarlavhasi yoki o‘rnatilgan FlashPro5.1 orqali mavjud: GPIO disk raskadrovka funksiyasi yoqilgan, disk raskadrovka panelida foydalanuvchi tanlagan GPIO pinlari orqali mavjud.Eslatma: Nosozliklarni tuzatish GPIO orqali amalga oshirilganda, SoftConsole disk raskadrovka opsiyalarida quyidagi disk raskadrovka buyrug'i bajariladi: “—“FPGA_TAP N ni o'rnatish” buyrug'i. |
UJTAG_SEC_EN | 0-1 | 0 | 0: UJTAG agar UJ bo'lsa makro tanlanganTAG_BYPASS = 0. 1: UJTAGAgar UJ bo'lsa _SEC makrosi tanlanadiTAG_BYPASS= 0.Eslatma: Bu parametr faqat PolarFire uchun amal qiladi. Ya'ni OILA = 26. |
Eslatmalar:
- J.dagi barcha signallarTAG Yuqoridagi TAP portlari roʻyxati SmartDesign’da yuqori darajadagi portlarga koʻtarilishi kerak.
- SEC portlari faqat UJ bo'lganda mavjudTAG_SEC_EN CoreJ orqali yoqilganTAGDebug konfiguratsiyasi GUI.
- EN_SEC kirishini ulashda ayniqsa ehtiyot bo'ling. Agar EN_SEC yuqori darajadagi portga (qurilma kirish piniga) ko'tarilgan bo'lsa, siz J vaqtida I/U holatini sozlashga kirishingiz kerak.TAG Libero oqimida Dastur dizaynining dasturlash bo'limi va EN_SEC porti uchun I/0 holati (faqat chiqish) 1 ga o'rnatilganligiga ishonch hosil qiling.
Xarita va tavsiflarni ro'yxatdan o'tkazish
CoreJ uchun registrlar mavjud emasTAGNosozliklarni tuzatish.
Asboblar oqimi
Quyidagi bo'limlarda asboblar oqimi bilan bog'liq ma'lumotlar muhokama qilinadi.
Litsenziya
Ushbu IP yadrosidan Libero SoC bilan foydalanish uchun litsenziya talab qilinmaydi.
RTL
To'liq RTL kodi yadro va sinov stollari uchun taqdim etilgan bo'lib, yadroni SmartDesign bilan yaratishga imkon beradi. Simulyatsiya, sintez va tartib Libero SoC ichida amalga oshirilishi mumkin.
SmartDesign
Oldingiample joriy etilgan view CoreJTAGNosozliklarni tuzatish quyidagi rasmda ko'rsatilgan. Yadrolarni yaratish va yaratish uchun SmartDesign-dan foydalanish haqida qo'shimcha ma'lumot olish uchun Libero® SoC foydalanuvchi qo'llanmasida DirectCore-dan foydalanish bo'limiga qarang.
4-1-rasm. SmartDesign CoreJTAGNosozliklarni tuzatish misoli View J yordamidaTAG Sarlavha
4-2-rasm. SmartDesign CoreJTAGGPIO pinlari yordamida nosozliklarni tuzatish
CoreJ sozlanmoqdaTAGSmartDesign-da nosozliklarni tuzatish
Yadro SmartDesign'da GUI konfiguratsiyasi yordamida sozlangan. Sobiqample GUI quyidagi rasmda ko'rsatilgan.
4-3-rasm. CoreJ sozlanmoqdaTAGSmartDesign-da nosozliklarni tuzatish
PolarFire uchun, UJTAG_SEC UJ ni tanlaydiTAGUJ o'rniga _SEC makrosiTAG makro qachon UJTAG_BYPASS o'chirilgan. Boshqa barcha oilalar uchun bu e'tiborga olinmaydi.
Nosozliklarni tuzatish maqsadlari soni UJ bilan 16 tagacha disk raskadrovka maqsadlarini sozlash mumkinTAG_BYPASS o‘chirilgan va UJ bilan 4 tagacha disk raskadrovka maqsadiTAG_BYPASS yoqilgan.
UJTAG_BYPASS UJ orqali nosozliklarni tuzatishni tanlaydiTAG va FlashPro sarlavhasi va GPIO pinlari orqali disk raskadrovka.
Maqsad # IR kodi JTAG Nosozliklarni tuzatish maqsadiga berilgan IR kodi. Bu ko'rsatilgan diapazonda noyob qiymat bo'lishi kerak 2-1-jadval.
Simulyatsiya oqimlari
CoreJ bilan foydalanuvchi test stoli taqdim etiladiTAGNosozliklarni tuzatish. Simulyatsiyalarni ishga tushirish uchun:
- SmartDesign ichida foydalanuvchi test bench oqimini tanlang.
- Yaratish panelida Saqlash va yaratish tugmasini bosing. Core Configuration GUI-dan foydalanuvchi test stolini tanlang.
SmartDesign Libero loyihasini yaratganda, u foydalanuvchi test stolini o'rnatadi files. Foydalanuvchi test bench dasturini ishga tushirish uchun:
- Dizayn ildizini CoreJ ga o'rnatingTAGLibero dizayn ierarxiyasi panelida nosozliklarni tuzatish.
- Libero Design Flow oynasida Oldindan sintezlangan dizaynni tekshirish > Simulyatsiya tugmasini bosing. Bu ModelSim-ni ishga tushiradi va simulyatsiyani avtomatik ravishda ishga tushiradi.
Liberoda sintez
Sintezni ishga tushirish uchun:
- Yadroni sintez qilish uchun Libero SoC Design Flow oynasidagi Sintezlash belgisini bosing. Shu bilan bir qatorda, Dizayn oqimi oynasidagi Sintezlash opsiyasini o'ng tugmasini bosing va Interaktiv ravishda ochish-ni tanlang. Sintez oynasi Synplify® loyihasini ko'rsatadi.
- Ishga tushirish belgisini bosing.
Eslatma: RTG4 uchun vaqtinchalik hodisa (SET) yumshatilgan ogohlantirish mavjud, uni e'tiborsiz qoldirish mumkin, chunki bu IP faqat ishlab chiqish maqsadlarida ishlatiladi va radiatsiya muhitida ishlatilmaydi.
Liberodagi joy va marshrut
Sintez tugagandan so'ng, joylashtirish jarayonini boshlash uchun Libero SoC-dagi Joylash va Marshrut belgisini bosing.
Qurilmani dasturlash
Agar UJAG_SEC funksiyasidan foydalanilsa va EN_SEC yuqori darajali portga (qurilma kirish piniga) ko‘tarilgan bo‘lsa, siz J vaqtida I/U holatini sozlashga kirishingiz kerak.TAG Libero oqimida Dastur dizaynining dasturlash bo'limi va EN_SEC porti uchun I/0 holati (faqat chiqish) 1 ga o'rnatilganligiga ishonch hosil qiling.
Ushbu konfiguratsiya J.ga kirishni saqlab qolish uchun zarurTAG qurilmani qayta dasturlash uchun port, chunki belgilangan chegara skanerlash registri (BSR) qiymati qayta dasturlash vaqtida EN_SEC da har qanday tashqi mantiqiy darajani bekor qiladi.
Tizim integratsiyasi
Keyingi bo'limlarda tizim integratsiyasi bilan bog'liq ma'lumotlar muhokama qilinadi.
IGLOO2/RTG4 uchun tizim darajasidagi dizayn
Quyidagi rasmda J.ni bajarish uchun dizayn talablari ko'rsatilganTAG SoftConsole-dan J-ga qadar matoda joylashgan softcore protsessorini disk raskadrovka qilishTAG IGLOO2 va RTG4 qurilmalari uchun interfeys.
5-1-rasm. RTG4/IGLOO2 JTAG Nosozliklarni tuzatish dizayni
SmartFusion2 uchun tizim darajasidagi dizayn
Quyidagi rasmda J.ni bajarish uchun dizayn talablari ko'rsatilganTAG SoftConsole-dan J-ga qadar matoda joylashgan softcore protsessorini disk raskadrovka qilishTAG SmartFusion2 qurilmalari uchun interfeys.
5-2-rasm. SmartFusion2 JTAG Nosozliklarni tuzatish dizayni
UJTAG_SEC
PolarFire qurilmalari oilasi uchun ushbu nashr foydalanuvchiga UJ o‘rtasida tanlov qilish imkonini beradiTAG va UJTAG_SEC, UJTAGGUIdagi _SEC_EN parametri qaysi birini tanlash uchun ishlatiladi.
Quyidagi rasmda UJ ning jismoniy interfeyslarini ifodalovchi oddiy diagramma ko'rsatilganTAG/UJTAGPolarFire'da _SEC.
5-3-rasm. PolarFire UJTAG_SEC makro
Dizayn cheklovlari
CoreJ bilan dizaynlarTAGNosozliklarni tuzatish ilovadan TCK soat domenida vaqt tahlilidan foydalanishga ruxsat berish uchun dizayn oqimidagi cheklovlarga rioya qilishni talab qiladi.
Cheklovlarni qo'shish uchun:
- Agar Libero v11.7 yoki undan yuqori versiyalarida Kengaytirilgan cheklash oqimi ishlatilsa, DesignFlow oynasida Cheklovlar > Cheklovlarni boshqarish-ni ikki marta bosing va Vaqt yorlig'ini bosing.
- Cheklov menejeri oynasining Vaqt ko'rinishida yangi SDC yaratish uchun Yangi ni bosing file, va ni nomlang file. Dizayn cheklovlari ushbu bo'sh SDCga kiritilishi mumkin bo'lgan soat manbasi cheklovlarini o'z ichiga oladi file.
- Agar Libero v11.7 yoki undan yuqori versiyalarida Klassik cheklov oqimi ishlatilsa, Dizayn oqimi oynasida Cheklovlarni yaratish > Vaqt cheklovini sichqonchaning o'ng tugmasi bilan bosing va keyin Yangi cheklov yaratish-ni bosing. U yangi SDC yaratadi file. Dizayn cheklovlari ushbu bo'sh SDCga kiritilgan soat manbasi cheklovlarini o'z ichiga oladi file.
- TCK davri va yarim davrni hisoblang. TCK disk raskadrovka FlashPro yordamida amalga oshirilganda 6 MGts ga o'rnatiladi va disk raskadrovka FlashPro30 tomonidan qo'llab-quvvatlansa, maksimal 5 MGts chastotasiga o'rnatiladi. Ushbu qadamni bajarganingizdan so'ng, SDC-ga quyidagi cheklovlarni kiriting file:
create_clock -name { TCK } \- davr TCK_PERIOD \
- to'lqin shakli { 0 TCK_HALF_PERIOD } \ [ get_ports { TCK } ] Misol uchunample, 6 MGts TCK chastotasidan foydalanadigan dizayn uchun quyidagi cheklovlar qo'llaniladi.
create_clock -name { TCK } \ - davr 166.67 \
- to'lqin shakli {0 83.33} \ [get_ports {TCK}]
- Barcha cheklovlarni bog'lang files Sintez, Joy va Marshrut va Vaqtni tekshirish s bilantagichida Cheklov menejeri > Vaqt yorlig'i. Bu SDC uchun tegishli tasdiqlash qutilarini tanlash bilan yakunlanadi files, unda cheklovlar kiritilgan
Qayta ko'rib chiqish tarixi
Port nomi | Kengligi | Yo'nalish | Tavsif |
JTAG TAP portlari | |||
TDI | 1 | Kirish | Sinov ma'lumotlari. TAP dan ketma-ket ma'lumotlarni kiritish. |
TCK | 1 | Kirish | Sinov soati. CoreJ ichidagi barcha ketma-ket elementlarga soat manbaiTAGNosozliklarni tuzatish. |
TMS | 1 | Kirish | Sinov rejimini tanlash. |
TDO | 1 | Chiqish | Sinov ma'lumotlari. TAPga ketma-ket ma'lumotlar chiqishi. |
TRSTB | 1 | Kirish | Sinovni tiklash. TAP dan faol past reset kiritish. |
JTAG Maqsadli X portlari | |||
TGT_TDO_x | 1 | Kirish | Nosozliklarni tuzatish maqsadi x dan TAPga maʼlumotlarni sinovdan oʻtkazing. Maqsadli TDO portiga ulaning. |
TGT_TCK_x | 1 | Chiqish | X maqsadli disk raskadrovka uchun soat chiqishini sinab ko'ring. TCK CoreJ ichida global, past egri tarmoqqa ko'tariladiTAGNosozliklarni tuzatish. |
TGT_TRST_x | 1 | Chiqish | Faol-yuqori sinovni tiklash. Faqat TGT_ACTIVE_HIGH_RESET_x =1 bo'lganda foydalaniladi |
TGT_TRSTN_x | 1 | Chiqish | Faol-past sinovni tiklash. Faqat TGT_ACTIVE_HIGH_RESET_x =0 bo'lganda foydalaniladi |
TGT_TMS_x | 1 | Chiqish | Sinov rejimi X maqsadli disk raskadrovka uchun chiqishni tanlang. |
TGT_TDI_x | 1 | Chiqish | Sinov ma'lumotlari. Tuzatish maqsadi x dan ketma-ket ma'lumotlarni kiritish. |
UJTAG_BYPASS_TCK_x | 1 | Kirish | GPIO pinidan maqsad x ni disk raskadrovka qilish uchun soat kiritishni sinab ko'ring. |
UJTAG_BYPASS_TMS_x | 1 | Kirish | Sinov rejimi GPIO pinidan maqsad xni disk raskadrovka qilish uchun tanlang. |
UJTAG_BYPASS_TDI_x | 1 | Kirish | Sinov ma'lumotlari, GPIO pinidan maqsad xni disk raskadrovka qilish uchun ketma-ket ma'lumotlar. |
UJTAG_BYPASS_TRSTB_x | 1 | Kirish | Sinovni tiklash. GPIO pinidan maqsad xni disk raskadrovka qilish uchun kirishni asl holatiga qaytaring. |
UJTAG_BYPASS_TDO_x | 1 | Chiqish | Sinov ma'lumotlari, GPIO pinidagi nosozliklarni tuzatish maqsadi x dan ketma-ket ma'lumotlar. |
SEC portlari | |||
EN_SEC | 1 | Kirish | Xavfsizlikni yoqadi. TAPga tashqi TDI va TRSTB kiritishni bekor qilish uchun foydalanuvchi dizaynini yoqadi.Ogoh bo'ling: Ushbu portni ulashda ayniqsa ehtiyot bo'ling. Qo'shimcha ma'lumot olish uchun quyidagi eslatmaga va Qurilmani dasturlashga qarang. |
TDI_SEC | 1 | Kirish | TDI xavfsizligini bekor qilish. EN_SEC YUQORI bo‘lganda TAPga tashqi TDI kiritishni bekor qiladi. |
TRSTB_SEC | 1 | Kirish | TRSTB xavfsizligini bekor qilish. SEC_EN YUQORI bo‘lganda TAPga tashqi TRSTB kiritishni bekor qiladi. |
UTRSTB | 1 | Chiqish | Qayta tiklash monitorini sinovdan o'tkazish |
UTMS | 1 | Chiqish | Sinov rejimi Monitorni tanlang |
Mikrochip Websayt
Microchip biz orqali onlayn yordam beradi websaytida www.microchip.com/. Bu websayt yaratish uchun foydalaniladi files va ma'lumotlar mijozlarga osongina mavjud. Mavjud kontentning ba'zilari quyidagilarni o'z ichiga oladi:
- Mahsulotni qo'llab-quvvatlash – Maʼlumotlar varaqlari va xatoliklar, ariza qaydlari va sampdasturlar, dizayn resurslari, foydalanuvchi qoʻllanmalari va apparat taʼminotini qoʻllab-quvvatlash hujjatlari, soʻnggi dasturiy taʼminot nashrlari va arxivlangan dasturiy taʼminot
- Umumiy texnik yordam - Tez-tez so'raladigan savollar (FAQ), texnik yordam so'rovlari, onlayn munozara guruhlari, Microchip dizayni bo'yicha hamkor dasturi a'zolari ro'yxati
- Microchip biznesi – Mahsulot tanlash va buyurtma bo‘yicha qo‘llanmalar, Microchip bo‘yicha so‘nggi press-relizlar, seminarlar va tadbirlar ro‘yxati, Microchip savdo ofislari, distribyutorlar va zavod vakillari ro‘yxati
Mahsulot o'zgarishi haqida xabar berish xizmati
Microchipning mahsulot o'zgarishi haqida xabar berish xizmati mijozlarga Microchip mahsulotlaridan xabardor bo'lishga yordam beradi. Abonentlar ma'lum bir mahsulot oilasi yoki qiziqishni rivojlantirish vositasi bilan bog'liq o'zgarishlar, yangilanishlar, tuzatishlar yoki xatoliklar mavjud bo'lganda elektron pochta xabarnomalarini oladi.
Roʻyxatdan oʻtish uchun quyidagi manzilga oʻting www.microchip.com/pcn va ro'yxatdan o'tish ko'rsatmalariga rioya qiling Mijozlarni qo'llab-quvvatlash Microchip mahsulotlari foydalanuvchilari bir nechta kanallar orqali yordam olishlari mumkin:
- Distribyutor yoki vakil
- Mahalliy savdo idorasi
- O'rnatilgan yechimlar muhandisi (ESE) Texnik qo'llab-quvvatlash Mijozlar qo'llab-quvvatlash uchun distribyutor, vakili yoki ESE bilan bog'lanishlari kerak. Mijozlarga yordam berish uchun mahalliy savdo ofislari ham mavjud. Savdo ofislari va manzillar ro'yxati ushbu hujjatga kiritilgan.
Texnik qo'llab-quvvatlash orqali olish mumkin websayt: www.microchip.com/support
Mikrochip qurilmalari kodini himoya qilish xususiyati
Microchip qurilmalaridagi kodni himoya qilish xususiyatining quyidagi tafsilotlariga e'tibor bering:
- Microchip mahsulotlari o'zlarining Microchip ma'lumotlar varag'idagi spetsifikatsiyalarga javob beradi.
- Microchip o'zining mahsulot oilasi belgilangan tartibda va normal sharoitlarda foydalanilganda xavfsiz deb hisoblaydi.
- Microchip qurilmalarining kodni himoyalash funksiyalarini buzishga urinishlarda insofsiz va ehtimol noqonuniy usullar qo'llanilmoqda. Bizning fikrimizcha, bu usullar Microchip mahsulotlaridan Microchip ma'lumotlar varaqlarida mavjud bo'lgan texnik xususiyatlardan tashqarida foydalanishni talab qiladi. Ushbu kodni himoya qilish xususiyatlarini buzishga urinishlar, ehtimol, Microchipning intellektual mulk huquqlarini buzmasdan amalga oshirilmaydi.
- Microchip o'z kodining yaxlitligi haqida qayg'uradigan har qanday mijoz bilan ishlashga tayyor.
- Microchip ham, boshqa yarimo'tkazgich ishlab chiqaruvchisi ham o'z kodining xavfsizligini kafolatlay olmaydi. Kodni himoya qilish biz mahsulotning "buzilmas"ligiga kafolat beramiz degani emas. Kodni himoya qilish doimiy ravishda rivojlanmoqda. Biz Microchip kompaniyasida mahsulotlarimiz kodini himoya qilish xususiyatlarini doimiy ravishda yaxshilashga intilamiz. Microchip kodini himoyalash funksiyasini buzishga urinishlar Raqamli Mingyillik mualliflik huquqi to'g'risidagi qonunning buzilishi bo'lishi mumkin. Agar bunday harakatlar sizning dasturiy ta'minotingizga yoki mualliflik huquqi bilan himoyalangan boshqa asaringizga ruxsatsiz kirishga ruxsat bersa, siz ushbu Qonunga muvofiq sudga da'vo qilish huquqiga ega bo'lishingiz mumkin.
Huquqiy eslatma
Ushbu nashrdagi ma'lumotlar faqat Microchip mahsulotlarini loyihalash va ulardan foydalanish uchun taqdim etilgan. Qurilma ilovalari va shunga o'xshash ma'lumotlar faqat sizga qulaylik uchun taqdim etiladi va yangilanishlar bilan almashtirilishi mumkin. Sizning arizangiz spetsifikatsiyalaringizga mos kelishini ta'minlash sizning mas'uliyatingizdir.
USHBU MA'LUMOT MIKROCHIP TARAFINDAN "XAMDA" TAQDIM ETILGAN. MIKROCHIP HECH QILMAYDI
YOKI OG'ROZA YOKI KO'RIB, YOZMA YOKI OG'ZOZA, QONUNIY TURLI KAFOLATLAR
YOKI BOSHQA YO‘LDA, JUMLADAN MA’LUMOTLAR BILAN BO‘LGAN, BIR CHEKLANMAYDI
BUZILMASLIK KAFOLATLARI, SAVDOCHNING QOLIYATI VA Alohida MAQSADGA MUVOFIQLIK YOKI UNING SHARTI, SIFATI YOKI ISHLATILISHI BILAN BO'LGAN KAFOLATLAR. MIKROCHIP HECH QOLDA HAR QANDAY, MAXSUS, JAZO, TASODIYoV YOKI NOVOQATLI YOQISH, ZARAR, XARAJAT YOKI XARAJATLAR UCHUN MA'LUMOTLARGA, IP IMKONI HAQIDA MASLAHAT BERILGAN YOKI ZARARLAR KO'RIB OLSA. MIKROCHIPNING QONUNDA RUXSAT BERGAN TO'LIQ DARAJIDA MA'LUMOT YOKI UNDAN FOYDALANISH BILAN BO'LGAN BARCHA DA'VOLAR BO'YICHA UMUMIY JA'BORAT BO'LGAN BO'LIM MUMKINDAN ORQISH EMAS. . Mikrochip qurilmalaridan hayotni qo‘llab-quvvatlash va/yoki xavfsizlik dasturlarida foydalanish butunlay xaridorning zimmasida bo‘lib, xaridor Microchipni bunday foydalanish natijasida kelib chiqadigan har qanday va barcha zararlar, da’volar, da’volar yoki harajatlardan himoya qilishga, qoplashga va zararsiz saqlashga rozi bo‘ladi. Hech qanday litsenziyalar, agar boshqacha ko'rsatilmagan bo'lsa, Microchip intellektual mulk huquqi ostida bilvosita yoki boshqa tarzda uzatilmaydi.
AMERIKA | Osiyo/Tinch okeani | Osiyo/Tinch okeani | EVROPA |
Korporativ ofis2355 West Chandler Blvd. Chandler, AZ 85224-6199Tel: 480-792-7200Faks: 480-792-7277Texnik yordam: www.microchip.com/support Web Manzil: www.microchip.com AtlantaDuluth, GATel: 678-957-9614Faks: 678-957-1455Ostin, TXTel: 512-257-3370Boston Westborough, MA Tel: 774-760-0087Faks: 774-760-0088ChikagoItasca, ILTel: 630-285-0071Faks: 630-285-0075DallasAddison, TXTel: 972-818-7423Faks: 972-818-2924DetroytNovi, MITel: 248-848-4000Xyuston, TXTel: 281-894-5983Indianapolis Noblesvill, IN Tel: 317-773-8323Faks: 317-773-5453Tel: 317-536-2380Los-Anjeles Mission Viejo, CA Tel: 949-462-9523Faks: 949-462-9608Tel: 951-273-7800Raleigh, NCTel: 919-844-7510Nyu-York, NYTel: 631-435-6000San-Xose, KaliforniyaTel: 408-735-9110Tel: 408-436-4270Kanada - TorontoTel: 905-695-1980Faks: 905-695-2078 | Avstraliya - SidneyTel: 61-2-9868-6733Xitoy - PekinTel: 86-10-8569-7000Xitoy - ChengduTel: 86-28-8665-5511Xitoy - ChongqingTel: 86-23-8980-9588Xitoy - DongguanTel: 86-769-8702-9880Xitoy - GuanchjouTel: 86-20-8755-8029Xitoy - XanchjouTel: 86-571-8792-8115Xitoy - Gonkong SARTel: 852-2943-5100Xitoy - NankinTel: 86-25-8473-2460Xitoy - QingdaoTel: 86-532-8502-7355Xitoy - ShanxayTel: 86-21-3326-8000Xitoy - ShenyangTel: 86-24-2334-2829Xitoy - ShenchjenTel: 86-755-8864-2200Xitoy - SuzhouTel: 86-186-6233-1526Xitoy - UxanTel: 86-27-5980-5300Xitoy - SianTel: 86-29-8833-7252Xitoy - SyamenTel: 86-592-2388138Xitoy - ChjuxayTel: 86-756-3210040 | Hindiston - BangalorTel: 91-80-3090-4444Hindiston - Yangi DehliTel: 91-11-4160-8631Hindiston - PunaTel: 91-20-4121-0141Yaponiya - OsakaTel: 81-6-6152-7160Yaponiya - TokioTel: 81-3-6880- 3770Koreya - DaeguTel: 82-53-744-4301Koreya - SeulTel: 82-2-554-7200Malayziya – Kuala-LumpurTel: 60-3-7651-7906Malayziya - PenangTel: 60-4-227-8870Filippin - ManilaTel: 63-2-634-9065SingapurTel: 65-6334-8870Tayvan - Xsin ChuTel: 886-3-577-8366Tayvan - KaohsiungTel: 886-7-213-7830Tayvan - TaypeyTel: 886-2-2508-8600Tailand - BangkokTel: 66-2-694-1351Vetnam - Xo Chi MinTel: 84-28-5448-2100 | Avstriya - WelsTel: 43-7242-2244-39Fax: 43-7242-2244-393Daniya - KopengagenTel: 45-4485-5910Fax: 45-4485-2829Finlyandiya - EspooTel: 358-9-4520-820Frantsiya - ParijTel: 33-1-69-53-63-20Fax: 33-1-69-30-90-79Germaniya - GarchingTel: 49-8931-9700Germaniya - XaanTel: 49-2129-3766400Germaniya - XaylbronnTel: 49-7131-72400Germaniya - KarlsrueTel: 49-721-625370Germaniya – MyunxenTel: 49-89-627-144-0Fax: 49-89-627-144-44Germaniya - RosenxaymTel: 49-8031-354-560Isroil - Ra'ananaTel: 972-9-744-7705Italiya - MilanTel: 39-0331-742611Fax: 39-0331-466781Italiya - PadovaTel: 39-049-7625286Niderlandiya - DrunenTel: 31-416-690399Fax: 31-416-690340Norvegiya - TrondxaymTel: 47-72884388Polsha - VarshavaTel: 48-22-3325737Ruminiya - BuxarestTel: 40-21-407-87-50Ispaniya - MadridTel: 34-91-708-08-90Fax: 34-91-708-08-91Shvetsiya - GothenbergTel: 46-31-704-60-40Shvetsiya - StokgolmTel: 46-8-5090-4654Buyuk Britaniya - VokingemTel: 44-118-921-5800Fax: 44-118-921-5820 |
Hujjatlar / manbalar
![]() |
Microchip Technology CoreJTAGNosozliklarni tuzatish protsessorlari [pdf] Foydalanuvchi uchun qoʻllanma CoreJTAGNosozliklarni tuzatish protsessorlari, CoreJTAGNosozliklarni tuzatish, protsessorlar |