intel F-Tile CPRI PHY FPGA IP Design Example
ඉක්මන් ආරම්භක මාර්ගෝපදේශය
F-Tile CPRI PHY Intel® FPGA IP හරය මඟින් සමාකරණ පරීක්ෂණ බංකුවක් සහ දෘඪාංග නිර්මාණයක් සපයයි.ampසම්පාදනය සහ දෘඪාංග පරීක්ෂණ සඳහා සහය වන le. ඔබ නිර්මාණය උත්පාදනය කරන විට example, පරාමිති සංස්කාරකය ස්වයංක්රීයව නිර්මාණය කරයි fileදෘඩාංග තුළ නිර්මාණය අනුකරණය කිරීමට, සම්පාදනය කිරීමට සහ පරීක්ෂා කිරීමට අවශ්ය වේ.
Intel ද සම්පාදනය-පමණි හිටපු සපයයිampIP මූලික ප්රදේශය සහ වේලාව ඉක්මනින් තක්සේරු කිරීමට ඔබට භාවිතා කළ හැකි le ව්යාපෘතිය.
F-Tile CPRI PHY Intel FPGA IP හරය මඟින් නිර්මාණයක් නිර්මාණය කිරීමේ හැකියාව සපයයි.ampCPRI නාලිකා ගණන සහ CPRI රේඛා බිටු අනුපාතවල සහය දක්වන සියලුම සංයෝජන සඳහා les. ටෙස්ට් බංකුව සහ සැලසුම් example F-Tile CPRI PHY Intel FPGA IP core හි බොහෝ පරාමිති සංයෝජන සඳහා සහය දක්වයි.
රූපය 1. සැලසුම් සඳහා සංවර්ධන පියවර Example
අදාළ තොරතුරු
- F-ටයිල් CPRI PHY Intel FPGA IP පරිශීලක මාර්ගෝපදේශය
- F-tile CPRI PHY IP පිළිබඳ සවිස්තරාත්මක තොරතුරු සඳහා.
- F-ටයිල් CPRI PHY Intel FPGA IP නිකුතු සටහන්
- IP නිකුතු සටහන් විශේෂිත නිකුතුවක IP වෙනස්කම් ලැයිස්තුගත කරයි.
දෘඪාංග සහ මෘදුකාංග අවශ්යතා
හිටපු අයව පරීක්ෂා කිරීමටample නිර්මාණය, පහත දෘඪාංග සහ මෘදුකාංග භාවිතා කරන්න:
- Intel Quartus® Prime Pro සංස්කරණ මෘදුකාංගය
- පද්ධති කොන්සෝලය
- සහාය දක්වන සිමියුලේටර්:
- සාරාංශය* VCS*
- සාරාංශ VCS MX
- Siemens* EDA ModelSim* SE හෝ Questa*— Questa-Intel FPGA සංස්කරණය
නිර්මාණය උත්පාදනය කිරීම
රූපය 2. ක්රියා පටිපාටිය
රූපය 3. උදාample Design Tab in IP Parameter Editor
Intel Quartus Prime Pro සංස්කරණ ව්යාපෘතියක් නිර්මාණය කිරීමට:
- Intel Quartus Prime Pro සංස්කරණයේ, ක්ලික් කරන්න File ➤ නව Quartus Prime ව්යාපෘතියක් නිර්මාණය කිරීමට නව ව්යාපෘති විශාරද, හෝ File ➤ දැනට පවතින Intel Quartus Prime ව්යාපෘතියක් විවෘත කිරීමට ව්යාපෘතිය විවෘත කරන්න. විශාරද උපාංගයක් සඳහන් කිරීමට ඔබෙන් විමසයි.
- උපාංග පවුල Agilex (I-series) සඳහන් කර මෙම සියලු අවශ්යතා සපුරාලන උපාංගයක් තෝරන්න:
- සම්ප්රේෂක ටයිල් යනු F-ටයිල් වේ
- සම්ප්රේෂක වේග ශ්රේණිය -1 හෝ -2 වේ
- මූලික වේග ශ්රේණිය -1 හෝ -2 හෝ -3 වේ
- Finish ක්ලික් කරන්න.
F-Tile CPRI PHY Intel FPGA IP දෘඪාංග නිර්මාණය උත්පාදනය කිරීමට මෙම පියවර අනුගමනය කරන්නample සහ testbench:
- IP නාමාවලියෙහි, F-Tile CPRI PHY Intel FPGA IP සොයාගෙන තෝරන්න. නව IP විචලනය කවුළුව දිස්වේ.
- ඉහළ මට්ටමේ නමක් සඳහන් කරන්න ඔබගේ අභිරුචි IP විචලනය සඳහා. පරාමිති සංස්කාරකය IP විචල්ය සැකසුම් a හි සුරකියි file නම් කර ඇත .ip.
- හරි ක්ලික් කරන්න. පරාමිති සංස්කාරකය දිස්වේ.
- IP පටිත්තෙහි, ඔබගේ IP මූලික විචලනය සඳහා පරාමිතීන් සඳහන් කරන්න.
- හිටපු මතample Design tab, යටතේ Example නිර්මාණය Files, testbench සහ compilation-only project උත්පාදනය කිරීමට Simulation විකල්පය තෝරන්න. දෘඪාංග නිර්මාණය උත්පාදනය කිරීමට Synthesis විකල්පය තෝරන්නample. නිර්මාණ ex උත්පාදනය කිරීමට ඔබ අවම වශයෙන් සමාකරණ සහ සංශ්ලේෂණ විකල්පයන්ගෙන් එකක්වත් තෝරාගත යුතුයample.
- හිටපු මතample Design tab, Generated HDL Format යටතේ, Verilog HDL හෝ VHDL තෝරන්න. ඔබ VHDL තෝරා ගන්නේ නම්, ඔබ පරීක්ෂණ බංකුව මිශ්ර භාෂා සිමියුලේටරයක් සමඟ අනුකරණය කළ යුතුය. ex_ හි පරීක්ෂණයට ලක්ව ඇති උපාංගය නාමාවලිය VHDL ආකෘතියකි, නමුත් ප්රධාන පරීක්ෂණ බංකුව වේ file පද්ධති Verilog වේ file.
- උත්පාදනය Ex ක්ලික් කරන්නample නිර්මාණ බොත්තම. Select Example Design Directory කවුළුව දිස්වේ.
- ඔබට නිර්මාණය වෙනස් කිරීමට අවශ්ය නම් හිටපුample බහලුම මාර්ගය හෝ ප්රදර්ශනය වන පෙරනිමි වලින් නම (cpriphy_ftile_0_example_design), නව මාර්ගය වෙත බ්රවුස් කර නව මෝස්තරය ටයිප් කරන්නampලේ නාමාවලියේ නම (ample_dir>).
නාමාවලි ව්යුහය
F-Tile CPRI PHY Intel FPGA IP core design example file නාමාවලි පහත ජනනය කර ඇත fileනිර්මාණය සඳහා එස්ample.
රූපය 4. ජනනය කරන ලද Ex හි නාමාවලි ව්යුහයample නිර්මාණය
වගුව 1. ටෙස්ට් බංකු File විස්තර
File නම් | විස්තරය |
ප්රධාන ටෙස්ට් බංකු සහ අනුකරණය Files | |
<design_example_dir>/ උදාample_testbench/basic_avl_tb_top.sv | ඉහළ මට්ටමේ පරීක්ෂණ බංකුව file. පරීක්ෂණ බංකුව DUT එතුම ක්ෂණිකව ලබා දෙන අතර පැකට් ජනනය කිරීමට සහ පිළිගැනීමට Verilog HDL කාර්යයන් ක්රියාත්මක කරයි. |
<design_example_dir>/ උදාample_testbench/ cpriphy_ftile_wrapper.sv | DUT සහ අනෙකුත් testbench සංරචක ක්ෂණිකව ලබා දෙන DUT දවටනය. |
ටෙස්ට් බංකු ස්ක්රිප්ට් (1) | |
<design_example_dir>/ උදාample_testbench/run_vsim.do | පරීක්ෂණ බංකුව ක්රියාත්මක කිරීමට Siemens EDA ModelSim SE හෝ Questa හෝ Questa-Intel FPGA සංස්කරණ ස්ක්රිප්ට්. |
<design_example_dir>/ උදාample_testbench/run_vcs.sh | පරීක්ෂණ බංකුව ධාවනය කිරීමට Synopsys VCS ස්ක්රිප්ට්. |
<design_example_dir>/ උදාample_testbench/run_vcsmx.sh | පරීක්ෂණ බංකුව ක්රියාත්මක කිරීම සඳහා Synopsys VCS MX ස්ක්රිප්ට් (Verilog HDL සහ SystemVerilog සමඟ VHDL ඒකාබද්ධ කර ඇත). |
හි ඇති වෙනත් සිමියුලේටර් ස්ක්රිප්ට් නොසලකා හරින්නample_dir>/උදාample_testbench/ ෆෝල්ඩරය.
වගුව 2. දෘඪාංග සැලසුම් Example File විස්තර
File නම් | විස්තර |
<design_example_dir>/hardware_test_design/ cpriphy_ftile_hw.qpf | Intel Quartus Prime ව්යාපෘතිය file. |
<design_example_dir>/hardware_test_design/ cpriphy_ftile_hw.qsf | Intel Quartus Prime ව්යාපෘති සැකසුම file. |
<design_example_dir>/hardware_test_design/ cpriphy_ftile_hw.sdc | සාරාංශ සැලසුම් සීමා කිරීම් files. ඔබට මේවා පිටපත් කර වෙනස් කළ හැකිය fileඔබේම Intel Agilex™ නිර්මාණය සඳහා s. |
<design_example_dir>/hardware_test_design/ cpriphy_ftile_hw.v | ඉහළම මට්ටමේ Verilog HDL නිර්මාණය example file. |
<design_example_dir>/hardware_test_design/ cpriphy_ftile_wrapper.sv | DUT සහ අනෙකුත් testbench සංරචක ක්ෂණිකව ලබා දෙන DUT දවටනය. |
<design_example_dir>/hardware_test_design/ hwtest_sl/main_script.tcl | ප්රධාන file පද්ධති කොන්සෝලය වෙත ප්රවේශ වීම සඳහා. |
නිර්මාණය අනුකරණය කිරීම Example Testbench
රූපය 5. ක්රියා පටිපාටිය
පරීක්ෂණ බංකුව අනුකරණය කිරීමට මෙම පියවර අනුගමනය කරන්න:
- විධාන විමසුමේදී, testbench simulation බහලුම වෙත වෙනස් කරන්නample_dir>/උදාample_testbench. cd /උදාample_testbench
- ජනනය කරන ලද ව්යාපෘතියේ quartus_tlg ධාවනය කරන්න file: quartus_tlg cpriphy_ftile_hw
- ip-setup-simulation ධාවනය කරන්න: ip-setup-simulation –output-directory=./sim_script –use-relative-paths –quartus project=cpriphy_ftile_hw.qpf
- ඔබ කැමති සහය දක්වන සිමියුලේටරය සඳහා සමාකරණ ස්ක්රිප්ට් ධාවනය කරන්න. ස්ක්රිප්ට් එක සිමියුලේටරය තුළ පරීක්ෂණ බංකුව සම්පාදනය කර ධාවනය කරයි. ටෙස්ට් බංකුව අනුකරණය කිරීමට පියවර වගුව වෙත යොමු වන්න.
- ප්රතිඵල විශ්ලේෂණය කරන්න. සාර්ථක ටෙස්ට් බංකුවට අධි රාමු පහක් ලැබුණු අතර, "PASSED" පෙන්වයි.
වගුව 3. Synopsys VCS* සිමියුලේටරයේ ටෙස්ට් බංකුව අනුකරණය කිරීමට පියවර
සිමියුලේටරය | උපදෙස් | |
VCS | විධාන රේඛාවේ, ටයිප් කරන්න: | |
sh run_vcs.sh | ||
දිගටම… |
සිමියුලේටරය | උපදෙස් | |
VCS MX | විධාන රේඛාවේ, ටයිප් කරන්න: | |
sh run_vcsmx.sh | ||
ModelSim SE හෝ Questa හෝ Questa-Intel FPGA සංස්කරණය | විධාන රේඛාවේ, ටයිප් කරන්න: | |
vsim -do run_vsim.do | ||
ඔබ GUI ගෙන ඒමකින් තොරව අනුකරණය කිරීමට කැමති නම්, ටයිප් කරන්න: | ||
vsim -c -do run_vsim.do |
පහත එස්ampCPRI නාලිකා 24.33024ක් සමඟින් 4 Gbps සඳහා සාර්ථක සමාකරණ පරීක්ෂණ ධාවනයක් le ප්රතිදානය නිදර්ශනය කරයි:
සම්පාදනය-පමණක් ව්යාපෘතිය සම්පාදනය කිරීම
සම්පාදනය-එකම ex සම්පාදනය කිරීමටample ව්යාපෘතිය, මෙම පියවර අනුගමනය කරන්න:
- සම්පාදනය නිර්මාණය සහතික කරන්න example පරම්පරාව සම්පූර්ණයි.
- Intel Quartus Prime Pro සංස්කරණ මෘදුකාංගය තුළ, Intel Quartus Prime Pro සංස්කරණය ව්යාපෘතිය විවෘත කරන්නample_dir>/compilation_test_design/cpriphy_ftile.qpf.
- සැකසුම් මෙනුවෙහි, Start Compilation ක්ලික් කරන්න.
- සාර්ථක සම්පාදනය කිරීමෙන් පසුව, කාලය සහ සම්පත් භාවිතය සඳහා වාර්තා ඔබේ Intel Quartus Prime Pro සංස්කරණ සැසියේ ඇත.
අදාළ තොරතුරු
බ්ලොක් පාදක නිර්මාණ ගලා යයි
නිර්මාණය සම්පාදනය කිරීම සහ වින්යාස කිරීම Exampදෘඪාංගයේ le
දෘඪාංග නිර්මාණය සම්පාදනය කිරීමට example සහ එය ඔබගේ Intel Agilex උපාංගයේ වින්යාස කරන්න, මෙම පියවර අනුගමනය කරන්න:
- දෘඪාංග නිර්මාණය සහතික කරන්න example පරම්පරාව සම්පූර්ණයි.
- Intel Quartus Prime Pro සංස්කරණ මෘදුකාංගයේ, Intel Quartus Prime ව්යාපෘතිය විවෘත කරන්නample_dir>/hardware_test_design/ cpriphy_ftile_hw.qpf.
- .qsf සංස්කරණය කරන්න file ඔබගේ දෘඪාංග මත පදනම්ව කටු පැවරීමට.
- සැකසුම් මෙනුවෙහි, Start Compilation ක්ලික් කරන්න.
- සාර්ථක සම්පාදනය කිරීමෙන් පසුව, a .sof file තුල පවතීample_dir>/hardware_test_design/output_fileගේ නාමාවලිය.
දෘඪාංග නිර්මාණය හිටපු වැඩසටහන් කිරීමට මෙම පියවර අනුගමනය කරන්නampIntel Agilex උපාංගයේ le:
- Intel Agilex I-series Transceiver Signal Integrity Development Kit සත්කාරක පරිගණකයට සම්බන්ධ කරන්න.
සටහන: සංවර්ධන කට්ටලය පෙරනිමියෙන් නිවැරදි ඔරලෝසු සංඛ්යාත සමඟ පූර්ව වැඩසටහන්ගත කර ඇත. සංඛ්යාත සැකසීමට ඔබට ඔරලෝසු පාලන යෙදුම භාවිත කිරීමට අවශ්ය නොවේ. - මෙවලම් මෙනුවේ, ක්රමලේඛකයා ක්ලික් කරන්න.
- ක්රමලේඛකයා තුළ, දෘඪාංග සැකසුම ක්ලික් කරන්න.
- ක්රමලේඛන උපාංගයක් තෝරන්න.
- මාදිලිය J ලෙස සකසා ඇති බව සහතික කර ගන්නTAG.
- Intel Agilex උපාංගය තෝරන්න සහ උපාංගය එකතු කරන්න ක්ලික් කරන්න. ක්රමලේඛකයා ඔබගේ පුවරුවේ ඇති උපාංග අතර සම්බන්ධතා වල බ්ලොක් රූප සටහනක් පෙන්වයි.
- ඔබේ .sof සහිත පේළියේ, .sof සඳහා කොටුව සලකුණු කරන්න.
- වැඩසටහන්/වින්යාස තීරුවේ ඇති කොටුව සලකුණු කරන්න.
- Start ක්ලික් කරන්න.
අදාළ තොරතුරු
- බ්ලොක් පාදක නිර්මාණ ගලා යයි
- Intel FPGA උපාංග ක්රමලේඛනය කිරීම
- පද්ධති කොන්සෝලය සමඟ සැලසුම් විශ්ලේෂණය කිරීම සහ දෝෂහරණය කිරීම
දෘඪාංග නිර්මාණය පරීක්ෂා කිරීම Example
ඔබ F-ටයිල් CPRI PHY Intel FPGA IP core නිර්මාණය සම්පාදනය කළ පසුample සහ එය ඔබගේ Intel Agilex උපාංගයේ වින්යාස කරන්න, ඔබට IP හරය සහ එහි PHY IP core රෙජිස්ටර් ක්රමලේඛනය කිරීමට System Console භාවිතා කළ හැක.
පද්ධති කොන්සෝලය සක්රිය කිරීමට සහ දෘඪාංග නිර්මාණය පරීක්ෂා කිරීමට example, මෙම පියවර අනුගමනය කරන්න:
- දෘඪාංග නිර්මාණයෙන් පසු හිටපුample වින්යාස කර ඇත්තේ Intel Agilex උපාංගයේ, Intel Quartus Prime Pro සංස්කරණ මෘදුකාංගයේ, මෙවලම් මෙනුවේ, System Debugging Tools ➤ System Console ක්ලික් කරන්න.
- Tcl Console කවුළුව තුළ, නාමාවලිය වෙනස් කිරීමට cd hwtest ටයිප් කරන්නample_dir>/hardware_test_design/hwtest_sl.
- J වෙත සම්බන්ධතාවයක් විවෘත කිරීමට source main_script.tcl ටයිප් කරන්නTAG මාස්ටර් සහ පරීක්ෂණය ආරම්භ කරන්න.
නිර්මාණ Example විස්තරය
නිර්මාණය හිටපුample විසින් F-Tile CPRI PHY Intel FPGA IP හරයේ මූලික ක්රියාකාරීත්වය පෙන්නුම් කරයි. ඔබට Ex වෙතින් නිර්මාණය උත්පාදනය කළ හැකියample නිර්මාණ පටිත්ත F-Tile CPRI PHY Intel FPGA IP පරාමිති සංස්කාරකයේ.
නිර්මාණය උත්පාදනය කිරීමට example, ඔබ ප්රථමයෙන් ඔබේ අවසාන නිෂ්පාදනයේ උත්පාදනය කිරීමට අදහස් කරන IP මූලික විචලනය සඳහා පරාමිති අගයන් සැකසිය යුතුය. ඔබ නිර්මාණය ex උත්පාදනය කිරීමට තෝරා ගත හැකampRS-FEC විශේෂාංගය සමඟ හෝ නැතිව. RS-FEC විශේෂාංගය 10.1376, 12.1651 සහ 24.33024 Gbps CPRI රේඛා බිට් අනුපාත සමඟින් ලබා ගත හැකිය.
වගුව 4. F-ටයිල් CPRI PHY Intel FPGA IP Core Feature Matrix
CPRI රේඛා බිට් අනුපාතය (Gbps) | RS-FEC සහාය | විමර්ශන ඔරලෝසුව (MHz) | නිර්ණායක ප්රමාද සහාය |
1.2288 | නැත | 153.6 | ඔව් |
2.4576 | නැත | 153.6 | ඔව් |
3.072 | නැත | 153.6 | ඔව් |
4.9152 | නැත | 153.6 | ඔව් |
6.144 | නැත | 153.6 | ඔව් |
9.8304 | නැත | 153.6 | ඔව් |
10.1376 | සමඟ සහ නැතිව | 184.32 | ඔව් |
12.1651 | සමඟ සහ නැතිව | 184.32 | ඔව් |
24.33024 | සමඟ සහ නැතිව | 184.32 | ඔව් |
විශේෂාංග
- නිර්මාණය උත්පාදනය කරන්න exampRS-FEC විශේෂාංගය සමඟ le
- වට සංචාර ප්රමාද ගණන ඇතුළු මූලික පැකට් පරීක්ෂා කිරීමේ හැකියාවන්
සමාකරණ සැලසුම් Example
F-Tile CPRI PHY Intel FPGA IP නිර්මාණය example simulation testbench සහ simulation ජනනය කරයි fileඔබ සමාකරණ විකල්පය තෝරන විට F-Tile CPRI PHY Intel FPGA IP හරය ක්ෂණිකව ලබා දෙයි.
රූපය 6. 10.1316, 12.1651, සහ 24.33024 Gbps (RS-FEC සමඟ සහ රහිත) රේඛා අනුපාත සඳහා බ්ලොක් රූප සටහන
රූපය 7. 1.228, 2.4576, 3.072, 4.9152, 6.144, සහ 9.8304 Gbps රේඛීය අනුපාතය සඳහා බ්ලොක් රූප සටහන
මෙම නිර්මාණයේදී හිටපුample, simulation testbench ආරම්භය සහ අගුලු දැමීම, සම්ප්රේෂණය සහ පැකට් ලබා ගැනීම වැනි මූලික ක්රියාකාරීත්වය සපයයි.
සාර්ථක පරීක්ෂණ ධාවනය පහත හැසිරීම් සනාථ කරන ප්රතිදානය පෙන්වයි:
- සේවාදායක තර්කනය IP හරය නැවත සකසයි.
- සේවාලාභී තර්කය RX දත්ත පත්ර පෙළගැස්ම සඳහා රැඳී සිටියි.
- සේවාදායක තර්කනය TX MII අතුරුමුහුණත මත අධි රාමු සම්ප්රේෂණය කරන අතර RX MII අතුරුමුහුණත මත අධි රාමු පහක් ලැබෙන තෙක් බලා සිටී. CPRI v7.0 පිරිවිතරයන්ට අනුව අධි රාමු MII අතුරුමුහුණත මත සම්ප්රේෂණය කර ලැබේ.
සටහන: 1.2, 2.4, 3, 4.9, 6.1, සහ 9.8 Gbps රේඛා අනුපාතය ඉලක්ක කරන CPRI සැලසුම් 8b/10b අතුරුමුහුණත භාවිතා කරන අතර 10.1, 12.1 සහ 24.3 Gbps (RS-FEC සහිත සහ රහිත) ඉලක්ක කරන මෝස්තර MI අතුරුමුහුණත භාවිතා කරයි. මෙම නිර්මාණය හිටපුample හි TX සිට RX දක්වා වට සංචාර ප්රමාදය ගණනය කිරීමට වට සංචාර කවුන්ටරයක් ඇතුළත් වේ. - සේවාදායක තර්කනය වට සංචාර ප්රමාද අගය කියවන අතර කවුන්ටරය වට සංචාර ප්රමාද ගණන සම්පූර්ණ කළ පසු RX MII පැත්තේ ඇති අධි රාමු දත්තවල අන්තර්ගතය සහ නිවැරදි බව පරීක්ෂා කරයි.
අදාළ තොරතුරු
- CPRI පිරිවිතර
දෘඪාංග නිර්මාණය Example
රූපය 8. දෘඪාංග නිර්මාණය Example බ්ලොක් රූප සටහන
සටහන
- 2.4/4.9/9.8 Gbps CPRI රේඛා අනුපාත සහිත CPRI සැලසුම් 8b/10b අතුරුමුහුණත භාවිතා කරන අතර අනෙකුත් සියලුම CPRI රේඛා අනුපාත සැලසුම් MII අතුරුමුහුණත භාවිතා කරයි.
- 2.4/4.9/9.8 Gbps CPRI රේඛා අනුපාත සහිත CPRI සැලසුම් සඳහා 153.6 MHz සම්ප්රේෂක යොමු ඔරලෝසුවක් අවශ්ය වන අතර අනෙකුත් සියලුම CPRI රේඛා අනුපාත සඳහා 184.32 MHz අවශ්ය වේ.
F-Tile CPRI PHY Intel FPGA IP core දෘඪාංග නිර්මාණය example පහත සඳහන් සංරචක ඇතුළත් වේ:
- F-ටයිල් CPRI PHY Intel FPGA IP හරය.
- ගමනාගමනය උත්පාදනය කරන සහ ලැබෙන පැකට් සේවාදායක තර්ක අවහිර කිරීම.
- වට චාරිකා කවුන්ටරය.
- s ජනනය කිරීමට IOPLLampIP ඇතුළත නිර්ණායක ප්රමාද තර්කනය සඳහා ling ඔරලෝසුව සහ testbench හි රවුම් චාරිකා කවුන්ටරය.
- IP සඳහා පද්ධති ඔරලෝසු උත්පාදනය කිරීමට System PLL.
- Avalon®-MM ලිපින විකේතකය ප්රතිසංවිධාන ප්රවේශයන් අතරතුර CPRI, Transceiver සහ Ethernet මොඩියුල සඳහා නැවත වින්යාස කිරීමේ ලිපින අවකාශය විකේතනය කිරීමට.
- යළි පිහිටුවීම් තහවුරු කිරීම සහ ඔරලෝසු සහ තත්ව බිටු කිහිපයක් නිරීක්ෂණය කිරීම සඳහා මූලාශ්ර සහ පරීක්ෂණ.
- JTAG පද්ධති කොන්සෝලය සමඟ සන්නිවේදනය කරන පාලකය. ඔබ පද්ධති කොන්සෝලය හරහා සේවාදායක තර්කනය සමඟ සන්නිවේදනය කරයි.
අතුරුමුහුණත් සංඥා
වගුව 5. නිර්මාණ Example අතුරුමුහුණත් සංඥා
සංඥාව | දිශාව | විස්තරය |
ref_clk100MHz | ආදානය | සියලුම ප්රතිමානකරණ අතුරුමුහුණත් මත CSR ප්රවේශය සඳහා ආදාන ඔරලෝසුව. 100 MHz වේගයෙන් ධාවනය කරන්න. |
i_clk_ref[0] | ආදානය | System PLL සඳහා යොමු ඔරලෝසුව. 156.25 MHz දී ධාවනය කරන්න. |
i_clk_ref[1] | ආදානය | සම්ප්රේෂක යොමු ඔරලෝසුව. ධාවනය කරන්න
• CPRI රේඛා අනුපාතය 153.6, 1.2, 2.4, 3, 4.9, සහ 6.1 Gbps සඳහා 9.8 MHz. • 184.32 MHz සඳහා CPRI රේඛා අනුපාත 10.1,12.1, සහ RS-FEC සමඟ සහ රහිතව 24.3 Gbps. |
i_rx_serial[n] | ආදානය | Transceiver PHY ආදාන අනුක්රමික දත්ත. |
o_tx_serial[n] | ප්රතිදානය | Transceiver PHY ප්රතිදාන අනුක්රමික දත්ත. |
නිර්මාණ Example රෙජිස්ටර්
වගුව 6. නිර්මාණ Example රෙජිස්ටර්
නාලිකා අංකය | මූලික ලිපිනය (බයිට් ලිපිනය) | ලියාපදිංචි වර්ගය |
0 |
0x00000000 | CPRI PHY ප්රතිසංවිධානය නාලිකා 0 සඳහා ලියාපදිංචි වේ |
0x00100000 | Ethernet Reconfiguration Channel 0 සඳහා ලියාපදිංචි වේ | |
0x00200000 | Transceiver Reconfiguration Channel 0 සඳහා ලියාපදිංචි වේ | |
1(2) |
0x01000000 | CPRI PHY ප්රතිසංවිධානය නාලිකා 1 සඳහා ලියාපදිංචි වේ |
0x01100000 | Ethernet Reconfiguration Channel 1 සඳහා ලියාපදිංචි වේ | |
0x01200000 | Transceiver Reconfiguration Channel 1 සඳහා ලියාපදිංචි වේ | |
2(2) |
0x02000000 | CPRI PHY ප්රතිසංවිධානය නාලිකා 2 සඳහා ලියාපදිංචි වේ |
0x02100000 | Ethernet Reconfiguration Channel 2 සඳහා ලියාපදිංචි වේ | |
0x02200000 | Transceiver Reconfiguration Channel 2 සඳහා ලියාපදිංචි වේ | |
දිගටම… |
නාලිකා අංකය | මූලික ලිපිනය (බයිට් ලිපිනය) | ලියාපදිංචි වර්ගය |
3(2) |
0x03000000 | CPRI PHY ප්රතිසංවිධානය නාලිකා 3 සඳහා ලියාපදිංචි වේ |
0x03100000 | Ethernet Reconfiguration Channel 3 සඳහා ලියාපදිංචි වේ | |
0x03200000 | Transceiver Reconfiguration Channel 3 සඳහා ලියාපදිංචි වේ |
නාලිකාව භාවිතා නොකරන්නේ නම් මෙම ලේඛන වෙන් කර ඇත.
F-ටයිල් CPRI PHY Intel FPGA IP නිර්මාණය Example පරිශීලක මාර්ගෝපදේශ ලේඛනාගාරය
IP මූලික අනුවාදයක් ලැයිස්තුගත කර නොමැති නම්, පෙර IP core අනුවාදය සඳහා පරිශීලක මාර්ගෝපදේශය අදාළ වේ.
Intel Quartus Prime අනුවාදය | IP Core අනුවාදය | පරිශීලක මාර්ගෝපදේශය |
21.2 | 2.0.0 | F-ටයිල් CPRI PHY Intel FPGA IP නිර්මාණය Example පරිශීලක මාර්ගෝපදේශය |
F-Tile CPRI PHY Intel FPGA IP නිර්මාණය සඳහා ලේඛන සංශෝධන ඉතිහාසය Example පරිශීලක මාර්ගෝපදේශය
ලේඛන අනුවාදය | Intel Quartus Prime අනුවාදය | IP අනුවාදය | වෙනස්කම් |
2021.10.04 | 21.3 | 3.0.0 |
|
2021.06.21 | 21.2 | 2.0.0 | මුල් නිකුතුව. |
ඉන්ටෙල් සංස්ථාව. සියලුම හිමිකම් ඇවිරිණි. Intel, Intel ලාංඡනය සහ අනෙකුත් Intel සලකුණු Intel Corporation හෝ එහි අනුබද්ධිත සමාගම්වල වෙළඳ ලකුණු වේ. Intel හි FPGA සහ අර්ධ සන්නායක නිෂ්පාදනවල කාර්ය සාධනය වර්තමාන පිරිවිතරයන්ට අනුව Intel හි සම්මත වගකීම් සහතිකයට අනුකූලව සහතික කරයි, නමුත් දැනුම්දීමකින් තොරව ඕනෑම වේලාවක ඕනෑම නිෂ්පාදනයක් සහ සේවාවක් වෙනස් කිරීමට අයිතිය රඳවා තබා ගනී. Intel විසින් ලිඛිතව ලිඛිතව එකඟ වී ඇති පරිදි හැර මෙහි විස්තර කර ඇති ඕනෑම තොරතුරක්, නිෂ්පාදනයක් හෝ සේවාවක් යෙදුමෙන් හෝ භාවිතා කිරීමෙන් පැන නගින කිසිදු වගකීමක් හෝ වගකීමක් Intel භාර නොගනී. Intel පාරිභෝගිකයින්ට ඕනෑම ප්රකාශිත තොරතුරු මත විශ්වාසය තැබීමට පෙර සහ නිෂ්පාදන හෝ සේවා සඳහා ඇණවුම් කිරීමට පෙර උපාංග පිරිවිතරවල නවතම අනුවාදය ලබා ගැනීමට උපදෙස් දෙනු ලැබේ.
*වෙනත් නම් සහ වෙළඳ නාම අන් අයගේ දේපළ ලෙස හිමිකම් පෑමට හැකිය.
ලේඛන / සම්පත්
![]() |
intel F-Tile CPRI PHY FPGA IP Design Example [pdf] පරිශීලක මාර්ගෝපදේශය F-ටයිල් CPRI PHY FPGA IP නිර්මාණය Example, PHY FPGA IP නිර්මාණය Example, F-ටයිල් CPRI IP නිර්මාණය Example, IP Design Example, IP නිර්මාණය |