intel F-Tile CPRI PHY FPGA IP Tasarım Example
Hızlı Başlangıç Kılavuzu
F-Tile CPRI PHY Intel® FPGA IP çekirdeği, bir simülasyon test tezgahı ve donanım tasarımı sağlar.ampderleme ve donanım testini destekleyen dosya. Eski tasarımı oluşturduğunuzdaample, parametre düzenleyici otomatik olarak oluşturur filetasarımı donanımda simüle etmek, derlemek ve test etmek için gereklidir.
Intel ayrıca yalnızca derlemeye yönelik bir eski sürüm sağlarampIP çekirdek alanını ve zamanlamasını hızlı bir şekilde tahmin etmek için kullanabileceğiniz bir proje.
F-Tile CPRI PHY Intel FPGA IP çekirdeği, eski tasarım oluşturma yeteneği sağlarampCPRI kanal sayısı ve CPRI hat bit hızlarının desteklenen tüm kombinasyonları için dosyalar. Test tezgahı ve tasarım eskiampF-Tile CPRI PHY Intel FPGA IP çekirdeğinin çok sayıda parametre kombinasyonunu destekler.
Şekil 1. Tasarım Örneği için Geliştirme Adımlarıample
İlgili Bilgiler
- F-Tile CPRI PHY Intel FPGA IP Kullanım Kılavuzu
- F-tile CPRI PHY IP hakkında detaylı bilgi için.
- F-Tile CPRI PHY Intel FPGA IP Sürüm Notları
- IP Sürüm Notları, belirli bir sürümdeki IP değişikliklerini listeler.
Donanım ve Yazılım Gereksinimleri
Ex'i test etmek içinamptasarım için aşağıdaki donanım ve yazılımı kullanın:
- Intel Quartus® Prime Pro Edition yazılımı
- Sistem konsolu
- Desteklenen Simülatörler:
- Özet* VCS*
- Özet VCS MX
- Siemens* EDA ModelSim* SE veya Questa*— Questa-Intel FPGA Sürümü
Tasarımın Oluşturulması
Şekil 2. Prosedür
Şekil 3. ÖrampIP Parametre Düzenleyicisindeki Tasarım Sekmesi
Intel Quartus Prime Pro Edition projesi oluşturmak için:
- Intel Quartus Prime Pro Edition'da, tıklayın File ➤ Yeni bir Quartus Prime projesi oluşturmak için Yeni Proje Sihirbazı veya File ➤ Mevcut bir Intel Quartus Prime projesini açmak için Projeyi Aç. Sihirbaz sizden bir cihaz belirtmenizi ister.
- Agilex (I serisi) cihaz ailesini belirtin ve bu gereksinimlerin tümünü karşılayan bir cihaz seçin:
- Alıcı-verici döşemesi F-döşemesidir
- Telsiz hız derecesi -1 veya -2
- Çekirdek hız derecesi -1 veya -2 veya -3'tür
- Bitir’e tıklayın.
F-Tile CPRI PHY Intel FPGA IP donanım tasarımını oluşturmak için bu adımları izleyin.ample ve test tezgahı:
- IP Katalogunda, F-Tile CPRI PHY Intel FPGA IP'yi bulun ve seçin. Yeni IP Varyasyonu penceresi görünür.
- Üst düzey bir ad belirtin özel IP varyasyonunuz için. Parametre düzenleyici, IP varyasyon ayarlarını bir file adlı .ip.
- Tamam'ı tıklayın. Parametre düzenleyici görünür.
- IP sekmesinde, IP çekirdek varyasyonunuz için parametreleri belirtin.
- Ex'deample Tasarım sekmesi, Ex altındaample Tasarım Files, testbench'i ve yalnızca derleme projesini oluşturmak için Simülasyon seçeneğini seçin. Donanım tasarımını eski haline getirmek için Sentez seçeneğini seçin.ample. Ex tasarımını oluşturmak için Simülasyon ve Sentez seçeneklerinden en az birini seçmelisiniz.ampley.
- Ex'deampTasarım sekmesinde, Oluşturulan HDL Biçimi altında, Verilog HDL veya VHDL'yi seçin. VHDL'yi seçerseniz, test ortamını karma dilli bir simülatörle simüle etmeniz gerekir. Ex_ içinde test edilen cihaz dizini bir VHDL modelidir, ancak ana test tezgahı file bir Sistem Verilog'udur file.
- Ex Oluştur'u tıklayınample Tasarım düğmesi. Seçilmiş Example Tasarım Dizini penceresi görünür.
- Eski tasarımı değiştirmek istersenizampGörüntülenen varsayılanlardan dosya dizini yolu veya adı (cpriphy_ftile_0_example_design), yeni yola göz atın ve eski yeni tasarımı yazınample dizin adı (ample_dir>).
Dizin Yapısı
F-Tile CPRI PHY Intel FPGA IP çekirdek tasarımı eskiample file dizinler aşağıdakileri içerir files eski tasarım içinampley.
Şekil 4. Oluşturulan Örneğin Dizin Yapısıample Tasarım
Tablo 1. Test tezgahı File Açıklamalar
File İsimler | Tanım |
Temel Test Tezgahı ve Simülasyon Files | |
<design_example_dir>/ eskiample_testbench/basic_avl_tb_top.sv | Üst düzey test tezgahı file. Testbench, DUT sarıcısını başlatır ve paketleri oluşturmak ve kabul etmek için Verilog HDL görevlerini çalıştırır. |
<design_example_dir>/ eskiample_testbench/cpriphy_ftile_wrapper.sv | DUT ve diğer test tezgahı bileşenlerini başlatan DUT sarıcı. |
Testbench Komut Dosyaları(1) | |
<design_example_dir>/ eskiample_testbench/run_vsim.do | Testbench'i çalıştırmak için Siemens EDA ModelSim SE veya Questa veya Questa-Intel FPGA Sürümü komut dosyası. |
<design_example_dir>/ eskiample_testbench/run_vcs.sh | Testbench'i çalıştırmak için Synopsys VCS betiği. |
<design_example_dir>/ eskiample_testbench/run_vcsmx.sh | Testbench'i çalıştırmak için Synopsys VCS MX betiği (Verilog HDL ve SystemVerilog ile VHDL'yi bir araya getirdi). |
Diğer herhangi bir simülatör betiğini yok sayın.ample_dir>/eskiample_testbench/ klasörü.
Tablo 2. Donanım Tasarımı Örn.ample File Açıklamalar
File İsimler | Açıklamalar |
<design_example_dir>/hardware_test_design/ cpriphy_ftile_hw.qpf | Intel Quartus Prime projesi file. |
<design_example_dir>/hardware_test_design/ cpriphy_ftile_hw.qsf | Intel Quartus Prime proje ayarı file. |
<design_example_dir>/hardware_test_design/ cpriphy_ftile_hw.sdc | Sinopsys Tasarım Kısıtlamaları fileS. Bunları kopyalayabilir ve değiştirebilirsiniz fileKendi Intel Agilex™ tasarımınız için. |
<design_example_dir>/hardware_test_design/ cpriphy_ftile_hw.v | Üst düzey Verilog HDL tasarımı eskiample file. |
<design_example_dir>/hardware_test_design/ cpriphy_ftile_wrapper.sv | DUT ve diğer test tezgahı bileşenlerini başlatan DUT sarıcı. |
<design_exampdosya_dir>/hardware_test_design/ hwtest_sl/main_script.tcl | Ana file System Console'a erişmek için. |
Tasarım Örneğinin Simüle Edilmesiamptest tezgahı
Şekil 5. Prosedür
Testbench'i simüle etmek için şu adımları izleyin:
- Komut isteminde, testbench simülasyon dizinine geçinample_dir>/eskiample_testbench. CD /eskiample_testbench
- Oluşturulan projede quartus_tlg'yi çalıştırın file: quartus_tlg cpriphy_ftile_hw
- ip-setup-simulation'ı çalıştırın: ip-setup-simulation –output-directory=./sim_script –use-relative-paths –quartus project=cpriphy_ftile_hw.qpf
- Seçtiğiniz desteklenen simülatör için simülasyon komut dosyasını çalıştırın. Betik, simülatördeki testbench'i derler ve çalıştırır. Testbench'i Simüle Etme Adımları tablosuna bakın.
- Sonuçları analiz edin. Başarılı test tezgahı beş hiper çerçeve aldı ve "PASSED" (BAŞARILI) olarak görüntülendi.
Tablo 3. Synopsys VCS* Simulator'da Testbench'i Simüle Etme Adımları
Simülatör | Talimatlar | |
VCS | Komut satırına şunu yazın: | |
sh run_vcs.sh | ||
devam etti… |
Simülatör | Talimatlar | |
VCS MX | Komut satırına şunu yazın: | |
sh run_vcsmx.sh | ||
ModelSim SE veya Questa veya Questa-Intel FPGA Sürümü | Komut satırına şunu yazın: | |
vsim -do run_vsim.do | ||
GUI'yi açmadan simüle etmeyi tercih ederseniz, şunu yazın: | ||
vsim -c -do run_vsim.do |
Aşağıdakilerampdosya çıktısı, 24.33024 CPRI kanalıyla 4 Gb/sn için başarılı bir simülasyon testi çalıştırmasını göstermektedir:
Yalnızca Derleme Projesini Derleme
Yalnızca derlemeyi derlemek için eskiampProjede şu adımları izleyin:
- Derleme tasarımının eski olduğundan emin olunample oluşturma tamamlandı.
- Intel Quartus Prime Pro Edition yazılımında, Intel Quartus Prime Pro Edition projesini açınample_dir>/compilation_test_design/cpriphy_ftile.qpf.
- İşleme menüsünde Derlemeyi Başlat'a tıklayın.
- Başarılı bir derlemeden sonra, zamanlama ve kaynak kullanımına ilişkin raporlar Intel Quartus Prime Pro Edition oturumunuzda mevcuttur.
İlgili Bilgiler
Blok Tabanlı Tasarım Akışları
Design Ex'i Derleme ve Yapılandırmaampdonanım dosyası
Eski donanım tasarımını derlemek içinampdosyasını indirin ve Intel Agilex aygıtınızda yapılandırın, şu adımları izleyin:
- Donanım tasarımının eski olduğundan emin olunample oluşturma tamamlandı.
- Intel Quartus Prime Pro Edition yazılımında Intel Quartus Prime projesini açınample_dir>/hardware_test_design/cpriphy_ftile_hw.qpf.
- .qsf dosyasını düzenleyin file donanımınıza göre pin atamak için.
- İşleme menüsünde Derlemeyi Başlat'a tıklayın.
- Başarılı derlemeden sonra bir .sof file içinde mevcutampdosya_dir>/hardware_test_design/output_filedizini.
Donanım tasarımını önceden programlamak için bu adımları izleyin.ampIntel Agilex aygıtındaki dosya:
- Intel Agilex I-serisi Alıcı-Verici Sinyal Bütünlüğü Geliştirme Kitini ana bilgisayara bağlayın.
Not: Geliştirme kiti, varsayılan olarak doğru saat frekanslarıyla önceden programlanmıştır. Frekansları ayarlamak için Saat Kontrolü uygulamasını kullanmanıza gerek yoktur. - Araçlar menüsünde Programcı'ya tıklayın.
- Programlayıcı'da Donanım Kurulumu'na tıklayın.
- Bir programlama cihazı seçin.
- Modun J olarak ayarlandığından emin olunTAG.
- Intel Agilex cihazını seçin ve Cihaz Ekle'ye tıklayın. Programlayıcı, kartınızdaki cihazlar arasındaki bağlantıların bir blok şemasını görüntüler.
- .sof dosyanızın bulunduğu satırda .sof kutusunu işaretleyin.
- Program/Yapılandır sütunundaki kutuyu işaretleyin.
- Başlat’a tıklayın.
İlgili Bilgiler
- Blok Tabanlı Tasarım Akışları
- Intel FPGA Aygıtlarını Programlama
- Sistem Konsolu ile Tasarımları Analiz Etme ve Hata Ayıklama
Ex Donanım Tasarımını Test Etmeample
F-Tile CPRI PHY Intel FPGA IP çekirdek tasarımını derledikten sonraampdosyasını açıp Intel Agilex aygıtınızda yapılandırdıktan sonra, IP çekirdeğini ve PHY IP çekirdek kayıtlarını programlamak için Sistem Konsolunu kullanabilirsiniz.
Sistem Konsolunu açmak ve eski donanım tasarımını test etmek içinample, şu adımları izleyin:
- Donanım tasarımından sonra eskiampDosya, Intel Agilex aygıtında, Intel Quartus Prime Pro Edition yazılımında yapılandırılır, Araçlar menüsünde Sistem Hata Ayıklama Araçları ➤ Sistem Konsolu'na tıklayın.
- Tcl Konsol bölmesinde, dizini olarak değiştirmek için cd hwtest yazınample_dir>/hardware_test_design/hwtest_sl.
- J ile bir bağlantı açmak için source main_script.tcl yazınTAG ustalaşın ve testi başlatın.
Tasarım EskiampAçıklama
tasarım eskiampdosya, F-Tile CPRI PHY Intel FPGA IP çekirdeğinin temel işlevlerini gösterir. Tasarımı Ex'den oluşturabilirsiniz.ampF-Tile CPRI PHY Intel FPGA IP parametre düzenleyicisindeki Tasarım sekmesi.
Eski tasarımı oluşturmak içinample'de, önce son ürününüzde oluşturmayı düşündüğünüz IP çekirdek varyasyonu için parametre değerlerini ayarlamanız gerekir. Eski tasarımı oluşturmayı seçebilirsinizampRS-FEC özelliği olan veya olmayan dosya. RS-FEC özelliği, 10.1376, 12.1651 ve 24.33024 Gb/sn CPRI hat bit hızlarında mevcuttur.
Tablo 4. F-Tile CPRI PHY Intel FPGA IP Çekirdek Özellik Matrisi
CPRI Hat Bit Hızı (Gbps) | RS-FEC Desteği | Referans Saati (MHz) | Deterministik Gecikme Desteği |
1.2288 | HAYIR | 153.6 | Evet |
2.4576 | HAYIR | 153.6 | Evet |
3.072 | HAYIR | 153.6 | Evet |
4.9152 | HAYIR | 153.6 | Evet |
6.144 | HAYIR | 153.6 | Evet |
9.8304 | HAYIR | 153.6 | Evet |
10.1376 | ile ve Olmadan | 184.32 | Evet |
12.1651 | ile ve Olmadan | 184.32 | Evet |
24.33024 | ile ve Olmadan | 184.32 | Evet |
Özellikler
- Eski tasarımı oluşturampRS-FEC özellikli dosya
- Gidiş-dönüş gecikme sayısı dahil olmak üzere temel paket kontrol yetenekleri
Simülasyon Tasarımı Example
F-Tile CPRI PHY Intel FPGA IP tasarımı eskiample bir simülasyon test tezgahı ve simülasyon oluşturur fileSimülasyon seçeneğini seçtiğinizde F-Tile CPRI PHY Intel FPGA IP çekirdeğini başlatan dosyalar.
Şekil 6. 10.1316, 12.1651 ve 24.33024 Gbps (RS-FEC'li ve RS-FEC'siz) Hat Hızları için Blok Şema
Şekil 7. 1.228, 2.4576, 3.072, 4.9152, 6.144 ve 9.8304 Gbps Hat Hızı için Blok Şeması
Bu tasarımda eskiample, simülasyon test tezgahı başlatma ve kilitlenmeyi bekleme, paketleri iletme ve alma gibi temel işlevleri sağlar.
Başarılı test çalıştırması, aşağıdaki davranışı onaylayan bir çıktı görüntüler:
- İstemci mantığı, IP çekirdeğini sıfırlar.
- İstemci mantığı, RX veri yolu hizalamasını bekler.
- İstemci mantığı, hiper çerçeveleri TX MII arabiriminde iletir ve RX MII arabiriminde beş hiper çerçevenin alınmasını bekler. Hiper çerçeveler, CPRI v7.0 özelliklerine göre MII arabiriminde iletilir ve alınır.
Not: 1.2, 2.4, 3, 4.9, 6.1 ve 9.8 Gbps hat hızını hedefleyen CPRI tasarımları 8b/10b arayüzünü ve 10.1, 12.1 ve 24.3 Gbps'yi (RS-FEC'li ve RS-FEC'siz) hedefleyen tasarımlar MII arayüzünü kullanır. Bu tasarım eskiample, TX'ten RX'e gidiş-dönüş gecikmesini saymak için bir gidiş-dönüş sayacı içerir. - İstemci mantığı gidiş-dönüş gecikme değerini okur ve sayaç gidiş-dönüş gecikme sayımını tamamladıktan sonra RX MII tarafındaki hiper çerçeve verilerinin içeriğini ve doğruluğunu kontrol eder.
İlgili Bilgiler
- CPRI Spesifikasyonları
Donanım Tasarımı Örn.ample
Şekil 8. Donanım Tasarımı Örn.ample Blok Diyagramı
Not
- 2.4/4.9/9.8 Gbps CPRI hat hızlarına sahip CPRI tasarımları, 8b/10b arabirimini kullanır ve diğer tüm CPRI hat hızları tasarımları, MII arabirimini kullanır.
- 2.4/4.9/9.8 Gbps CPRI hat hızlarına sahip CPRI tasarımları, 153.6 MHz alıcı-verici referans saatine ihtiyaç duyar ve diğer tüm CPRI hat hızları 184.32 MHz'e ihtiyaç duyar.
F-Tile CPRI PHY Intel FPGA IP çekirdek donanım tasarımı eskiample aşağıdaki bileşenleri içerir:
- F-Tile CPRI PHY Intel FPGA IP çekirdeği.
- Trafik oluşturan ve alan paket istemci mantık bloğu.
- Gidiş-dönüş sayacı.
- s oluşturmak için IOPLLampIP içinde deterministik gecikme mantığı için bağlantı saati ve testbench'te gidiş-dönüş sayacı bileşeni.
- IP için sistem saatleri oluşturmak için Sistem PLL'si.
- Yeniden yapılandırma erişimleri sırasında CPRI, Alıcı Verici ve Ethernet modülleri için yeniden yapılandırma adres alanının kodunu çözmek için Avalon®-MM adres kod çözücü.
- Sıfırlamaları onaylamak ve saatleri ve birkaç durum bitini izlemek için kaynaklar ve problar.
- JTAG Sistem Konsolu ile iletişim kuran denetleyici. İstemci mantığıyla Sistem Konsolu aracılığıyla iletişim kurarsınız.
Arayüz Sinyalleri
Tablo 5. Tasarım Örn.ample Arayüz Sinyalleri
Sinyal | Yön | Tanım |
ref_clk100MHz | Giriş | Tüm yeniden yapılandırma arabirimlerinde CSR erişimi için giriş saati. 100 MHz'de sürün. |
i_clk_ref[0] | Giriş | Sistem PLL için referans saat. 156.25 MHz'de sürün. |
i_clk_ref[1] | Giriş | Telsiz referans saati. Şuraya sür:
• CPRI hat hızı 153.6, 1.2, 2.4, 3, 4.9 ve 6.1 Gbps için 9.8 MHz. • RS-FEC'li ve RS'siz 184.32 ve 10.1,12.1 Gbps CPRI hat hızları için 24.3 MHz. |
i_rx_serial[n] | Giriş | Telsiz PHY giriş seri verileri. |
o_tx_serial[n] | Çıktı | Telsiz PHY çıkış seri verileri. |
Tasarım Eskiample kayıtları
Tablo 6. Tasarım Örn.ample kayıtları
Kanal Numarası | Temel Adres (Byte Adresi) | Kayıt Türü |
0 |
0x00000000 | Kanal 0 için CPRI PHY Yeniden yapılandırma kayıtları |
0x00100000 | Kanal 0 için Ethernet Yeniden Yapılandırma kayıtları | |
0x00200000 | Kanal 0 için Alıcı-Verici Yeniden Yapılandırma kayıtları | |
1(2) |
0x01000000 | Kanal 1 için CPRI PHY Yeniden yapılandırma kayıtları |
0x01100000 | Kanal 1 için Ethernet Yeniden Yapılandırma kayıtları | |
0x01200000 | Kanal 1 için Alıcı-Verici Yeniden Yapılandırma kayıtları | |
2(2) |
0x02000000 | Kanal 2 için CPRI PHY Yeniden yapılandırma kayıtları |
0x02100000 | Kanal 2 için Ethernet Yeniden Yapılandırma kayıtları | |
0x02200000 | Kanal 2 için Alıcı-Verici Yeniden Yapılandırma kayıtları | |
devam etti… |
Kanal Numarası | Temel Adres (Byte Adresi) | Kayıt Türü |
3(2) |
0x03000000 | Kanal 3 için CPRI PHY Yeniden yapılandırma kayıtları |
0x03100000 | Kanal 3 için Ethernet Yeniden Yapılandırma kayıtları | |
0x03200000 | Kanal 3 için Alıcı-Verici Yeniden Yapılandırma kayıtları |
Kanal kullanılmıyorsa bu kayıtlar ayrılmıştır.
F-Tile CPRI PHY Intel FPGA IP Design ExampKullanıcı Kılavuzu Arşivleri
Bir IP çekirdek sürümü listelenmemişse, önceki IP çekirdek sürümünün kullanım kılavuzu geçerlidir.
Intel Quartus Prime Sürümü | IP Çekirdek Sürümü | Kullanıcı Kılavuzu |
21.2 | 2.0.0 | F-Tile CPRI PHY Intel FPGA IP Design ExampKullanıcı Kılavuzu |
F-Tile CPRI PHY Intel FPGA IP Design Ex için Belge Revizyon GeçmişiampKullanıcı Kılavuzu
Belge Sürümü | Intel Quartus Prime Sürümü | IP Sürümü | Değişiklikler |
2021.10.04 | 21.3 | 3.0.0 |
|
2021.06.21 | 21.2 | 2.0.0 | İlk sürüm. |
Intel Kurumu. Her hakkı saklıdır. Intel, Intel logosu ve diğer Intel markaları, Intel Corporation'ın veya yan kuruluşlarının ticari markalarıdır. Intel, FPGA ve yarı iletken ürünlerinin performansını Intel'in standart garantisine uygun olarak mevcut spesifikasyonlara göre garanti eder, ancak herhangi bir zamanda önceden haber vermeksizin herhangi bir ürün ve hizmette değişiklik yapma hakkını saklı tutar. Intel, Intel tarafından yazılı olarak açıkça kabul edilmedikçe, burada açıklanan herhangi bir bilgi, ürün veya hizmetin uygulanmasından veya kullanılmasından kaynaklanan hiçbir sorumluluk veya yükümlülük kabul etmez. Intel müşterilerine, yayınlanan herhangi bir bilgiye güvenmeden ve ürün ya da hizmet siparişi vermeden önce aygıt özelliklerinin en son sürümünü edinmeleri önerilir.
*Diğer isimler ve markalar başkalarının mülkiyetinde olabilir.
Belgeler / Kaynaklar
![]() |
intel F-Tile CPRI PHY FPGA IP Tasarım Example [pdf] Kullanıcı Kılavuzu F-Tile CPRI PHY FPGA IP Tasarım Example, PHY FPGA IP Tasarım Example, F-Tile CPRI IP Design Example, IP Tasarım Example, IP Tasarım |