Intel F-Tile CPRI PHY FPGA IP Design Example
Дастури оғози зуд
Асоси F-Tile CPRI PHY Intel® FPGA IP як озмоишгоҳи симулятсия ва тарроҳии сахтафзорро пешкаш мекунад.ample, ки таҳия ва санҷиши сахтафзорро дастгирӣ мекунад. Вақте ки шумо тарроҳии собиқро тавлид мекунедample, муҳаррири параметр ба таври худкор эҷод fileбарои тақлид, тартиб додан ва озмоиши тарҳ дар сахтафзор зарур аст.
Intel инчунин як собикро танҳо барои тартиб медиҳадample лоиҳае, ки шумо метавонед барои зуд ҳисоб кардани майдони асосии IP ва вақт истифода баред.
Асоси F-Tile CPRI PHY Intel FPGA IP қобилияти тавлиди тарҳи собиқро таъмин мекунад.amples барои ҳама комбинатсияҳои дастгирӣшудаи шумораи каналҳои CPRI ва суръати битҳои хати CPRI. Testbench ва тарҳрезии собиқample маҷмӯи параметрҳои сершумори F-Tile CPRI PHY Intel FPGA IP-ро дастгирӣ мекунад.
Расми 1. Қадамҳои таҳия барои тарҳрезӣ Example
Маълумоти марбут
- F-Tile CPRI PHY Intel FPGA IP дастури корбар
- Барои маълумоти муфассал дар бораи F-кали CPRI PHY IP.
- F-кафли CPRI PHY Intel FPGA IP Release Заметки
- Қайдҳои Release IP тағиротҳои IP-ро дар як нашри мушаххас номбар мекунанд.
Талаботи сахтафзор ва нармафзор
Барои санҷидани собиқampтарҳрезӣ кунед, сахтафзор ва нармафзори зеринро истифода баред:
- Нармафзори Intel Quartus® Prime Pro Edition
- Консоли система
- Симуляторҳои дастгирӣшаванда:
- Синопсис* VCS*
- Synopsys VCS MX
- Siemens* EDA ModelSim* SE ё Questa*— Edition Questa-Intel FPGA
Эҷоди Дизайн
Расми 2. Тартиб
Расми 3. Мисample Design Tab дар муҳаррири параметрҳои IP
Барои сохтани лоиҳаи Intel Quartus Prime Pro Edition:
- Дар Intel Quartus Prime Pro Edition, клик кунед File ➤ Ёвари лоиҳаи нав барои сохтани лоиҳаи нави Quartus Prime ё File ➤ Лоиҳаи кушода барои кушодани лоиҳаи мавҷудаи Intel Quartus Prime. Устод аз шумо хоҳиш мекунад, ки дастгоҳро муайян кунед.
- Оилаи дастгоҳи Agilex (I-series) -ро муайян кунед ва дастгоҳеро интихоб кунед, ки ба ҳамаи ин талабот ҷавобгӯ бошад:
- Плитаи интиқолдиҳанда F-фалит аст
- Сатҳи суръати интиқолдиҳанда -1 ё -2 аст
- Синфи суръати аслӣ -1 ё -2 ё -3 аст
- Finish -ро пахш кунед.
Барои тавлиди тарҳи сахтафзори F-Tile CPRI PHY Intel FPGA IP ин қадамҳоро иҷро кунедample ва testbench:
- Дар Каталоги IP, ҷойгир кунед ва интихоб кунед F-Tile CPRI PHY Intel FPGA IP. Равзанаи New Variation IP пайдо мешавад.
- Номи сатҳи болоро муайян кунед барои варианти IP фармоишии шумо. Муҳаррири параметр танзимоти варианти IP-ро дар a file ном .ip.
- OK-ро пахш кунед. Муҳаррири параметр пайдо мешавад.
- Дар ҷадвали IP, параметрҳои варианти асосии IP-и худро муайян кунед.
- Дар бораи Exampҷадвали тарроҳӣ, дар зери Example Design Files, имконоти Simulation-ро барои тавлиди тестӣ ва лоиҳаи танҳо компиляция интихоб кунед. Интихоби Синтезро барои тавлиди тарҳи сахтафзор собиқ интихоб кунедampле. Шумо бояд ақаллан яке аз имконоти Simulation ва Synthesis -ро интихоб кунед, то тарҳи собиқро тавлид кунедampле.
- Дар бораи ExampДар ҷадвали тарроҳӣ, дар зери Формати HDL тавлидшуда, Verilog HDL ё VHDL-ро интихоб кунед. Агар шумо VHDL-ро интихоб кунед, шумо бояд тестро бо симулятори омехтаи забони омехта тақлид кунед. Таҷҳизоте, ки дар собиқ_ санҷида мешавад директория модели VHDL аст, аммо санҷиши асосӣ file Системаи Verilog аст file.
- Насли Ex-ро клик кунедampтугмаи тарроҳӣ. Интихоби Example Равзанаи Design Directory пайдо мешавад.
- Агар шумо хоҳед, ки тарҳи пешро тағир диҳедample роҳ ё номи директория аз пешфарзҳои намоишшуда (cpriphy_ftile_0_example_design), ба роҳи нав паймоиш кунед ва тарҳи навро чоп кунедampноми директория (ample_dir>).
Сохтори директория
Тарҳрезии асосии F-Tile CPRI PHY Intel FPGA IP собиқample file директорияҳо дорои зерини тавлидшуда мебошанд files барои тарҳрезӣ собиқampле.
Тасвири 4. Сохтори директорияи Сохтори тавлидшудаample Design
Ҷадвали 1. Testbench File Тавсифҳо
File Номҳо | Тавсифи |
Key Testbench ва Simulation Files | |
<design_example_dir>/ собиқample_testbench/basic_avl_tb_top.sv | Санҷиши сатҳи олӣ file. Testbench печони DUT-ро ба вуҷуд меорад ва вазифаҳои Verilog HDL-ро барои тавлид ва қабули бастаҳо иҷро мекунад. |
<design_example_dir>/ собиқample_testbench/ cpriphy_ftile_wrapper.sv | Сарпӯши DUT, ки DUT ва дигар ҷузъҳои санҷиширо ба вуҷуд меорад. |
Скриптҳои Testbench(1) | |
<design_example_dir>/ собиқample_testbench/run_vsim.do | Скрипти Siemens EDA ModelSim SE ё Questa ё Questa-Intel FPGA Edition барои идора кардани санҷиш. |
<design_example_dir>/ собиқample_testbench/run_vcs.sh | Скрипти Synopsys VCS барои идора кардани testbench. |
<design_example_dir>/ собиқample_testbench/run_vcsmx.sh | Скрипти Synopsys VCS MX (якҷоя Verilog HDL ва SystemVerilog бо VHDL) барои иҷро кардани санҷиш. |
Ба ягон скрипти дигари симулятор дарample_dir>/example_testbench/ папка.
Ҷадвали 2. Тарҳрезии сахтафзор Example File Тавсифҳо
File Номҳо | Тавсифҳо |
<design_example_dir>/hardware_test_design/ cpriphy_ftile_hw.qpf | Лоиҳаи Intel Quartus Prime file. |
<design_example_dir>/hardware_test_design/ cpriphy_ftile_hw.qsf | Танзимоти лоиҳаи Intel Quartus Prime file. |
<design_example_dir>/hardware_test_design/ cpriphy_ftile_hw.sdc | Маҳдудиятҳои тарҳрезии Synopsys fileс. Шумо метавонед онҳоро нусхабардорӣ кунед ва тағир диҳед files барои тарҳи худ Intel Agilex™. |
<design_example_dir>/hardware_test_design/ cpriphy_ftile_hw.v | Тарҳрезии сатҳи баландтарин Verilog HDL собиқample file. |
<design_example_dir>/hardware_test_design/ cpriphy_ftile_wrapper.sv | Сарпӯши DUT, ки DUT ва дигар ҷузъҳои санҷиширо ба вуҷуд меорад. |
<design_example_dir>/hardware_test_design/ hwtest_sl/main_script.tcl | Асосӣ file барои дастрасӣ ба Console System. |
Тақлиди тарҳрезӣ Example Testbench
Расми 5. Тартиб
Барои тақлид кардани панели тестӣ ин қадамҳоро иҷро кунед:
- Дар сатри фармон, ба феҳристи симулятсияи testbench гузаредample_dir>/example_testbench. CD /масample_testbench
- Дар лоиҳаи тавлидшуда quartus_tlg -ро иҷро кунед file: quartus_tlg cpriphy_ftile_hw
- Иҷрои ip-setup-simulation: ip-setup-simulation –output-directory=./sim_script –use-relative-paths – quartus project=cpriphy_ftile_hw.qpf
- Скрипти симулятсияро барои симулятори дастгирӣшавандаи интихобкардаатон иҷро кунед. Скрипт testbench-ро дар симулятор тартиб медиҳад ва идора мекунад. Ба ҷадвали Қадамҳо барои симулятсияи Testbench муроҷиат кунед.
- Натиҷаҳоро таҳлил кунед. Санҷиши бомуваффақият панҷ гиперфремаро гирифт ва "PASSED" -ро нишон медиҳад.
Ҷадвали 3. Қадамҳо барои тақлид кардани Testbench дар Synopsys VCS* Simulator
Симулятор | Дастурҳо | |
VCS | Дар сатри фармон нависед: | |
sh run_vcs.sh | ||
идома дод… |
Симулятор | Дастурҳо | |
VCS MX | Дар сатри фармон нависед: | |
sh run_vcsmx.sh | ||
ModelSim SE ё Questa ё Questa-Intel FPGA Edition | Дар сатри фармон нависед: | |
vsim -do run_vsim.do | ||
Агар шумо хоҳед, ки бе ворид кардани GUI моделиронӣ кунед, нависед: | ||
vsim -c -do run_vsim.do |
Сampбаромади le як озмоиши бомуваффақияти моделиронӣ барои 24.33024 Гбит / сонияро бо 4 канали CPRI нишон медиҳад:
Тартиб додани лоиҳаи танҳо барои тартиб додан
Барои тартиб додани танҳо компиляция, собиқampлоиҳаро иҷро кунед, ин қадамҳоро иҷро кунед:
- Боварӣ ҳосил кунед, ки тарроҳии компиляция, масаланampнасли le пурра аст.
- Дар нармафзори Intel Quartus Prime Pro Edition, лоиҳаи Intel Quartus Prime Pro Edition -ро кушоедample_dir>/compilation_test_design/cpriphy_ftile.qpf.
- Дар менюи коркард, Оғоз кардани тартибро клик кунед.
- Пас аз тартиб додани бомуваффақият, ҳисоботҳо оид ба вақт ва истифодаи захираҳо дар сессияи Intel Quartus Prime Pro Edition дастрас мебошанд.
Маълумоти марбут
Ҷараёнҳои тарҳрезии ба блок асосёфта
Тартиб додан ва танзим кардани тарроҳии Example дар сахтафзор
Барои тартиб додани тарҳи сахтафзор собиқampва онро дар дастгоҳи Intel Agilex-и худ танзим кунед, ин қадамҳоро иҷро кунед:
- Боварӣ ҳосил кунед, ки тарроҳии сахтафзор собиқampнасли le пурра аст.
- Дар нармафзори Intel Quartus Prime Pro Edition, лоиҳаи Intel Quartus Prime -ро кушоедample_dir>/hardware_test_design/ cpriphy_ftile_hw.qpf.
- .qsf-ро таҳрир кунед file барои таъин кардани пинҳо дар асоси сахтафзори худ.
- Дар менюи коркард, Оғоз кардани тартибро клик кунед.
- Баъди бомуваффакият тартиб додани .соф file дар дастрас астample_dir>/hardware_test_design/output_fileфеҳристи с.
Барои барномарезии тарҳи сахтафзор, ин қадамҳоро иҷро кунедample дар дастгоҳи Intel Agilex:
- Intel Agilex I-series Transceiver Signal Integrity Kit -ро ба компютери мизбон пайваст кунед.
Эзоҳ: Маҷмӯаи таҳия бо нобаёнӣ бо басомадҳои дурусти соат пешакӣ барномарезӣ шудааст. Барои танзими басомадҳо ба шумо лозим нест, ки барномаи назорати соатро истифода баред. - Дар менюи Tools, Барномасозро клик кунед.
- Дар Барномасоз, Танзимоти сахтафзорро клик кунед.
- Дастгоҳи барномасозиро интихоб кунед.
- Боварӣ ҳосил кунед, ки режим ба J муқаррар карда шудаастTAG.
- Дастгоҳи Intel Agilex-ро интихоб кунед ва Иловаи дастгоҳро пахш кунед. Барномасоз диаграммаи блоки пайвастҳои байни дастгоҳҳоро дар тахтаи шумо нишон медиҳад.
- Дар саф бо .sof-и худ, қуттии .sof-ро тафтиш кунед.
- Қуттии сутуни Барнома/Танзимотро санҷед.
- Оғозро пахш кунед.
Маълумоти марбут
- Ҷараёнҳои тарҳрезии ба блок асосёфта
- Барномасозии дастгоҳҳои Intel FPGA
- Таҳлил ва ислоҳи тарҳҳо бо консоли система
Озмоиши тарҳрезии сахтафзор Example
Пас аз тартиб додани F-Tile CPRI PHY Intel FPGA IP тарҳи аслӣ собиқample ва онро дар дастгоҳи Intel Agilex-и худ танзим кунед, шумо метавонед Консоли Системаро барои барномарезии асосии IP ва регистрҳои асосии PHY IP-и он истифода баред.
Барои фаъол кардани консоли система ва санҷидани тарҳи сахтафзор собиқample, ин қадамҳоро иҷро кунед:
- Пас аз тарҳрезии сахтафзор собиқample дар дастгоҳи Intel Agilex танзим шудааст, дар нармафзори Intel Quartus Prime Pro Edition, дар менюи Асбобҳо, Асбобҳои ислоҳи система ➤ Консоли системаро клик кунед.
- Дар панели Tcl Console, cd hwtest -ро нависед, то директорияро ба он тағир диҳедample_dir>/hardware_test_design/hwtest_sl.
- Барои кушодани пайвастшавӣ ба J. source main_script.tcl -ро нависедTAG азхуд кунед ва санҷишро оғоз кунед.
Дизайн Example Тавсифи
Дизайн собиқample функсияҳои асосии F-Tile CPRI PHY Intel FPGA IP-ро нишон медиҳад. Шумо метавонед тарҳро аз Example Дизайн ҷадвали дар F-Tile CPRI PHY Intel FPGA муҳаррири параметри IP.
Барои тавлиди тарҳрезии собиқample, шумо бояд аввал арзишҳои параметрро барои варианти асосии IP, ки шумо мехоҳед дар маҳсулоти ниҳоии худ тавлид кунед, муқаррар кунед. Шумо метавонед тарҳрезии собиқро интихоб кунедample бо ё бе хусусияти RS-FEC. Хусусияти RS-FEC бо суръати битҳои хати CPRI 10.1376, 12.1651 ва 24.33024 Gbps дастрас аст.
Љадвали 4. F-Тиле CPRI PHY Intel FPGA IP Матритсаи Хусусияти асосии
Меъёри бит CPRI (Gbps) | Дастгирии RS-FEC | Соати истинод (МГс) | Дастгирии таъхири муайянкунанда |
1.2288 | Не | 153.6 | Бале |
2.4576 | Не | 153.6 | Бале |
3.072 | Не | 153.6 | Бале |
4.9152 | Не | 153.6 | Бале |
6.144 | Не | 153.6 | Бале |
9.8304 | Не | 153.6 | Бале |
10.1376 | Бо ва бе | 184.32 | Бале |
12.1651 | Бо ва бе | 184.32 | Бале |
24.33024 | Бо ва бе | 184.32 | Бале |
Вижагиҳо
- Эҷоди тарҳрезии собиқample бо хусусияти RS-FEC
- Имкониятҳои асосии санҷиши бастаҳо, аз ҷумла ҳисоб кардани таъхири сафар
Тарҳрезии симулятсия Мисample
Тарҳрезии F-Tile CPRI PHY Intel FPGA IP собиқample як testbench моделиронӣ ва моделиронӣ тавлид fileс, ки F-Tile CPRI PHY PHY Intel FPGA IP-ро instantiates, вақте ки шумо имконоти Simulation интихоб кунед.
Расми 6. Диаграммаи блок барои 10.1316, 12.1651 ва 24.33024 Гбит/с (бо ва бе RS-FEC) Қурбҳои хатӣ
Расми 7. Диаграммаи блок барои 1.228, 2.4576, 3.072, 4.9152, 6.144 ва 9.8304 Gbps Меъёри хатти
Дар ин тарҳ, собиқample, testbench моделиронӣ функсияҳои асосиро ба монанди оғозёбӣ ва интизори қулф, интиқол ва қабули бастаҳоро таъмин мекунад.
Иҷрои бомуваффақияти санҷиш натиҷаеро нишон медиҳад, ки рафтори зеринро тасдиқ мекунад:
- Мантиқи муштарӣ ядрои IP-ро аз нав барқарор мекунад.
- Мантиқи муштарӣ мунтазири ҳамоҳангсозии маълумотҳои RX аст.
- Мантиқи муштарӣ гиперфрамҳоро дар интерфейси TX MII интиқол медиҳад ва интизор аст, ки панҷ гиперфрам дар интерфейси RX MII қабул карда шаванд. Гиперфрамҳо дар интерфейси MII мувофиқи мушаххасоти CPRI v7.0 интиқол ва қабул карда мешаванд.
Шарҳ: Тарҳҳои CPRI, ки суръати хати 1.2, 2.4, 3, 4.9, 6.1 ва 9.8 Гбит/с-ро ҳадаф қарор медиҳанд, интерфейси 8b/10b-ро истифода мебаранд ва тарҳҳое, ки 10.1, 12.1 ва 24.3 Гбит/с (бо ва бидуни RS-FEC) интерфейси MII-ро истифода мебаранд. Ин тарҳ, собиқample як ҳисобкунаки сайри гардишро барои ҳисоб кардани таъхири гардиш аз TX то RX дар бар мегирад. - Мантиқи муштарӣ арзиши таъхири сафарро мехонад ва мӯҳтаво ва дурустии маълумоти гиперфрамҳоро дар тарафи RX MII месанҷад, вақте ки ҳисобкунак ҳисобкунии таъхири гардишро анҷом медиҳад.
Маълумоти марбут
- Мушаххасоти CPRI
Тарҳрезии сахтафзор Example
Расми 8. Тарҳрезии сахтафзор Example Диаграммаи блок
Шарҳ
- Тарҳҳои CPRI бо суръати хати 2.4/4.9/9.8 Гбит/с интерфейси 8b/10b-ро истифода мебаранд ва ҳама тарҳҳои дигари сатри CPRI интерфейси MII-ро истифода мебаранд.
- Тарҳҳои CPRI бо суръати хати 2.4/4.9/9.8 Гбит/с CPRI ба соати истинод ба интиқолдиҳандаи 153.6 МГс ва ҳама суръати дигари хатти CPRI ба 184.32 МГс ниёз доранд.
Тарҳрезии сахтафзори асосии F-Tile CPRI PHY Intel FPGA IP собиқample ҷузъҳои зеринро дар бар мегирад:
- F-кафли CPRI PHY Intel FPGA асосии IP.
- Блоки мантиқии муштарии баста, ки трафикро тавлид ва қабул мекунад.
- Ҳисобкунаки сафар.
- IOPLL барои тавлиди сampсоати ling барои мантиқи таъхири муайянкунанда дар дохили IP ва ҷузъи ҳисобкунакҳои даврӣ дар testbench.
- Системаи PLL барои тавлиди соатҳои системавӣ барои IP.
- Декодери суроғаи Avalon®-MM барои рамзкушоӣ кардани фазои суроғаи азнавбаркароркунӣ барои модулҳои CPRI, Transceiver ва Ethernet ҳангоми дастрасии азнавбаркароркунӣ.
- Сарчашмаҳо ва санҷишҳо барои тасдиқи барқароркунӣ ва мониторинги соатҳо ва чанд битҳои ҳолати.
- JTAG контролер, ки бо Консоли Система муошират мекунад. Шумо бо мантиқи муштарӣ тавассути Console System муошират мекунед.
Сигналҳои интерфейс
Ҷадвали 5. Тарҳрезӣ Example сигналҳои интерфейс
Сигнал | Самт | Тавсифи |
ref_clk100MHz | Вуруд | Соати вуруд барои дастрасии CSR дар ҳама интерфейсҳои азнавбаркароркунӣ. Дар 100 МГс ҳаракат кунед. |
i_clk_ref[0] | Вуруд | Соати истинод барои System PLL. Бо суръати 156.25 МГс. |
i_clk_ref[1] | Вуруд | Соатҳои истинод ба интиқолдиҳанда. Ронанда дар
• 153.6 МГс барои суръати хати CPRI 1.2, 2.4, 3, 4.9, 6.1 ва 9.8 Гбит/с. • 184.32 МГс барои суръати хатти CPRI 10.1,12.1 ва 24.3 Гбит/с бо ва бидуни RS-FEC. |
i_rx_serial[n] | Вуруд | Маълумоти силсилавии вуруди интиқолдиҳандаи PHY. |
o_tx_serial[n] | Натиҷа | Маълумоти силсилавии баромади интиқолдиҳандаи PHY. |
Дизайн Example Реестрҳо
Ҷадвали 6. Тарҳрезӣ Example Реестрҳо
Рақами канал | Суроғаи асосӣ (Суроғаи байт) | Навъи бақайдгирӣ |
0 |
0х00000000 | Реконфигуратсияи CPRI PHY барои канали 0 сабт мекунад |
0х00100000 | Ethernet Reconfiguration барои Channel 0 сабти ном мекунад | |
0х00200000 | Reconfiguration Transceiver барои канали 0 сабти ном мекунад | |
1(2) |
0х01000000 | Реконфигуратсияи CPRI PHY барои канали 1 сабт мекунад |
0х01100000 | Ethernet Reconfiguration барои Channel 1 сабти ном мекунад | |
0х01200000 | Reconfiguration Transceiver барои канали 1 сабти ном мекунад | |
2(2) |
0х02000000 | Реконфигуратсияи CPRI PHY барои канали 2 сабт мекунад |
0х02100000 | Ethernet Reconfiguration барои Channel 2 сабти ном мекунад | |
0х02200000 | Reconfiguration Transceiver барои канали 2 сабти ном мекунад | |
идома дод… |
Рақами канал | Суроғаи асосӣ (Суроғаи байт) | Навъи бақайдгирӣ |
3(2) |
0х03000000 | Реконфигуратсияи CPRI PHY барои канали 3 сабт мекунад |
0х03100000 | Ethernet Reconfiguration барои Channel 3 сабти ном мекунад | |
0х03200000 | Reconfiguration Transceiver барои канали 3 сабти ном мекунад |
Агар канал истифода нашавад, ин реестрҳо ҳифз карда мешаванд.
F-Тиле CPRI PHY Intel FPGA IP Design Example Архивҳои Дастури корбар
Агар версияи асосии IP дар рӯйхат набошад, дастури корбар барои версияи пешинаи IP корбар татбиқ мешавад.
Версияи Intel Quartus Prime | Версияи асосии IP | Дастури корбар |
21.2 | 2.0.0 | F-Тиле CPRI PHY Intel FPGA IP Design ExampДастури корбар |
Таърихи бознигарии ҳуҷҷат барои F-Tile CPRI PHY Intel FPGA IP Design ExampДастури корбар
Версияи ҳуҷҷат | Версияи Intel Quartus Prime | IPVersion | Тағйирот |
2021.10.04 | 21.3 | 3.0.0 |
|
2021.06.21 | 21.2 | 2.0.0 | Нашри аввал. |
Корпоратсияи Intel. Ҳамаи ҳуқуқ маҳфуз аст. Intel, логотипи Intel ва дигар тамғаҳои Intel тамғаҳои тиҷоратии Intel Corporation ё филиалҳои он мебошанд. Intel иҷрои маҳсулоти FPGA ва нимноқилҳои худро мувофиқи мушаххасоти ҷорӣ мутобиқи кафолати стандартии Intel кафолат медиҳад, аммо ҳуқуқ дорад, ки дар вақти дилхоҳ бидуни огоҳӣ ба ҳама гуна маҳсулот ва хидматҳо тағйирот ворид кунад. Intel ҳеҷ гуна масъулият ё масъулиятеро, ки аз барнома ё истифодаи ҳама гуна маълумот, маҳсулот ё хидмати дар ин ҷо тавсифшуда бармеояд, ба дӯш намегирад, ба истиснои ҳолатҳое, ки Intel дар шакли хаттӣ розӣ шудааст. Ба муштариёни Intel тавсия дода мешавад, ки пеш аз такя ба ягон маълумоти нашршуда ва пеш аз фармоиш додани маҳсулот ё хидматҳо версияи охирини мушаххасоти дастгоҳро дастрас кунанд.
* Дигар номҳо ва брендҳо метавонанд ҳамчун моликияти дигарон даъво карда шаванд.
Ҳуҷҷатҳо / Сарчашмаҳо
![]() |
Intel F-Tile CPRI PHY FPGA IP Design Example [pdf] Дастури корбар F-Tile CPRI PHY FPGA IP Design Example, PHY FPGA IP Design Example, F-Tile CPRI IP Design Example, IP Design Example, IP Design |