Intel логотипі

intel F-Tile CPRI PHY FPGA IP дизайны Example

intel F-Tile CPRI PHY FPGA IP дизайны Example өнім

Жылдам бастау нұсқаулығы

F-Tile CPRI PHY Intel® FPGA IP ядросы симуляциялық тестілеу мен аппараттық дизайнды қамтамасыз етеді.ampкомпиляция мен аппараттық тестілеуді қолдайтын le. Дизайнды жасаған кезде бұрынғыample, параметр өңдегіші автоматты түрде жасайды fileдизайнды модельдеу, құрастыру және аппараттық құралда сынау үшін қажет.
Intel сонымен қатар тек компиляцияға арналған бұрынғы нұсқасын ұсынадыampIP негізгі аумағы мен уақытын жылдам бағалау үшін пайдалануға болатын жоба.
F-Tile CPRI PHY Intel FPGA IP ядросы бұрынғы дизайнды жасау мүмкіндігін береді.ampCPRI арналары санының және CPRI желісінің бит жылдамдығының барлық қолдау көрсетілетін комбинацияларына арналған. Сынақ үстелі және дизайн бұрынғыampF-Tile CPRI PHY Intel FPGA IP ядросының көптеген параметр комбинацияларын қолдайды.

Сурет 1. Дизайнды әзірлеу қадамдары Example

intel F-Tile CPRI PHY FPGA IP дизайны Exampсурет 1

Қатысты ақпарат

  • F-Tile CPRI PHY Intel FPGA IP пайдаланушы нұсқаулығы
    • F-тақта CPRI PHY IP туралы толық ақпарат алу үшін.
  • F-Tile CPRI PHY Intel FPGA IP шығарылым жазбалары
    • IP шығарылым жазбалары белгілі бір шығарылымдағы IP өзгерістерін тізімдейді.
Аппараттық және бағдарламалық қамтамасыз ету талаптары

Бұрынғыны сынау үшінampжобалау үшін келесі аппараттық және бағдарламалық құралды пайдаланыңыз:

  • Intel Quartus® Prime Pro Edition бағдарламалық құралы
  • Жүйе консолі
  • Қолдау көрсетілетін симуляторлар:
    • Синопсис* VCS*
    • Synopsys VCS MX
    • Siemens* EDA ModelSim* SE немесе Questa*— Questa-Intel FPGA шығарылымы
Дизайнды құру

Сурет 2. Процедура

intel F-Tile CPRI PHY FPGA IP дизайны Exampсурет 2Сурет 3. МысалыampIP Параметр өңдегішіндегі Дизайн қойындысы

intel F-Tile CPRI PHY FPGA IP дизайны Exampсурет 3

Intel Quartus Prime Pro Edition жобасын жасау үшін:

  1. Intel Quartus Prime Pro шығарылымында түймесін басыңыз File ➤ Жаңа Quartus Prime жобасын жасау үшін жаңа жоба шебері немесе File ➤ Бар Intel Quartus Prime жобасын ашу үшін жобаны ашыңыз. Шебер құрылғыны көрсетуді ұсынады.
  2. Agilex (I-series) құрылғылар тобын көрсетіңіз және осы талаптардың барлығына сәйкес келетін құрылғыны таңдаңыз:
    • Трансивер тақтасы - F-тақта
    • Трансивер жылдамдығы дәрежесі -1 немесе -2
    • Негізгі жылдамдық дәрежесі -1 немесе -2 немесе -3
  3. Аяқтау түймесін басыңыз.

F-Tile CPRI PHY Intel FPGA IP аппараттық құралының дизайнын жасау үшін мына қадамдарды орындаңыз, мысалыample және testbench:

  1. IP каталогында F-Tile CPRI PHY Intel FPGA IP мекенжайын тауып, таңдаңыз. Жаңа IP нұсқасы терезесі пайда болады.
  2. Жоғарғы деңгейдегі атауды көрсетіңіз реттелетін IP нұсқасы үшін. Параметр өңдегіші IP вариациясының параметрлерін а ішінде сақтайды file аталды .ip.
  3. OK түймесін басыңыз. Параметр өңдегіші пайда болады.
  4. IP қойындысында IP негізгі вариациясының параметрлерін көрсетіңіз.
  5. Бұрынғыample Дизайн қойындысы, Example Дизайн Files, сынақ алаңын және тек компиляцияға арналған жобаны жасау үшін Simulation опциясын таңдаңыз. Аппараттық құрал дизайнын жасау үшін Синтез опциясын таңдаңыз, мысалыampле. Дизайнды жасау үшін симуляция және синтез опцияларының кем дегенде біреуін таңдау керекampле.
  6. БұрынғыampДизайн қойындысындағы Жасалған HDL пішімі астынан Verilog HDL немесе VHDL таңдаңыз. VHDL таңдасаңыз, аралас тілді тренажермен сынақ үстелін имитациялау керек. Бұрынғы _ сыналатын құрылғы каталог - VHDL үлгісі, бірақ негізгі сынақ алаңы file System Verilog болып табылады file.
  7. Жасау түймесін басыңызampДизайн түймесі. Select Example Design Directory терезесі пайда болады.
  8. Егер дизайнды өзгерткіңіз келсе, бұрынғыample каталог жолы немесе көрсетілген әдепкі параметрлерден атауы (cpriphy_ftile_0_example_design), жаңа жолға өтіңіз және жаңа дизайнды теріңіз example каталог атауы (ample_dir>).
Каталог құрылымы

F-Tile CPRI PHY Intel FPGA IP негізгі дизайны бұрынғыample file каталогтарда келесі жасалған files дизайн үшін бұрынғыampле.

Сурет 4. Жасалған Ex. каталогының құрылымыample Дизайн

intel F-Tile CPRI PHY FPGA IP дизайны Exampсурет 4

Кесте 1. Testbench File Сипаттамалар

File Аттар Сипаттама
Негізгі Testbench және симуляция Files
<дизайн_мысалample_dir>/ мысалыample_testbench/basic_avl_tb_top.sv Жоғарғы деңгейдегі сынақ алаңы file. Testbench DUT қаптамасын жасайды және пакеттерді жасау және қабылдау үшін Verilog HDL тапсырмаларын іске қосады.
<дизайн_мысалample_dir>/ мысалыample_testbench/ cpriphy_ftile_wrapper.sv DUT және басқа да тестілеу элементтерін іске қосатын DUT қаптамасы.
Testbench сценарийлері(1)
<дизайн_мысалample_dir>/ мысалыample_testbench/run_vsim.do Testbench іске қосу үшін Siemens EDA ModelSim SE немесе Questa немесе Questa-Intel FPGA Edition сценарийі.
<дизайн_мысалample_dir>/ мысалыample_testbench/run_vcs.sh Testbench іске қосу үшін Synopsys VCS сценарийі.
<дизайн_мысалample_dir>/ мысалыample_testbench/run_vcsmx.sh Synopsys VCS MX сценарийі (VHDL бар Verilog HDL және SystemVerilog біріктірілген) сынақ үстелін іске қосу үшін.

ішінде кез келген басқа симулятор сценарийін елемеңізample_dir>/мысample_testbench/ қалта.

Кесте 2. Аппараттық құралдарды жобалау Example File Сипаттамалар

File Аттар Сипаттамалар
<дизайн_мысалample_dir>/hardware_test_design/ cpriphy_ftile_hw.qpf Intel Quartus Prime жобасы file.
<дизайн_мысалample_dir>/hardware_test_design/ cpriphy_ftile_hw.qsf Intel Quartus Prime жобасының параметрі file.
<дизайн_мысалample_dir>/hardware_test_design/ cpriphy_ftile_hw.sdc Synopsys дизайн шектеулері fileс. Сіз оларды көшіріп, өзгерте аласыз files жеке Intel Agilex™ дизайнына арналған.
<дизайн_мысалample_dir>/hardware_test_design/ cpriphy_ftile_hw.v Жоғарғы деңгейлі Verilog HDL дизайны, мысалыample file.
<дизайн_мысалample_dir>/hardware_test_design/ cpriphy_ftile_wrapper.sv DUT және басқа да тестілеу элементтерін іске қосатын DUT қаптамасы.
<дизайн_мысалample_dir>/hardware_test_design/ hwtest_sl/main_script.tcl Негізгі file Жүйе консоліне кіру үшін.
Дизайнды имитациялау Example Testbench

Сурет 5. Процедура

intel F-Tile CPRI PHY FPGA IP дизайны Exampсурет 5

Сынақ үстелін имитациялау үшін мына қадамдарды орындаңыз:

  1. Пәрмен жолында testbench модельдеу каталогына ауысыңызample_dir>/мысample_testbench. CD /мысample_testbench
  2. Жасалған жобада quartus_tlg іске қосыңыз file: quartus_tlg cpriphy_ftile_hw
  3. ip-setup-simulation іске қосыңыз: ip-setup-simulation –output-directory=./sim_script –use-relative-paths –quartus project=cpriphy_ftile_hw.qpf
  4. Таңдауыңыз бойынша қолдау көрсетілетін симулятор үшін модельдеу сценарийін іске қосыңыз. Сценарий симуляторда сынақ үстелін құрастырады және іске қосады. Testbench моделін жасау қадамдары кестесін қараңыз.
  5. Нәтижелерді талдаңыз. Сәтті сынақ үстелі бес гиперфремді алды және «PASSED» көрсетеді.

3-кесте. Synopsys VCS* Simulator бағдарламасында Testbench модельдеу қадамдары

Симулятор Нұсқаулар
VCS Пәрмен жолында теріңіз:
sh run_vcs.sh  
жалғасы...
Симулятор Нұсқаулар
VCS MX Пәрмен жолында теріңіз:
sh run_vcsmx.sh  
ModelSim SE немесе Questa немесе Questa-Intel FPGA шығарылымы Пәрмен жолында теріңіз:
vsim -do run_vsim.do  
Егер сіз GUI қолданбай модельдеуді қаласаңыз, теріңіз:
vsim -c -do run_vsim.do  

Келесі сample шығысы 24.33024 CPRI арнасы бар 4 Гбит/с үшін сәтті модельдеу сынағының іске қосылуын көрсетеді:

intel F-Tile CPRI PHY FPGA IP дизайны Exampсурет 9 intel F-Tile CPRI PHY FPGA IP дизайны Exampсурет 10 intel F-Tile CPRI PHY FPGA IP дизайны Exampсурет 11

Тек құрастыруға арналған жобаны құрастыру

Тек компиляцияға арналған эксampжобада келесі қадамдарды орындаңыз:

  1. Компиляция дизайнын қамтамасыз етіңіз, мысалыample ұрпақ аяқталды.
  2. Intel Quartus Prime Pro Edition бағдарламалық құралында Intel Quartus Prime Pro Edition жобасын ашыңызample_dir>/compilation_test_design/cpriphy_ftile.qpf.
  3. Өңдеу мәзірінде Компиляцияны бастау түймешігін басыңыз.
  4. Сәтті құрастырудан кейін уақыт пен ресурстарды пайдалану есептері Intel Quartus Prime Pro Edition сеансында қолжетімді болады.

Қатысты ақпарат
Блок негізіндегі жобалау ағындары

Дизайнды құрастыру және конфигурациялау ExampАппараттық құралда

Аппараттық дизайнды құрастыру үшін, мысалыampжәне оны Intel Agilex құрылғысында конфигурациялаңыз, мына қадамдарды орындаңыз:

  1. Аппараттық дизайнды қамтамасыз етіңіз, мысалыample ұрпақ аяқталды.
  2. Intel Quartus Prime Pro Edition бағдарламалық құралында Intel Quartus Prime жобасын ашыңызample_dir>/hardware_test_design/ cpriphy_ftile_hw.qpf.
  3. .qsf файлын өңдеңіз file аппараттық құрал негізінде түйреуіштерді тағайындау.
  4. Өңдеу мәзірінде Компиляцияны бастау түймешігін басыңыз.
  5. Сәтті құрастырудан кейін .sof file ішінде қол жетімдіample_dir>/hardware_test_design/output_files каталогы.

Аппараттық дизайнды бағдарламалау үшін мына қадамдарды орындаңыз, мысалыampIntel Agilex құрылғысында:

  • Intel Agilex I сериялы трансивер сигналының тұтастығын дамыту жинағын негізгі компьютерге қосыңыз.
    Ескертпе: Әзірлеу жинағы әдепкі бойынша дұрыс сағат жиіліктерімен алдын ала бағдарламаланған. Жиіліктерді орнату үшін Clock Control қолданбасын пайдаланудың қажеті жоқ.
  • Құралдар мәзірінде Бағдарламалаушы түймесін басыңыз.
  • Бағдарламалаушыда Аппараттық құралды орнату түймесін басыңыз.
  • Бағдарламалау құрылғысын таңдаңыз.
  • Mode J күйіне орнатылғанына көз жеткізіңізTAG.
  • Intel Agilex құрылғысын таңдап, Add Device түймесін басыңыз. Бағдарламалаушы тақтадағы құрылғылар арасындағы қосылымдардың блок диаграммасын көрсетеді.
  • .sof жолында .sof ұяшығына белгі қойыңыз.
  • Бағдарлама/конфигурация бағанындағы құсбелгіні қойыңыз.
  • Бастау түймесін басыңыз.

Қатысты ақпарат

  • Блок негізіндегі жобалау ағындары
  • Intel FPGA құрылғыларын бағдарламалау
  • Жүйе консолімен дизайнды талдау және жөндеу
Аппараттық дизайнды сынау Мысалыample

F-Tile CPRI PHY Intel FPGA IP негізгі дизайнын құрастырғаннан кейін, мысалыample және оны Intel Agilex құрылғысында конфигурациялаңыз, IP өзегін және оның PHY IP негізгі регистрлерін бағдарламалау үшін жүйелік консолді пайдалануға болады.
Жүйе консолін қосу және аппараттық құрал дизайнын тексеру үшін, мысалыample, мына қадамдарды орындаңыз:

  1. Аппараттық дизайннан кейін бұрынғыample Intel Agilex құрылғысында конфигурацияланған, Intel Quartus Prime Pro Edition бағдарламалық құралында Құралдар мәзірінде Жүйені жөндеу құралдары ➤ Жүйе консолі тармағын таңдаңыз.
  2. Tcl Console тақтасында каталогты өзгерту үшін cd hwtest теріңізample_dir>/hardware_test_design/hwtest_sl.
  3. J қосылымын ашу үшін main_script.tcl көзін теріңізTAG меңгеріп, сынақты бастаңыз.

Дизайн Example Сипаттама

Дизайн бұрынғыample F-Tile CPRI PHY Intel FPGA IP ядросының негізгі функционалдығын көрсетеді. Сіз дизайнды Example F-Tile CPRI PHY Intel FPGA IP параметр өңдегішіндегі Дизайн қойындысы.
Дизайнды жасау үшін, мысалыample, алдымен соңғы өнімде жасағыңыз келетін IP негізгі вариациясының параметр мәндерін орнатуыңыз керек. Сіз бұрынғы дизайнды жасауды таңдай аласызample RS-FEC мүмкіндігі бар немесе онсыз. RS-FEC мүмкіндігі 10.1376, 12.1651 және 24.33024 Гбит/с CPRI желілік бит жылдамдығымен қол жетімді.
Кесте 4. F-Tile CPRI PHY Intel FPGA IP негізгі мүмкіндік матрицасы

CPRI желісінің бит жылдамдығы (Гбит/с) RS-FEC қолдауы Анықтамалық сағат (МГц) Детерминистік кідірісті қолдау
1.2288 Жоқ 153.6 Иә
2.4576 Жоқ 153.6 Иә
3.072 Жоқ 153.6 Иә
4.9152 Жоқ 153.6 Иә
6.144 Жоқ 153.6 Иә
9.8304 Жоқ 153.6 Иә
10.1376 Бар және Онсыз 184.32 Иә
12.1651 Бар және Онсыз 184.32 Иә
24.33024 Бар және Онсыз 184.32 Иә
Ерекше өзгешеліктері
  • Дизайнды жасаңыз, мысалыampRS-FEC мүмкіндігі бар
  • Пакетті тексерудің негізгі мүмкіндіктері, соның ішінде бару кідірісі
Модельдеу дизайны Example

F-Tile CPRI PHY Intel FPGA IP дизайны бұрынғыample имитациялық сынақ алаңын және симуляцияны жасайды fileМодельдеу опциясын таңдаған кезде F-Tile CPRI PHY Intel FPGA IP ядросын жасайтын с.

6-сурет. 10.1316, 12.1651 және 24.33024 Гбит/с (RS-FEC бар және жоқ) желілік тарифтерге арналған блок диаграммасы

intel F-Tile CPRI PHY FPGA IP дизайны Exampсурет 67-сурет. 1.228, 2.4576, 3.072, 4.9152, 6.144 және 9.8304 Гбит/с желі жылдамдығы үшін блок диаграммасы

intel F-Tile CPRI PHY FPGA IP дизайны Exampсурет 7

Бұл дизайнда бұрынғыample, имитациялық сынақ үстелі іске қосу және құлыптауды күту, пакеттерді жіберу және қабылдау сияқты негізгі функцияларды қамтамасыз етеді.
Сәтті сынақты іске қосу келесі әрекетті растайтын нәтижені көрсетеді:

  1. Клиент логикасы IP өзегін қалпына келтіреді.
  2. Клиент логикасы RX деректер жолын теңестіруді күтеді.
  3. Клиент логикасы TX MII интерфейсінде гиперфреймдерді жібереді және RX MII интерфейсінде бес гиперфраманың қабылдануын күтеді. Гиперкадрлар CPRI v7.0 спецификацияларына сәйкес MII интерфейсінде жіберіледі және қабылданады.
    Ескерту: 1.2, 2.4, 3, 4.9, 6.1 және 9.8 Гбит/с желі жылдамдығын мақсат ететін CPRI конструкциялары 8b/10b интерфейсін, ал 10.1, 12.1 және 24.3 Гбит/с (RS-FEC бар және онсыз) мақсатты дизайндар MII интерфейсін пайдаланады. Бұл дизайн бұрынғыample құрамында TX-дан RX-ке дейін бару кідірісін санау үшін бару үшін есептегіш кіреді.
  4. Клиент логикасы бару кідірісі мәнін оқиды және санауыш бару кідірісін санауды аяқтағаннан кейін RX MII жағындағы гиперфрамдар деректерінің мазмұны мен дұрыстығын тексереді.

Қатысты ақпарат

  • CPRI техникалық сипаттамалары
Аппараттық дизайн Example

Сурет 8. Аппараттық құралдарды жобалау ExampБлок диаграммасы

intel F-Tile CPRI PHY FPGA IP дизайны Exampсурет 8

 

Ескерту

  1. 2.4/4.9/9.8 Гбит/с CPRI желісінің жылдамдықтары бар CPRI конструкциялары 8b/10b интерфейсін пайдаланады және барлық басқа CPRI желі жылдамдығының конструкциялары MII интерфейсін пайдаланады.
  2. 2.4/4.9/9.8 Гбит/с CPRI желісінің жылдамдығы бар CPRI конструкциялары 153.6 МГц қабылдағыштың анықтамалық сағатын қажет етеді және барлық басқа CPRI желісінің жылдамдығы 184.32 МГц қажет.

F-Tile CPRI PHY Intel FPGA IP негізгі аппараттық құралының дизайны, мысалыample келесі компоненттерді қамтиды:

  • F-Tile CPRI PHY Intel FPGA IP ядросы.
  • Трафикті қалыптастыратын және қабылдайтын пакеттік клиенттің логикалық блогы.
  • Екі жаққа бару есептегіші.
  • S генерациялау үшін IOPLLampIP ішіндегі детерминирленген кідіріс логикасы үшін ling сағаты және testbench-те бару үшін есептегіш компонент.
  • IP үшін жүйелік сағаттарды жасау үшін PLL жүйесі.
  • Қайта конфигурациялау рұқсаттары кезінде CPRI, трансивер және Ethernet модульдері үшін қайта конфигурациялау мекенжай кеңістігін декодтау үшін Avalon®-MM мекенжай декодері.
  • Қалпына келтірулерді бекітуге және сағаттарды және бірнеше күй биттерін бақылауға арналған көздер мен зондтар.
  • JTAG Жүйе консолімен байланысатын контроллер. Жүйе консолі арқылы клиент логикасымен байланысасыз.
Интерфейс сигналдары

Кесте 5. Дизайн Example Интерфейс сигналдары

Сигнал Бағыт Сипаттама
ref_clk100МГц Енгізу Барлық қайта конфигурациялау интерфейстерінде CSR қатынасу үшін енгізу сағаты. 100 МГц жиілігінде жүргізіңіз.
i_clk_ref[0] Енгізу PLL жүйесінің анықтамалық сағаты. 156.25 МГц жиілігінде жүргізіңіз.
i_clk_ref[1] Енгізу Трансивердің анықтамалық сағаты. Көлік уақыты

• CPRI желісінің жылдамдығы 153.6, 1.2, 2.4, 3, 4.9 және 6.1 Гбит/с үшін 9.8 МГц.

• CPRI желісі үшін 184.32 МГц 10.1,12.1 және RS-FEC бар және онсыз 24.3 Гбит/с.

i_rx_serial[n] Енгізу PHY трансиверінің сериялық деректерін енгізу.
o_tx_serial[n] Шығару PHY трансиверінің шығыс сериялық деректері.
Дизайн Example Registers

Кесте 6. Дизайн Example Registers

Арна нөмірі Негізгі мекенжай (байт мекенжайы) Тіркеу түрі
 

 

0

0x00000000 CPRI PHY 0 арнасы үшін қайта конфигурациялау регистрлері
0x00100000 0 арнасы үшін Ethernet қайта конфигурациялау регистрлері
0x00200000 0 арна үшін трансиверді қайта конфигурациялау регистрлері
 

1(2)

0x01000000 CPRI PHY 1 арнасы үшін қайта конфигурациялау регистрлері
0x01100000 1 арнасы үшін Ethernet қайта конфигурациялау регистрлері
0x01200000 1 арна үшін трансиверді қайта конфигурациялау регистрлері
 

2(2)

0x02000000 CPRI PHY 2 арнасы үшін қайта конфигурациялау регистрлері
0x02100000 2 арнасы үшін Ethernet қайта конфигурациялау регистрлері
0x02200000 2 арна үшін трансиверді қайта конфигурациялау регистрлері
жалғасы...
Арна нөмірі Негізгі мекенжай (байт мекенжайы) Тіркеу түрі
 

3(2)

0x03000000 CPRI PHY 3 арнасы үшін қайта конфигурациялау регистрлері
0x03100000 3 арнасы үшін Ethernet қайта конфигурациялау регистрлері
0x03200000 3 арна үшін трансиверді қайта конфигурациялау регистрлері

Арна пайдаланылмаса, бұл регистрлер сақталған.

F-Tile CPRI PHY Intel FPGA IP Design ExampПайдаланушы нұсқаулығының мұрағаты

Егер IP негізгі нұсқасы тізімде жоқ болса, алдыңғы IP негізгі нұсқасына арналған пайдаланушы нұсқаулығы қолданылады.

Intel Quartus Prime нұсқасы IP негізгі нұсқасы Пайдаланушы нұсқаулығы
21.2 2.0.0 F-Tile CPRI PHY Intel FPGA IP Design ExampПайдаланушы нұсқаулығы

F-Tile CPRI PHY Intel FPGA IP дизайны үшін құжатты қайта қарау тарихы ExampПайдаланушы нұсқаулығы

Құжат нұсқасы Intel Quartus Prime нұсқасы IP нұсқасы Өзгерістер
2021.10.04 21.3 3.0.0
  • Бөлімдегі жаңа тренажерларға қолдау қосылды: Аппараттық және бағдарламалық қамтамасыз ету талаптары.
  • Бөлімдегі жаңартылған қадамдар: Дизайнды имитациялау Example Testbench.
  • Келесі бөлімдер жаңа жол тарифі ақпаратымен жаңартылды:
    • Дизайн Example Сипаттама
    • Модельдеу дизайны Example
    • Интерфейс сигналдары
  • Бөлімдегі мекенжай жаңартылды: Дизайн Example Registers.
2021.06.21 21.2 2.0.0 Бастапқы шығарылым.

Intel корпорациясы. Барлық құқықтар сақталған. Intel, Intel логотипі және басқа Intel белгілері Intel корпорациясының немесе оның еншілес компанияларының сауда белгілері болып табылады. Intel өзінің FPGA және жартылай өткізгіш өнімдерінің Intel стандартты кепілдігіне сәйкес ағымдағы техникалық сипаттамаларға сәйкес орындалуына кепілдік береді, бірақ кез келген өнімдер мен қызметтерге кез келген уақытта ескертусіз өзгертулер енгізу құқығын өзіне қалдырады. Intel жазбаша түрде тікелей келіскен жағдайларды қоспағанда, Intel компаниясы осы құжатта сипатталған кез келген ақпаратты, өнімді немесе қызметті қолданудан немесе пайдаланудан туындайтын жауапкершілікті немесе жауапкершілікті өз мойнына алмайды. Intel тұтынушыларына кез келген жарияланған ақпаратқа сенбес бұрын және өнімдерге немесе қызметтерге тапсырыс бермес бұрын құрылғы сипаттамаларының соңғы нұсқасын алу ұсынылады.
*Басқа атаулар мен брендтер басқалардың меншігі ретінде талап етілуі мүмкін.

Құжаттар / Ресурстар

intel F-Tile CPRI PHY FPGA IP дизайны Example [pdf] Пайдаланушы нұсқаулығы
F-Tile CPRI PHY FPGA IP дизайны Example, PHY FPGA IP Design Example, F-Tile CPRI IP Design Example, IP Design Example, IP дизайны

Анықтамалар

Пікір қалдырыңыз

Электрондық пошта мекенжайыңыз жарияланбайды. Міндетті өрістер белгіленген *