ਸਮੱਗਰੀ ਓਹਲੇ

intel ਲੋਗੋ

intel F-Tile CPRI PHY FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample

intel F-Tile CPRI PHY FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਉਤਪਾਦ

ਤੇਜ਼ ਸ਼ੁਰੂਆਤ ਗਾਈਡ

F-Tile CPRI PHY Intel® FPGA IP ਕੋਰ ਇੱਕ ਸਿਮੂਲੇਸ਼ਨ ਟੈਸਟਬੈਂਚ ਅਤੇ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਐਕਸ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈample ਜੋ ਕੰਪਾਇਲੇਸ਼ਨ ਅਤੇ ਹਾਰਡਵੇਅਰ ਟੈਸਟਿੰਗ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। ਜਦੋਂ ਤੁਸੀਂ ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਦੇ ਹੋ ਤਾਂ ਸਾਬਕਾample, ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ ਆਟੋਮੈਟਿਕਲੀ ਬਣਾਉਂਦਾ ਹੈ fileਹਾਰਡਵੇਅਰ ਵਿੱਚ ਡਿਜ਼ਾਈਨ ਦੀ ਨਕਲ, ਕੰਪਾਇਲ ਅਤੇ ਜਾਂਚ ਕਰਨ ਲਈ ਜ਼ਰੂਰੀ ਹੈ।
Intel ਇੱਕ ਸੰਕਲਨ-ਸਿਰਫ ਐਕਸ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈample ਪ੍ਰੋਜੈਕਟ ਜਿਸਦੀ ਵਰਤੋਂ ਤੁਸੀਂ IP ਕੋਰ ਖੇਤਰ ਅਤੇ ਸਮੇਂ ਦਾ ਤੇਜ਼ੀ ਨਾਲ ਅਨੁਮਾਨ ਲਗਾਉਣ ਲਈ ਕਰ ਸਕਦੇ ਹੋ.
F-Tile CPRI PHY Intel FPGA IP ਕੋਰ ਡਿਜ਼ਾਇਨ ਸਾਬਕਾ ਬਣਾਉਣ ਦੀ ਸਮਰੱਥਾ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈampਸੀਪੀਆਰਆਈ ਚੈਨਲਾਂ ਅਤੇ ਸੀਪੀਆਰਆਈ ਲਾਈਨ ਬਿੱਟ ਦਰਾਂ ਦੀ ਗਿਣਤੀ ਦੇ ਸਾਰੇ ਸਮਰਥਿਤ ਸੰਜੋਗਾਂ ਲਈ les. ਟੈਸਟਬੈਂਚ ਅਤੇ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample F-Tile CPRI PHY Intel FPGA IP ਕੋਰ ਦੇ ਕਈ ਪੈਰਾਮੀਟਰ ਸੰਜੋਗਾਂ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ।

ਚਿੱਤਰ 1. ਡਿਜ਼ਾਈਨ ਲਈ ਵਿਕਾਸ ਦੇ ਪੜਾਅ ਸਾਬਕਾample

intel F-Tile CPRI PHY FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਅੰਜੀਰ 1

ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ

  • F-Tile CPRI PHY Intel FPGA IP ਉਪਭੋਗਤਾ ਗਾਈਡ
    • F-tile CPRI PHY IP ਬਾਰੇ ਵਿਸਤ੍ਰਿਤ ਜਾਣਕਾਰੀ ਲਈ।
  • F-Tile CPRI PHY Intel FPGA IP ਰੀਲੀਜ਼ ਨੋਟਸ
    • IP ਰੀਲੀਜ਼ ਨੋਟਸ ਇੱਕ ਖਾਸ ਰੀਲੀਜ਼ ਵਿੱਚ IP ਤਬਦੀਲੀਆਂ ਦੀ ਸੂਚੀ ਹੈ।
ਹਾਰਡਵੇਅਰ ਅਤੇ ਸਾਫਟਵੇਅਰ ਲੋੜਾਂ

ਸਾਬਕਾ ਦੀ ਜਾਂਚ ਕਰਨ ਲਈample design, ਹੇਠ ਦਿੱਤੇ ਹਾਰਡਵੇਅਰ ਅਤੇ ਸੌਫਟਵੇਅਰ ਦੀ ਵਰਤੋਂ ਕਰੋ:

  • Intel Quartus® Prime Pro ਐਡੀਸ਼ਨ ਸਾਫਟਵੇਅਰ
  • ਸਿਸਟਮ ਕੰਸੋਲ
  • ਸਮਰਥਿਤ ਸਿਮੂਲੇਟਰ:
    • Synopsys* VCS*
    • Synopsys VCS MX
    • Siemens* EDA ModelSim* SE ਜਾਂ Questa*— Questa-Intel FPGA ਐਡੀਸ਼ਨ
ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਨਾ

ਚਿੱਤਰ 2. ਵਿਧੀ

intel F-Tile CPRI PHY FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਅੰਜੀਰ 2ਚਿੱਤਰ 3. ਸਾਬਕਾampIP ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ ਵਿੱਚ ਡਿਜ਼ਾਈਨ ਟੈਬ

intel F-Tile CPRI PHY FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਅੰਜੀਰ 3

ਇੱਕ Intel Quartus Prime Pro ਐਡੀਸ਼ਨ ਪ੍ਰੋਜੈਕਟ ਬਣਾਉਣ ਲਈ:

  1. Intel Quartus Prime Pro ਐਡੀਸ਼ਨ ਵਿੱਚ, ਕਲਿੱਕ ਕਰੋ File ➤ ਨਵਾਂ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋਜੈਕਟ ਬਣਾਉਣ ਲਈ ਨਵਾਂ ਪ੍ਰੋਜੈਕਟ ਵਿਜ਼ਾਰਡ, ਜਾਂ File ➤ ਇੱਕ ਮੌਜੂਦਾ Intel Quartus Prime ਪ੍ਰੋਜੈਕਟ ਨੂੰ ਖੋਲ੍ਹਣ ਲਈ ਓਪਨ ਪ੍ਰੋਜੈਕਟ। ਵਿਜ਼ਾਰਡ ਤੁਹਾਨੂੰ ਇੱਕ ਡਿਵਾਈਸ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ ਪੁੱਛਦਾ ਹੈ।
  2. ਡਿਵਾਈਸ ਫੈਮਿਲੀ Agilex (I-ਸੀਰੀਜ਼) ਨਿਸ਼ਚਿਤ ਕਰੋ ਅਤੇ ਇੱਕ ਡਿਵਾਈਸ ਚੁਣੋ ਜੋ ਇਹਨਾਂ ਸਾਰੀਆਂ ਜ਼ਰੂਰਤਾਂ ਨੂੰ ਪੂਰਾ ਕਰਦਾ ਹੈ:
    • ਟ੍ਰਾਂਸਸੀਵਰ ਟਾਇਲ F-ਟਾਈਲ ਹੈ
    • ਟ੍ਰਾਂਸਸੀਵਰ ਸਪੀਡ ਗ੍ਰੇਡ -1 ਜਾਂ -2 ਹੈ
    • ਕੋਰ ਸਪੀਡ ਗ੍ਰੇਡ -1 ਜਾਂ -2 ਜਾਂ -3 ਹੈ
  3. ਸਮਾਪਤ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।

F-Tile CPRI PHY Intel FPGA IP ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਨ ਲਈ ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋample ਅਤੇ testbench:

  1. IP ਕੈਟਾਲਾਗ ਵਿੱਚ, F-Tile CPRI PHY Intel FPGA IP ਲੱਭੋ ਅਤੇ ਚੁਣੋ। ਨਵੀਂ IP ਪਰਿਵਰਤਨ ਵਿੰਡੋ ਦਿਖਾਈ ਦਿੰਦੀ ਹੈ।
  2. ਇੱਕ ਉੱਚ-ਪੱਧਰ ਦਾ ਨਾਮ ਦਿਓ ਤੁਹਾਡੀ ਕਸਟਮ IP ਪਰਿਵਰਤਨ ਲਈ। ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ IP ਪਰਿਵਰਤਨ ਸੈਟਿੰਗਾਂ ਨੂੰ ਏ ਵਿੱਚ ਸੁਰੱਖਿਅਤ ਕਰਦਾ ਹੈ file ਨਾਮ ਦਿੱਤਾ ਗਿਆ .ਆਈ.ਪੀ.
  3. ਕਲਿਕ ਕਰੋ ਠੀਕ ਹੈ. ਪੈਰਾਮੀਟਰ ਐਡੀਟਰ ਦਿਸਦਾ ਹੈ।
  4. IP ਟੈਬ 'ਤੇ, ਆਪਣੇ IP ਕੋਰ ਪਰਿਵਰਤਨ ਲਈ ਮਾਪਦੰਡ ਨਿਰਧਾਰਤ ਕਰੋ।
  5. ਸਾਬਕਾ 'ਤੇample ਡਿਜ਼ਾਈਨ ਟੈਬ, ਸਾਬਕਾ ਦੇ ਅਧੀਨampਲੇ ਡਿਜ਼ਾਇਨ Files, ਟੈਸਟਬੈਂਚ ਅਤੇ ਕੰਪਾਇਲੇਸ਼ਨ-ਓਨਲੀ ਪ੍ਰੋਜੈਕਟ ਬਣਾਉਣ ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਵਿਕਲਪ ਦੀ ਚੋਣ ਕਰੋ। ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਐਕਸ ਤਿਆਰ ਕਰਨ ਲਈ ਸਿੰਥੇਸਿਸ ਵਿਕਲਪ ਦੀ ਚੋਣ ਕਰੋample. ਡਿਜ਼ਾਈਨ ਐਕਸ ਬਣਾਉਣ ਲਈ ਤੁਹਾਨੂੰ ਸਿਮੂਲੇਸ਼ਨ ਅਤੇ ਸਿੰਥੇਸਿਸ ਵਿਕਲਪਾਂ ਵਿੱਚੋਂ ਘੱਟੋ-ਘੱਟ ਇੱਕ ਦੀ ਚੋਣ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈample.
  6. ਸਾਬਕਾ 'ਤੇample ਡਿਜ਼ਾਈਨ ਟੈਬ, ਤਿਆਰ ਕੀਤੇ HDL ਫਾਰਮੈਟ ਦੇ ਤਹਿਤ, ਵੇਰੀਲੌਗ HDL ਜਾਂ VHDL ਚੁਣੋ। ਜੇਕਰ ਤੁਸੀਂ VHDL ਦੀ ਚੋਣ ਕਰਦੇ ਹੋ, ਤਾਂ ਤੁਹਾਨੂੰ ਮਿਕਸਡ-ਲੈਂਗਵੇਜ ਸਿਮੂਲੇਟਰ ਨਾਲ ਟੈਸਟਬੈਂਚ ਦੀ ਨਕਲ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ। ਸਾਬਕਾ_ ਵਿੱਚ ਟੈਸਟ ਅਧੀਨ ਡਿਵਾਈਸ ਡਾਇਰੈਕਟਰੀ ਇੱਕ VHDL ਮਾਡਲ ਹੈ, ਪਰ ਮੁੱਖ ਟੈਸਟਬੈਂਚ ਹੈ file ਇੱਕ ਸਿਸਟਮ ਵੇਰੀਲੌਗ ਹੈ file.
  7. ਜਨਰੇਟ ਐਕਸ 'ਤੇ ਕਲਿੱਕ ਕਰੋample ਡਿਜ਼ਾਈਨ ਬਟਨ. ਸਾਬਕਾ ਚੁਣੋample ਡਿਜ਼ਾਈਨ ਡਾਇਰੈਕਟਰੀ ਵਿੰਡੋ ਦਿਖਾਈ ਦਿੰਦੀ ਹੈ।
  8. ਜੇਕਰ ਤੁਸੀਂ ਡਿਜ਼ਾਈਨ ਨੂੰ ਸੋਧਣਾ ਚਾਹੁੰਦੇ ਹੋ ਤਾਂ ਸਾਬਕਾample ਡਾਇਰੈਕਟਰੀ ਮਾਰਗ ਜਾਂ ਡਿਸਪਲੇ ਕੀਤੇ ਡਿਫੌਲਟ ਤੋਂ ਨਾਮ (cpriphy_ftile_0_example_design), ਨਵੇਂ ਮਾਰਗ 'ਤੇ ਬ੍ਰਾਊਜ਼ ਕਰੋ ਅਤੇ ਨਵਾਂ ਡਿਜ਼ਾਈਨ ਐਕਸ ਟਾਈਪ ਕਰੋample ਡਾਇਰੈਕਟਰੀ ਦਾ ਨਾਮ (ample_dir>).
ਡਾਇਰੈਕਟਰੀ ਬਣਤਰ

F-Tile CPRI PHY Intel FPGA IP ਕੋਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample file ਡਾਇਰੈਕਟਰੀਆਂ ਵਿੱਚ ਹੇਠ ਲਿਖੀਆਂ ਤਿਆਰ ਕੀਤੀਆਂ ਹਨ fileਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਲਈ sample.

ਚਿੱਤਰ 4. ਤਿਆਰ ਕੀਤੇ ਐਕਸ ਦੀ ਡਾਇਰੈਕਟਰੀ ਬਣਤਰampਲੇ ਡਿਜ਼ਾਇਨ

intel F-Tile CPRI PHY FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਅੰਜੀਰ 4

ਟੇਬਲ 1. ਟੈਸਟਬੈਂਚ File ਵਰਣਨ

File ਨਾਮ ਵਰਣਨ
ਕੁੰਜੀ ਟੈਸਟਬੈਂਚ ਅਤੇ ਸਿਮੂਲੇਸ਼ਨ Files
<design_example_dir>/ ਉਦਾਹਰਨample_testbench/basic_avl_tb_top.sv ਸਿਖਰ-ਪੱਧਰੀ ਟੈਸਟਬੈਂਚ file. ਟੈਸਟਬੈਂਚ ਡੀਯੂਟੀ ਰੈਪਰ ਨੂੰ ਤਤਕਾਲ ਬਣਾਉਂਦਾ ਹੈ ਅਤੇ ਪੈਕੇਟ ਬਣਾਉਣ ਅਤੇ ਸਵੀਕਾਰ ਕਰਨ ਲਈ ਵੇਰੀਲੌਗ ਐਚਡੀਐਲ ਕਾਰਜ ਚਲਾਉਂਦਾ ਹੈ।
<design_example_dir>/ ਉਦਾਹਰਨample_testbench/ cpriphy_ftile_wrapper.sv ਡੀਯੂਟੀ ਰੈਪਰ ਜੋ ਡੀਯੂਟੀ ਅਤੇ ਹੋਰ ਟੈਸਟਬੈਂਚ ਭਾਗਾਂ ਨੂੰ ਤਤਕਾਲ ਕਰਦਾ ਹੈ।
ਟੈਸਟਬੈਂਚ ਸਕ੍ਰਿਪਟਾਂ(1)
<design_example_dir>/ ਉਦਾਹਰਨample_testbench/run_vsim.do ਟੈਸਟਬੈਂਚ ਨੂੰ ਚਲਾਉਣ ਲਈ ਸੀਮੇਂਸ EDA ਮਾਡਲਸਿਮ SE ਜਾਂ Questa ਜਾਂ Questa-Intel FPGA ਐਡੀਸ਼ਨ ਸਕ੍ਰਿਪਟ।
<design_example_dir>/ ਉਦਾਹਰਨample_testbench/run_vcs.sh ਟੈਸਟਬੈਂਚ ਨੂੰ ਚਲਾਉਣ ਲਈ Synopsys VCS ਸਕ੍ਰਿਪਟ।
<design_example_dir>/ ਉਦਾਹਰਨample_testbench/run_vcsmx.sh ਟੈਸਟਬੈਂਚ ਨੂੰ ਚਲਾਉਣ ਲਈ Synopsys VCS MX ਸਕ੍ਰਿਪਟ (VHDL ਨਾਲ Verilog HDL ਅਤੇ SystemVerilog ਨੂੰ ਮਿਲਾ ਕੇ)।

ਵਿੱਚ ਕਿਸੇ ਹੋਰ ਸਿਮੂਲੇਟਰ ਸਕ੍ਰਿਪਟ ਨੂੰ ਅਣਡਿੱਠ ਕਰੋample_dir>/example_testbench/ ਫੋਲਡਰ।

ਸਾਰਣੀ 2. ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample File ਵਰਣਨ

File ਨਾਮ ਵਰਣਨ
<design_example_dir>/hardware_test_design/ cpriphy_ftile_hw.qpf Intel Quartus Prime ਪ੍ਰੋਜੈਕਟ file.
<design_example_dir>/hardware_test_design/ cpriphy_ftile_hw.qsf Intel Quartus Prime ਪ੍ਰੋਜੈਕਟ ਸੈਟਿੰਗ file.
<design_example_dir>/hardware_test_design/ cpriphy_ftile_hw.sdc Synopsys ਡਿਜ਼ਾਈਨ ਪਾਬੰਦੀਆਂ fileਐੱਸ. ਤੁਸੀਂ ਇਹਨਾਂ ਨੂੰ ਕਾਪੀ ਅਤੇ ਸੋਧ ਸਕਦੇ ਹੋ files ਤੁਹਾਡੇ ਆਪਣੇ Intel Agilex™ ਡਿਜ਼ਾਈਨ ਲਈ।
<design_example_dir>/hardware_test_design/ cpriphy_ftile_hw.v ਉੱਚ-ਪੱਧਰੀ ਵੇਰੀਲੌਗ ਐਚਡੀਐਲ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample file.
<design_example_dir>/hardware_test_design/ cpriphy_ftile_wrapper.sv ਡੀਯੂਟੀ ਰੈਪਰ ਜੋ ਡੀਯੂਟੀ ਅਤੇ ਹੋਰ ਟੈਸਟਬੈਂਚ ਭਾਗਾਂ ਨੂੰ ਤਤਕਾਲ ਕਰਦਾ ਹੈ।
<design_example_dir>/hardware_test_design/ hwtest_sl/main_script.tcl ਮੁੱਖ file ਸਿਸਟਮ ਕੰਸੋਲ ਨੂੰ ਐਕਸੈਸ ਕਰਨ ਲਈ।
ਡਿਜ਼ਾਈਨ ਦੀ ਨਕਲ ਕਰਨਾ ਸਾਬਕਾampਲੇ ਟੈਸਟਬੈਂਚ

ਚਿੱਤਰ 5. ਵਿਧੀ

intel F-Tile CPRI PHY FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਅੰਜੀਰ 5

ਟੈਸਟਬੈਂਚ ਦੀ ਨਕਲ ਕਰਨ ਲਈ ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ:

  1. ਕਮਾਂਡ ਪ੍ਰੋਂਪਟ 'ਤੇ, ਟੈਸਟਬੈਂਚ ਸਿਮੂਲੇਸ਼ਨ ਡਾਇਰੈਕਟਰੀ ਵਿੱਚ ਬਦਲੋample_dir>/example_testbench. cd /example_testbench
  2. ਤਿਆਰ ਕੀਤੇ ਪ੍ਰੋਜੈਕਟ 'ਤੇ quartus_tlg ਚਲਾਓ file: quartus_tlg cpriphy_ftile_hw
  3. ip-setup-simulation ਚਲਾਓ: ip-setup-simulation –output-directory=./sim_script –use-relative-paths –quartus project=cpriphy_ftile_hw.qpf
  4. ਆਪਣੀ ਪਸੰਦ ਦੇ ਸਮਰਥਿਤ ਸਿਮੂਲੇਟਰ ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਸਕ੍ਰਿਪਟ ਚਲਾਓ। ਸਕ੍ਰਿਪਟ ਸਿਮੂਲੇਟਰ ਵਿੱਚ ਟੈਸਟਬੈਂਚ ਨੂੰ ਕੰਪਾਇਲ ਕਰਦੀ ਹੈ ਅਤੇ ਚਲਾਉਂਦੀ ਹੈ। ਟੈਸਟਬੈਂਚ ਦੀ ਨਕਲ ਕਰਨ ਲਈ ਟੇਬਲ ਸਟੈਪਸ ਵੇਖੋ।
  5. ਨਤੀਜਿਆਂ ਦਾ ਵਿਸ਼ਲੇਸ਼ਣ ਕਰੋ। ਸਫਲ ਟੈਸਟਬੈਂਚ ਨੇ ਪੰਜ ਹਾਈਪਰਫ੍ਰੇਮ ਪ੍ਰਾਪਤ ਕੀਤੇ, ਅਤੇ "PASSED" ਪ੍ਰਦਰਸ਼ਿਤ ਕੀਤਾ।

ਸਾਰਣੀ 3. Synopsys VCS* ਸਿਮੂਲੇਟਰ ਵਿੱਚ ਟੈਸਟਬੈਂਚ ਦੀ ਨਕਲ ਕਰਨ ਲਈ ਕਦਮ

ਸਿਮੂਲੇਟਰ ਹਦਾਇਤਾਂ
ਵੀ.ਸੀ.ਐਸ ਕਮਾਂਡ ਲਾਈਨ ਵਿੱਚ, ਟਾਈਪ ਕਰੋ:
sh run_vcs.sh  
ਜਾਰੀ…
ਸਿਮੂਲੇਟਰ ਹਦਾਇਤਾਂ
VCS MX ਕਮਾਂਡ ਲਾਈਨ ਵਿੱਚ, ਟਾਈਪ ਕਰੋ:
sh run_vcsmx.sh  
ModelSim SE ਜਾਂ Questa ਜਾਂ Questa-Intel FPGA ਐਡੀਸ਼ਨ ਕਮਾਂਡ ਲਾਈਨ ਵਿੱਚ, ਟਾਈਪ ਕਰੋ:
vsim -do run_vsim.do  
ਜੇ ਤੁਸੀਂ GUI ਨੂੰ ਸਾਹਮਣੇ ਲਿਆਏ ਬਿਨਾਂ ਸਿਮੂਲੇਟ ਕਰਨਾ ਪਸੰਦ ਕਰਦੇ ਹੋ, ਤਾਂ ਟਾਈਪ ਕਰੋ:
vsim -c -do run_vsim.do  

ਹੇਠ ਲਿਖੇ ਐਸample ਆਉਟਪੁੱਟ 24.33024 CPRI ਚੈਨਲਾਂ ਦੇ ਨਾਲ 4 Gbps ਲਈ ਇੱਕ ਸਫਲ ਸਿਮੂਲੇਸ਼ਨ ਟੈਸਟ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ:

intel F-Tile CPRI PHY FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਅੰਜੀਰ 9 intel F-Tile CPRI PHY FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਅੰਜੀਰ 10 intel F-Tile CPRI PHY FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਅੰਜੀਰ 11

ਕੰਪਾਈਲੇਸ਼ਨ-ਸਿਰਫ ਪ੍ਰੋਜੈਕਟ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨਾ

ਕੰਪਾਇਲੇਸ਼ਨ-ਸਿਰਫ ਐਕਸampਪ੍ਰੋਜੈਕਟ ਲਈ, ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ:

  1. ਸੰਕਲਨ ਡਿਜ਼ਾਈਨ ਨੂੰ ਯਕੀਨੀ ਬਣਾਓ ਸਾਬਕਾampਲੀ ਪੀੜ੍ਹੀ ਪੂਰੀ ਹੋ ਗਈ ਹੈ।
  2. Intel Quartus Prime Pro Edition ਸਾਫਟਵੇਅਰ ਵਿੱਚ, Intel Quartus Prime Pro ਐਡੀਸ਼ਨ ਪ੍ਰੋਜੈਕਟ ਨੂੰ ਖੋਲ੍ਹੋample_dir>/compilation_test_design/cpriphy_ftile.qpf।
  3. ਪ੍ਰੋਸੈਸਿੰਗ ਮੀਨੂ 'ਤੇ, ਸੰਕਲਨ ਸ਼ੁਰੂ ਕਰੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
  4. ਸਫਲ ਸੰਕਲਨ ਤੋਂ ਬਾਅਦ, ਤੁਹਾਡੇ ਇੰਟੈਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋ ਐਡੀਸ਼ਨ ਸੈਸ਼ਨ ਵਿੱਚ ਸਮੇਂ ਅਤੇ ਸਰੋਤਾਂ ਦੀ ਵਰਤੋਂ ਲਈ ਰਿਪੋਰਟਾਂ ਉਪਲਬਧ ਹਨ।

ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
ਬਲਾਕ-ਅਧਾਰਿਤ ਡਿਜ਼ਾਈਨ ਪ੍ਰਵਾਹ

ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨਾ ਅਤੇ ਕੌਂਫਿਗਰ ਕਰਨਾ Exampਹਾਰਡਵੇਅਰ ਵਿੱਚ le

ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨ ਲਈ ਸਾਬਕਾample ਅਤੇ ਇਸਨੂੰ ਆਪਣੇ Intel Agilex ਡਿਵਾਈਸ 'ਤੇ ਕੌਂਫਿਗਰ ਕਰੋ, ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ:

  1. ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਨੂੰ ਯਕੀਨੀ ਬਣਾਓ ਸਾਬਕਾampਲੀ ਪੀੜ੍ਹੀ ਪੂਰੀ ਹੋ ਗਈ ਹੈ।
  2. Intel Quartus Prime Pro ਐਡੀਸ਼ਨ ਸੌਫਟਵੇਅਰ ਵਿੱਚ, Intel Quartus Prime ਪ੍ਰੋਜੈਕਟ ਨੂੰ ਖੋਲ੍ਹੋample_dir>/hardware_test_design/ cpriphy_ftile_hw.qpf।
  3. .qsf ਨੂੰ ਸੰਪਾਦਿਤ ਕਰੋ file ਤੁਹਾਡੇ ਹਾਰਡਵੇਅਰ ਦੇ ਆਧਾਰ 'ਤੇ ਪਿੰਨ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ।
  4. ਪ੍ਰੋਸੈਸਿੰਗ ਮੀਨੂ 'ਤੇ, ਸੰਕਲਨ ਸ਼ੁਰੂ ਕਰੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
  5. ਸਫਲ ਸੰਕਲਨ ਦੇ ਬਾਅਦ, ਇੱਕ .sof file ਵਿੱਚ ਉਪਲਬਧ ਹੈample_dir>/hardware_test_design/output_files ਡਾਇਰੈਕਟਰੀ.

ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਨੂੰ ਪ੍ਰੋਗਰਾਮ ਕਰਨ ਲਈ ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋampIntel Agilex ਡਿਵਾਈਸ 'ਤੇ le:

  • Intel Agilex I-series Transceiver Signal Integrity Development Kit ਨੂੰ ਹੋਸਟ ਕੰਪਿਊਟਰ ਨਾਲ ਕਨੈਕਟ ਕਰੋ।
    ਨੋਟ: ਡਿਵੈਲਪਮੈਂਟ ਕਿੱਟ ਨੂੰ ਡਿਫੌਲਟ ਤੌਰ 'ਤੇ ਸਹੀ ਘੜੀ ਦੀ ਬਾਰੰਬਾਰਤਾ ਨਾਲ ਪ੍ਰੀ-ਪ੍ਰੋਗਰਾਮ ਕੀਤਾ ਗਿਆ ਹੈ। ਤੁਹਾਨੂੰ ਫ੍ਰੀਕੁਐਂਸੀ ਸੈੱਟ ਕਰਨ ਲਈ ਕਲਾਕ ਕੰਟਰੋਲ ਐਪਲੀਕੇਸ਼ਨ ਦੀ ਵਰਤੋਂ ਕਰਨ ਦੀ ਲੋੜ ਨਹੀਂ ਹੈ।
  • ਟੂਲਸ ਮੀਨੂ 'ਤੇ, ਪ੍ਰੋਗਰਾਮਰ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
  • ਪ੍ਰੋਗਰਾਮਰ ਵਿੱਚ, ਹਾਰਡਵੇਅਰ ਸੈੱਟਅੱਪ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
  • ਇੱਕ ਪ੍ਰੋਗਰਾਮਿੰਗ ਡਿਵਾਈਸ ਚੁਣੋ।
  • ਯਕੀਨੀ ਬਣਾਓ ਕਿ ਮੋਡ ਜੇ 'ਤੇ ਸੈੱਟ ਹੈTAG.
  • Intel Agilex ਡਿਵਾਈਸ ਦੀ ਚੋਣ ਕਰੋ ਅਤੇ ਡਿਵਾਈਸ ਜੋੜੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ। ਪ੍ਰੋਗਰਾਮਰ ਤੁਹਾਡੇ ਬੋਰਡ 'ਤੇ ਡਿਵਾਈਸਾਂ ਵਿਚਕਾਰ ਕਨੈਕਸ਼ਨਾਂ ਦਾ ਇੱਕ ਬਲਾਕ ਚਿੱਤਰ ਪ੍ਰਦਰਸ਼ਿਤ ਕਰਦਾ ਹੈ।
  • ਤੁਹਾਡੇ .sof ਦੇ ਨਾਲ ਕਤਾਰ ਵਿੱਚ, .sof ਲਈ ਬਾਕਸ 'ਤੇ ਨਿਸ਼ਾਨ ਲਗਾਓ।
  • ਪ੍ਰੋਗਰਾਮ/ਸੰਰਚਨਾ ਕਾਲਮ ਵਿੱਚ ਬਾਕਸ ਨੂੰ ਚੈੱਕ ਕਰੋ।
  • ਸਟਾਰਟ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।

ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ

  • ਬਲਾਕ-ਅਧਾਰਿਤ ਡਿਜ਼ਾਈਨ ਪ੍ਰਵਾਹ
  • ਪ੍ਰੋਗਰਾਮਿੰਗ Intel FPGA ਡਿਵਾਈਸਾਂ
  • ਸਿਸਟਮ ਕੰਸੋਲ ਨਾਲ ਡਿਜ਼ਾਈਨ ਦਾ ਵਿਸ਼ਲੇਸ਼ਣ ਕਰਨਾ ਅਤੇ ਡੀਬੱਗ ਕਰਨਾ
ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਦੀ ਜਾਂਚ ਕਰਨਾ ਸਾਬਕਾample

ਤੁਹਾਡੇ ਦੁਆਰਾ F-Tile CPRI PHY Intel FPGA IP ਕੋਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨ ਤੋਂ ਬਾਅਦample ਅਤੇ ਇਸਨੂੰ ਆਪਣੇ Intel Agilex ਡਿਵਾਈਸ 'ਤੇ ਕੌਂਫਿਗਰ ਕਰੋ, ਤੁਸੀਂ IP ਕੋਰ ਅਤੇ ਇਸਦੇ PHY IP ਕੋਰ ਰਜਿਸਟਰਾਂ ਨੂੰ ਪ੍ਰੋਗਰਾਮ ਕਰਨ ਲਈ ਸਿਸਟਮ ਕੰਸੋਲ ਦੀ ਵਰਤੋਂ ਕਰ ਸਕਦੇ ਹੋ।
ਸਿਸਟਮ ਕੰਸੋਲ ਨੂੰ ਚਾਲੂ ਕਰਨ ਅਤੇ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਦੀ ਜਾਂਚ ਕਰਨ ਲਈ ਸਾਬਕਾampਲੇ, ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ:

  1. ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਤੋਂ ਬਾਅਦ ਸਾਬਕਾample ਨੂੰ Intel Agilex ਡਿਵਾਈਸ 'ਤੇ ਕੌਂਫਿਗਰ ਕੀਤਾ ਗਿਆ ਹੈ, Intel Quartus Prime Pro ਐਡੀਸ਼ਨ ਸੌਫਟਵੇਅਰ ਵਿੱਚ, ਟੂਲਸ ਮੀਨੂ 'ਤੇ, ਸਿਸਟਮ ਡੀਬੱਗਿੰਗ ਟੂਲਸ ➤ ਸਿਸਟਮ ਕੰਸੋਲ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
  2. Tcl ਕੰਸੋਲ ਪੈਨ ਵਿੱਚ, ਡਾਇਰੈਕਟਰੀ ਨੂੰ ਬਦਲਣ ਲਈ cd hwtest ਟਾਈਪ ਕਰੋample_dir>/hardware_test_design/hwtest_sl।
  3. ਜੇ ਨਾਲ ਕੁਨੈਕਸ਼ਨ ਖੋਲ੍ਹਣ ਲਈ ਸਰੋਤ main_script.tcl ਟਾਈਪ ਕਰੋTAG ਮਾਸਟਰ ਅਤੇ ਟੈਸਟ ਸ਼ੁਰੂ ਕਰੋ.

ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਵੇਰਵਾ

ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample F-Tile CPRI PHY Intel FPGA IP ਕੋਰ ਦੀ ਬੁਨਿਆਦੀ ਕਾਰਜਕੁਸ਼ਲਤਾ ਨੂੰ ਪ੍ਰਦਰਸ਼ਿਤ ਕਰਦਾ ਹੈ। ਤੁਸੀਂ ਐਕਸ ਤੋਂ ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰ ਸਕਦੇ ਹੋampF-Tile CPRI PHY Intel FPGA IP ਪੈਰਾਮੀਟਰ ਸੰਪਾਦਕ ਵਿੱਚ le ਡਿਜ਼ਾਈਨ ਟੈਬ।
ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਨ ਲਈ ਸਾਬਕਾample, ਤੁਹਾਨੂੰ ਪਹਿਲਾਂ IP ਕੋਰ ਪਰਿਵਰਤਨ ਲਈ ਪੈਰਾਮੀਟਰ ਮੁੱਲ ਸੈੱਟ ਕਰਨੇ ਚਾਹੀਦੇ ਹਨ ਜੋ ਤੁਸੀਂ ਆਪਣੇ ਅੰਤਮ ਉਤਪਾਦ ਵਿੱਚ ਬਣਾਉਣਾ ਚਾਹੁੰਦੇ ਹੋ। ਤੁਸੀਂ ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਨ ਦੀ ਚੋਣ ਕਰ ਸਕਦੇ ਹੋampRS-FEC ਵਿਸ਼ੇਸ਼ਤਾ ਦੇ ਨਾਲ ਜਾਂ ਬਿਨਾਂ। RS-FEC ਵਿਸ਼ੇਸ਼ਤਾ 10.1376, 12.1651 ਅਤੇ 24.33024 Gbps CPRI ਲਾਈਨ ਬਿੱਟ ਦਰਾਂ ਦੇ ਨਾਲ ਉਪਲਬਧ ਹੈ।
ਸਾਰਣੀ 4. F-ਟਾਈਲ CPRI PHY Intel FPGA IP ਕੋਰ ਵਿਸ਼ੇਸ਼ਤਾ ਮੈਟਰਿਕਸ

ਸੀਪੀਆਰਆਈ ਲਾਈਨ ਬਿਟ ਰੇਟ (ਜੀ.ਬੀ.ਪੀ.ਐਸ.) RS-FEC ਸਹਾਇਤਾ ਹਵਾਲਾ ਘੜੀ (MHz) ਨਿਰਧਾਰਕ ਲੇਟੈਂਸੀ ਸਹਾਇਤਾ
1.2288 ਨੰ 153.6 ਹਾਂ
2.4576 ਨੰ 153.6 ਹਾਂ
3.072 ਨੰ 153.6 ਹਾਂ
4.9152 ਨੰ 153.6 ਹਾਂ
6.144 ਨੰ 153.6 ਹਾਂ
9.8304 ਨੰ 153.6 ਹਾਂ
10.1376 ਨਾਲ ਅਤੇ ਬਿਨਾ 184.32 ਹਾਂ
12.1651 ਨਾਲ ਅਤੇ ਬਿਨਾ 184.32 ਹਾਂ
24.33024 ਨਾਲ ਅਤੇ ਬਿਨਾ 184.32 ਹਾਂ
ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ
  • ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰੋ ਸਾਬਕਾampRS-FEC ਵਿਸ਼ੇਸ਼ਤਾ ਦੇ ਨਾਲ le
  • ਰਾਊਂਡ ਟ੍ਰਿਪ ਲੇਟੈਂਸੀ ਗਿਣਤੀ ਸਮੇਤ ਮੂਲ ਪੈਕੇਟ ਜਾਂਚ ਸਮਰੱਥਾਵਾਂ
ਸਿਮੂਲੇਸ਼ਨ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample

F-Tile CPRI PHY Intel FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਇੱਕ ਸਿਮੂਲੇਸ਼ਨ ਟੈਸਟਬੈਂਚ ਅਤੇ ਸਿਮੂਲੇਸ਼ਨ ਤਿਆਰ ਕਰਦਾ ਹੈ files ਜੋ ਕਿ F-Tile CPRI PHY Intel FPGA IP ਕੋਰ ਨੂੰ ਚਾਲੂ ਕਰਦਾ ਹੈ ਜਦੋਂ ਤੁਸੀਂ ਸਿਮੂਲੇਸ਼ਨ ਵਿਕਲਪ ਦੀ ਚੋਣ ਕਰਦੇ ਹੋ।

ਚਿੱਤਰ 6. 10.1316, 12.1651, ਅਤੇ 24.33024 Gbps (RS-FEC ਦੇ ਨਾਲ ਅਤੇ ਬਿਨਾਂ) ਲਾਈਨ ਦਰਾਂ ਲਈ ਬਲਾਕ ਡਾਇਗ੍ਰਾਮ

intel F-Tile CPRI PHY FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਅੰਜੀਰ 6ਚਿੱਤਰ 7. 1.228, 2.4576, 3.072, 4.9152, 6.144, ਅਤੇ 9.8304 Gbps ਲਾਈਨ ਦਰ ਲਈ ਬਲਾਕ ਡਾਇਗ੍ਰਾਮ

intel F-Tile CPRI PHY FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਅੰਜੀਰ 7

ਇਸ ਡਿਜ਼ਾਈਨ ਵਿਚ ਸਾਬਕਾample, ਸਿਮੂਲੇਸ਼ਨ ਟੈਸਟਬੈਂਚ ਮੁੱਢਲੀ ਕਾਰਜਸ਼ੀਲਤਾ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ ਜਿਵੇਂ ਕਿ ਸਟਾਰਟਅਪ ਅਤੇ ਲਾਕ, ਟ੍ਰਾਂਸਮਿਟ ਅਤੇ ਪ੍ਰਾਪਤ ਕਰਨ ਲਈ ਉਡੀਕ ਕਰੋ।
ਸਫਲ ਟੈਸਟ ਰਨ ਹੇਠਾਂ ਦਿੱਤੇ ਵਿਵਹਾਰ ਦੀ ਪੁਸ਼ਟੀ ਕਰਦਾ ਆਉਟਪੁੱਟ ਪ੍ਰਦਰਸ਼ਿਤ ਕਰਦਾ ਹੈ:

  1. ਕਲਾਇੰਟ ਤਰਕ IP ਕੋਰ ਨੂੰ ਰੀਸੈਟ ਕਰਦਾ ਹੈ।
  2. ਕਲਾਇੰਟ ਤਰਕ RX ਡੇਟਾਪਾਥ ਅਲਾਈਨਮੈਂਟ ਦੀ ਉਡੀਕ ਕਰਦਾ ਹੈ।
  3. ਕਲਾਇੰਟ ਤਰਕ TX MII ਇੰਟਰਫੇਸ 'ਤੇ ਹਾਈਪਰਫ੍ਰੇਮਾਂ ਨੂੰ ਪ੍ਰਸਾਰਿਤ ਕਰਦਾ ਹੈ ਅਤੇ RX MII ਇੰਟਰਫੇਸ 'ਤੇ ਪੰਜ ਹਾਈਪਰਫ੍ਰੇਮ ਪ੍ਰਾਪਤ ਹੋਣ ਦੀ ਉਡੀਕ ਕਰਦਾ ਹੈ। ਹਾਈਪਰਫ੍ਰੇਮ CPRI v7.0 ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦੇ ਅਨੁਸਾਰ MII ਇੰਟਰਫੇਸ 'ਤੇ ਪ੍ਰਸਾਰਿਤ ਅਤੇ ਪ੍ਰਾਪਤ ਕੀਤੇ ਜਾਂਦੇ ਹਨ।
    ਨੋਟ: CPRI ਡਿਜ਼ਾਈਨ ਜੋ 1.2, 2.4, 3, 4.9, 6.1, ਅਤੇ 9.8 Gbps ਲਾਈਨ ਦਰ ਨੂੰ 8b/10b ਇੰਟਰਫੇਸ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹਨ ਅਤੇ ਡਿਜ਼ਾਈਨ ਜੋ 10.1, 12.1 ਅਤੇ 24.3 Gbps ਨੂੰ ਨਿਸ਼ਾਨਾ ਬਣਾਉਂਦੇ ਹਨ (RS-FEC ਦੇ ਨਾਲ ਅਤੇ ਬਿਨਾਂ) MII ਇੰਟਰਫੇਸ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹਨ। ਇਹ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਵਿੱਚ TX ਤੋਂ RX ਤੱਕ ਰਾਉਂਡ ਟ੍ਰਿਪ ਲੇਟੈਂਸੀ ਦੀ ਗਿਣਤੀ ਕਰਨ ਲਈ ਇੱਕ ਰਾਊਂਡ ਟ੍ਰਿਪ ਕਾਊਂਟਰ ਸ਼ਾਮਲ ਹੈ।
  4. ਕਲਾਇੰਟ ਤਰਕ ਰਾਉਂਡ ਟ੍ਰਿਪ ਲੇਟੈਂਸੀ ਮੁੱਲ ਨੂੰ ਪੜ੍ਹਦਾ ਹੈ ਅਤੇ ਕਾਊਂਟਰ ਦੁਆਰਾ ਰਾਉਂਡ ਟ੍ਰਿਪ ਲੇਟੈਂਸੀ ਗਿਣਤੀ ਨੂੰ ਪੂਰਾ ਕਰਨ ਤੋਂ ਬਾਅਦ RX MII ਸਾਈਡ 'ਤੇ ਹਾਈਪਰਫ੍ਰੇਮ ਡੇਟਾ ਦੀ ਸਮੱਗਰੀ ਅਤੇ ਸ਼ੁੱਧਤਾ ਦੀ ਜਾਂਚ ਕਰਦਾ ਹੈ।

ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ

  • CPRI ਨਿਰਧਾਰਨ
ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample

ਚਿੱਤਰ 8. ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਬਲਾਕ ਡਾਇਗ੍ਰਾਮ

intel F-Tile CPRI PHY FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਅੰਜੀਰ 8

 

ਨੋਟ ਕਰੋ

  1. 2.4/4.9/9.8 Gbps CPRI ਲਾਈਨ ਦਰਾਂ ਵਾਲੇ CPRI ਡਿਜ਼ਾਈਨ 8b/10b ਇੰਟਰਫੇਸ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹਨ ਅਤੇ ਹੋਰ ਸਾਰੀਆਂ CPRI ਲਾਈਨ ਦਰਾਂ ਦੇ ਡਿਜ਼ਾਈਨ MII ਇੰਟਰਫੇਸ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹਨ।
  2. 2.4/4.9/9.8 Gbps CPRI ਲਾਈਨ ਦਰਾਂ ਵਾਲੇ CPRI ਡਿਜ਼ਾਈਨ ਨੂੰ 153.6 MHz ਟ੍ਰਾਂਸਸੀਵਰ ਰੈਫਰੈਂਸ ਕਲਾਕ ਦੀ ਲੋੜ ਹੁੰਦੀ ਹੈ ਅਤੇ ਹੋਰ ਸਾਰੀਆਂ CPRI ਲਾਈਨ ਦਰਾਂ ਨੂੰ 184.32 MHz ਦੀ ਲੋੜ ਹੁੰਦੀ ਹੈ।

F-Tile CPRI PHY Intel FPGA IP ਕੋਰ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਵਿੱਚ ਹੇਠ ਲਿਖੇ ਭਾਗ ਸ਼ਾਮਲ ਹਨ:

  • F-ਟਾਈਲ CPRI PHY Intel FPGA IP ਕੋਰ।
  • ਪੈਕੇਟ ਕਲਾਇੰਟ ਲੌਜਿਕ ਬਲਾਕ ਜੋ ਟ੍ਰੈਫਿਕ ਬਣਾਉਂਦਾ ਅਤੇ ਪ੍ਰਾਪਤ ਕਰਦਾ ਹੈ।
  • ਰਾਊਂਡ ਟ੍ਰਿਪ ਕਾਊਂਟਰ।
  • ਆਈ.ਓ.ਪੀ.ਐਲ.ਐਲampIP ਦੇ ਅੰਦਰ ਨਿਰਣਾਇਕ ਲੇਟੈਂਸੀ ਤਰਕ ਲਈ ling ਕਲਾਕ, ਅਤੇ ਟੈਸਟਬੈਂਚ 'ਤੇ ਰਾਊਂਡ ਟ੍ਰਿਪ ਕਾਊਂਟਰ ਕੰਪੋਨੈਂਟ।
  • IP ਲਈ ਸਿਸਟਮ ਘੜੀਆਂ ਬਣਾਉਣ ਲਈ ਸਿਸਟਮ PLL।
  • Avalon®-MM ਐਡਰੈੱਸ ਡੀਕੋਡਰ ਪੁਨਰ-ਸੰਰਚਨਾ ਐਕਸੈਸ ਦੇ ਦੌਰਾਨ CPRI, ਟ੍ਰਾਂਸਸੀਵਰ, ਅਤੇ ਈਥਰਨੈੱਟ ਮੋਡੀਊਲ ਲਈ ਰੀਕਨਫਿਗਰੇਸ਼ਨ ਐਡਰੈੱਸ ਸਪੇਸ ਨੂੰ ਡੀਕੋਡ ਕਰਨ ਲਈ।
  • ਰੀਸੈੱਟਾਂ ਦਾ ਦਾਅਵਾ ਕਰਨ ਅਤੇ ਘੜੀਆਂ ਅਤੇ ਕੁਝ ਸਥਿਤੀ ਬਿੱਟਾਂ ਦੀ ਨਿਗਰਾਨੀ ਕਰਨ ਲਈ ਸਰੋਤ ਅਤੇ ਪੜਤਾਲਾਂ।
  • JTAG ਕੰਟਰੋਲਰ ਜੋ ਸਿਸਟਮ ਕੰਸੋਲ ਨਾਲ ਸੰਚਾਰ ਕਰਦਾ ਹੈ। ਤੁਸੀਂ ਸਿਸਟਮ ਕੰਸੋਲ ਰਾਹੀਂ ਕਲਾਇੰਟ ਤਰਕ ਨਾਲ ਸੰਚਾਰ ਕਰਦੇ ਹੋ।
ਇੰਟਰਫੇਸ ਸਿਗਨਲ

ਸਾਰਣੀ 5. ਡਿਜ਼ਾਈਨ ਐਕਸample ਇੰਟਰਫੇਸ ਸਿਗਨਲ

ਸਿਗਨਲ ਦਿਸ਼ਾ ਵਰਣਨ
ref_clk100MHz ਇੰਪੁੱਟ ਸਾਰੇ ਪੁਨਰ-ਸੰਰਚਨਾ ਇੰਟਰਫੇਸਾਂ 'ਤੇ CSR ਪਹੁੰਚ ਲਈ ਇਨਪੁਟ ਘੜੀ। 100 MHz 'ਤੇ ਗੱਡੀ ਚਲਾਓ।
i_clk_ref[0] ਇੰਪੁੱਟ ਸਿਸਟਮ PLL ਲਈ ਹਵਾਲਾ ਘੜੀ। 156.25 MHz 'ਤੇ ਡ੍ਰਾਈਵ ਕਰੋ।
i_clk_ref[1] ਇੰਪੁੱਟ ਟ੍ਰਾਂਸਸੀਵਰ ਹਵਾਲਾ ਘੜੀ। 'ਤੇ ਚਲਾਓ

• CPRI ਲਾਈਨ ਰੇਟ 153.6, 1.2, 2.4, 3, 4.9, ਅਤੇ 6.1 Gbps ਲਈ 9.8 MHz।

• RS-FEC ਦੇ ਨਾਲ ਅਤੇ ਬਿਨਾਂ CPRI ਲਾਈਨ ਦੀਆਂ ਦਰਾਂ 184.32, ਅਤੇ 10.1,12.1 Gbps ਲਈ 24.3 MHz।

i_rx_ਸੀਰੀਅਲ[n] ਇੰਪੁੱਟ ਟ੍ਰਾਂਸਸੀਵਰ PHY ਇਨਪੁਟ ਸੀਰੀਅਲ ਡੇਟਾ।
o_tx_ਸੀਰੀਅਲ[n] ਆਉਟਪੁੱਟ ਟ੍ਰਾਂਸਸੀਵਰ PHY ਆਉਟਪੁੱਟ ਸੀਰੀਅਲ ਡੇਟਾ।
ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਰਜਿਸਟਰ

ਸਾਰਣੀ 6. ਡਿਜ਼ਾਈਨ ਐਕਸample ਰਜਿਸਟਰ

ਚੈਨਲ ਨੰਬਰ ਅਧਾਰ ਪਤਾ (ਬਾਈਟ ਪਤਾ) ਰਜਿਸਟਰ ਦੀ ਕਿਸਮ
 

 

0

0x00000000 ਚੈਨਲ 0 ਲਈ CPRI PHY ਪੁਨਰ-ਸੰਰਚਨਾ ਰਜਿਸਟਰ ਕਰਦਾ ਹੈ
0x00100000 ਚੈਨਲ 0 ਲਈ ਈਥਰਨੈੱਟ ਰੀਕਨਫਿਗਰੇਸ਼ਨ ਰਜਿਸਟਰ ਕਰਦਾ ਹੈ
0x00200000 ਚੈਨਲ 0 ਲਈ ਟ੍ਰਾਂਸਸੀਵਰ ਰੀਕਨਫਿਗਰੇਸ਼ਨ ਰਜਿਸਟਰ ਕਰਦਾ ਹੈ
 

1(2)

0x01000000 ਚੈਨਲ 1 ਲਈ CPRI PHY ਪੁਨਰ-ਸੰਰਚਨਾ ਰਜਿਸਟਰ ਕਰਦਾ ਹੈ
0x01100000 ਚੈਨਲ 1 ਲਈ ਈਥਰਨੈੱਟ ਰੀਕਨਫਿਗਰੇਸ਼ਨ ਰਜਿਸਟਰ ਕਰਦਾ ਹੈ
0x01200000 ਚੈਨਲ 1 ਲਈ ਟ੍ਰਾਂਸਸੀਵਰ ਰੀਕਨਫਿਗਰੇਸ਼ਨ ਰਜਿਸਟਰ ਕਰਦਾ ਹੈ
 

2(2)

0x02000000 ਚੈਨਲ 2 ਲਈ CPRI PHY ਪੁਨਰ-ਸੰਰਚਨਾ ਰਜਿਸਟਰ ਕਰਦਾ ਹੈ
0x02100000 ਚੈਨਲ 2 ਲਈ ਈਥਰਨੈੱਟ ਰੀਕਨਫਿਗਰੇਸ਼ਨ ਰਜਿਸਟਰ ਕਰਦਾ ਹੈ
0x02200000 ਚੈਨਲ 2 ਲਈ ਟ੍ਰਾਂਸਸੀਵਰ ਰੀਕਨਫਿਗਰੇਸ਼ਨ ਰਜਿਸਟਰ ਕਰਦਾ ਹੈ
ਜਾਰੀ…
ਚੈਨਲ ਨੰਬਰ ਅਧਾਰ ਪਤਾ (ਬਾਈਟ ਪਤਾ) ਰਜਿਸਟਰ ਦੀ ਕਿਸਮ
 

3(2)

0x03000000 ਚੈਨਲ 3 ਲਈ CPRI PHY ਪੁਨਰ-ਸੰਰਚਨਾ ਰਜਿਸਟਰ ਕਰਦਾ ਹੈ
0x03100000 ਚੈਨਲ 3 ਲਈ ਈਥਰਨੈੱਟ ਰੀਕਨਫਿਗਰੇਸ਼ਨ ਰਜਿਸਟਰ ਕਰਦਾ ਹੈ
0x03200000 ਚੈਨਲ 3 ਲਈ ਟ੍ਰਾਂਸਸੀਵਰ ਰੀਕਨਫਿਗਰੇਸ਼ਨ ਰਜਿਸਟਰ ਕਰਦਾ ਹੈ

ਚੈਨਲ ਦੀ ਵਰਤੋਂ ਨਾ ਹੋਣ 'ਤੇ ਇਹ ਰਜਿਸਟਰ ਰਾਖਵੇਂ ਰੱਖੇ ਜਾਂਦੇ ਹਨ।

F-Tile CPRI PHY Intel FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਯੂਜ਼ਰ ਗਾਈਡ ਆਰਕਾਈਵਜ਼

ਜੇਕਰ ਇੱਕ IP ਕੋਰ ਸੰਸਕਰਣ ਸੂਚੀਬੱਧ ਨਹੀਂ ਹੈ, ਤਾਂ ਪਿਛਲੇ IP ਕੋਰ ਸੰਸਕਰਣ ਲਈ ਉਪਭੋਗਤਾ ਗਾਈਡ ਲਾਗੂ ਹੁੰਦੀ ਹੈ।

Intel Quartus Prime ਸੰਸਕਰਣ IP ਕੋਰ ਸੰਸਕਰਣ ਯੂਜ਼ਰ ਗਾਈਡ
21.2 2.0.0 F-Tile CPRI PHY Intel FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾampਲੇ ਯੂਜ਼ਰ ਗਾਈਡ

F-Tile CPRI PHY Intel FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾ ਲਈ ਦਸਤਾਵੇਜ਼ ਸੰਸ਼ੋਧਨ ਇਤਿਹਾਸampਲੇ ਯੂਜ਼ਰ ਗਾਈਡ

ਦਸਤਾਵੇਜ਼ ਸੰਸਕਰਣ Intel Quartus Prime ਸੰਸਕਰਣ IP ਸੰਸਕਰਣ ਤਬਦੀਲੀਆਂ
2021.10.04 21.3 3.0.0
  • ਭਾਗ ਵਿੱਚ ਨਵੇਂ ਸਿਮੂਲੇਟਰਾਂ ਲਈ ਸਮਰਥਨ ਜੋੜਿਆ ਗਿਆ: ਹਾਰਡਵੇਅਰ ਅਤੇ ਸਾਫਟਵੇਅਰ ਲੋੜਾਂ.
  • ਭਾਗ ਵਿੱਚ ਅੱਪਡੇਟ ਕੀਤੇ ਕਦਮ: ਡਿਜ਼ਾਈਨ ਦੀ ਨਕਲ ਕਰਨਾ ਸਾਬਕਾampਲੇ ਟੈਸਟਬੈਂਚ.
  • ਨਵੀਂ ਲਾਈਨ ਦਰ ਜਾਣਕਾਰੀ ਦੇ ਨਾਲ ਹੇਠਾਂ ਦਿੱਤੇ ਭਾਗਾਂ ਨੂੰ ਅੱਪਡੇਟ ਕੀਤਾ ਗਿਆ:
    • ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਵੇਰਵਾ
    • ਸਿਮੂਲੇਸ਼ਨ ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample
    • ਇੰਟਰਫੇਸ ਸਿਗਨਲ
  • ਭਾਗ ਵਿੱਚ ਪਤਾ ਅੱਪਡੇਟ ਕੀਤਾ: ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample ਰਜਿਸਟਰ.
2021.06.21 21.2 2.0.0 ਸ਼ੁਰੂਆਤੀ ਰੀਲੀਜ਼।

ਇੰਟੇਲ ਕਾਰਪੋਰੇਸ਼ਨ. ਸਾਰੇ ਹੱਕ ਰਾਖਵੇਂ ਹਨ. Intel, Intel ਲੋਗੋ, ਅਤੇ ਹੋਰ Intel ਚਿੰਨ੍ਹ Intel ਕਾਰਪੋਰੇਸ਼ਨ ਜਾਂ ਇਸਦੀਆਂ ਸਹਾਇਕ ਕੰਪਨੀਆਂ ਦੇ ਟ੍ਰੇਡਮਾਰਕ ਹਨ। Intel ਆਪਣੇ FPGA ਅਤੇ ਸੈਮੀਕੰਡਕਟਰ ਉਤਪਾਦਾਂ ਦੀ ਕਾਰਗੁਜ਼ਾਰੀ ਦੀ ਵਾਰੰਟੀ Intel ਦੀ ਸਟੈਂਡਰਡ ਵਾਰੰਟੀ ਦੇ ਅਨੁਸਾਰ ਮੌਜੂਦਾ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦੇ ਅਨੁਸਾਰ ਕਰਦਾ ਹੈ, ਪਰ ਬਿਨਾਂ ਨੋਟਿਸ ਦੇ ਕਿਸੇ ਵੀ ਸਮੇਂ ਕਿਸੇ ਵੀ ਉਤਪਾਦ ਅਤੇ ਸੇਵਾਵਾਂ ਵਿੱਚ ਤਬਦੀਲੀਆਂ ਕਰਨ ਦਾ ਅਧਿਕਾਰ ਰਾਖਵਾਂ ਰੱਖਦਾ ਹੈ। ਇੰਟੇਲ ਇੱਥੇ ਵਰਣਿਤ ਕਿਸੇ ਵੀ ਜਾਣਕਾਰੀ, ਉਤਪਾਦ, ਜਾਂ ਸੇਵਾ ਦੀ ਐਪਲੀਕੇਸ਼ਨ ਜਾਂ ਵਰਤੋਂ ਤੋਂ ਪੈਦਾ ਹੋਣ ਵਾਲੀ ਕੋਈ ਜ਼ਿੰਮੇਵਾਰੀ ਜਾਂ ਜ਼ਿੰਮੇਵਾਰੀ ਨਹੀਂ ਲੈਂਦਾ, ਸਿਵਾਏ ਇੰਟੇਲ ਦੁਆਰਾ ਲਿਖਤੀ ਤੌਰ 'ਤੇ ਸਪੱਸ਼ਟ ਤੌਰ 'ਤੇ ਸਹਿਮਤ ਹੋਏ। Intel ਗਾਹਕਾਂ ਨੂੰ ਸਲਾਹ ਦਿੱਤੀ ਜਾਂਦੀ ਹੈ ਕਿ ਉਹ ਕਿਸੇ ਵੀ ਪ੍ਰਕਾਸ਼ਿਤ ਜਾਣਕਾਰੀ 'ਤੇ ਭਰੋਸਾ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ ਅਤੇ ਉਤਪਾਦਾਂ ਜਾਂ ਸੇਵਾਵਾਂ ਲਈ ਆਰਡਰ ਦੇਣ ਤੋਂ ਪਹਿਲਾਂ ਡਿਵਾਈਸ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦਾ ਨਵੀਨਤਮ ਸੰਸਕਰਣ ਪ੍ਰਾਪਤ ਕਰਨ।
*ਹੋਰ ਨਾਵਾਂ ਅਤੇ ਬ੍ਰਾਂਡਾਂ 'ਤੇ ਦੂਜਿਆਂ ਦੀ ਸੰਪਤੀ ਵਜੋਂ ਦਾਅਵਾ ਕੀਤਾ ਜਾ ਸਕਦਾ ਹੈ।

ਦਸਤਾਵੇਜ਼ / ਸਰੋਤ

intel F-Tile CPRI PHY FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample [pdf] ਯੂਜ਼ਰ ਗਾਈਡ
F-ਟਾਈਲ CPRI PHY FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample, PHY FPGA IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample, F-Tile CPRI IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample, IP ਡਿਜ਼ਾਈਨ ਸਾਬਕਾample, IP ਡਿਜ਼ਾਈਨ

ਹਵਾਲੇ

ਇੱਕ ਟਿੱਪਣੀ ਛੱਡੋ

ਤੁਹਾਡਾ ਈਮੇਲ ਪਤਾ ਪ੍ਰਕਾਸ਼ਿਤ ਨਹੀਂ ਕੀਤਾ ਜਾਵੇਗਾ। ਲੋੜੀਂਦੇ ਖੇਤਰਾਂ ਨੂੰ ਚਿੰਨ੍ਹਿਤ ਕੀਤਾ ਗਿਆ ਹੈ *