intel F-Tile CPRI PHY FPGA IP Design Example
Түргэн эхлүүлэх гарын авлага
F-Tile CPRI PHY Intel® FPGA IP цөм нь загварчлалын тест болон техник хангамжийн дизайныг өгдөг.ampэмхэтгэл болон техник хангамжийн туршилтыг дэмждэг le. Та дизайныг үүсгэх үед example, параметр засварлагч автоматаар үүсгэдэг fileТехник хангамжид загварыг загварчлах, эмхэтгэх, туршихад шаардлагатай.
Intel мөн зөвхөн эмхэтгэлд зориулагдсан экс хувилбарыг өгдөгample төсөл нь IP үндсэн талбай болон цаг хугацааг хурдан тооцоолоход ашиглаж болно.
F-Tile CPRI PHY Intel FPGA IP цөм нь хуучин дизайн үүсгэх чадварыг өгдөг.ampCPRI сувгийн тоо болон CPRI шугамын битийн хурдыг дэмжсэн бүх хослолд зориулсан les. Testbench болон дизайн өмнөхampF-Tile CPRI PHY Intel FPGA IP цөмийн олон тооны параметрийн хослолыг дэмждэг.
Зураг 1. Дизайныг хөгжүүлэх алхамууд Example
Холбогдох мэдээлэл
- F-Tile CPRI PHY Intel FPGA IP хэрэглэгчийн гарын авлага
- F-хавтанцар CPRI PHY IP-ийн талаар дэлгэрэнгүй мэдээлэл авахыг хүсвэл.
- F-Tile CPRI PHY Intel FPGA IP хувилбарын тэмдэглэл
- IP Release Notes нь тодорхой хувилбар дахь IP өөрчлөлтүүдийг жагсаадаг.
Техник хангамж, програм хангамжийн шаардлага
Эксийг шалгахын тулдampдизайн хийхдээ дараах техник хангамж, программ хангамжийг ашиглана:
- Intel Quartus® Prime Pro Edition програм хангамж
- Системийн консол
- Дэмжигдсэн симуляторууд:
- Synopsys* VCS*
- Synopsys VCS MX
- Siemens* EDA ModelSim* SE эсвэл Questa*— Questa-Intel FPGA хувилбар
Дизайныг бий болгох
Зураг 2. Процедур
Зураг 3. Жишээ ньample IP параметр засварлагч дахь Дизайн таб
Intel Quartus Prime Pro Edition төслийг үүсгэхийн тулд:
- Intel Quartus Prime Pro хувилбар дээр дарна уу File ➤ Шинэ Төслийн шидтэн шинэ Quartus Prime төсөл үүсгэх, эсвэл File ➤ Одоо байгаа Intel Quartus Prime төслийг нээх Төслийг нээнэ үү. Шидтэн таныг төхөөрөмжийг зааж өгөхийг хүсэх болно.
- Agilex (I-series) төхөөрөмжийн гэр бүлийг тодорхойлж, эдгээр бүх шаардлагыг хангасан төхөөрөмжийг сонго.
- Transceiver хавтан нь F-хавтанцар юм
- Transceiver хурдны зэрэг нь -1 эсвэл -2 байна
- Үндсэн хурдны зэрэг нь -1 эсвэл -2 эсвэл -3
- Finish дээр дарна уу.
F-Tile CPRI PHY Intel FPGA IP техник хангамжийн дизайныг үүсгэхийн тулд эдгээр алхмуудыг дагана ууample болон testbench:
- IP каталогоос F-Tile CPRI PHY Intel FPGA IP-г олоод сонгоно уу. Шинэ IP өөрчлөлтийн цонх гарч ирнэ.
- Дээд түвшний нэрийг зааж өгнө үү таны хувийн IP хувилбарт зориулсан. Параметр засварлагч нь IP хувилбарын тохиргоог a-д хадгалдаг file нэрлэсэн .ip.
- OK дарна уу. Параметр засварлагч гарч ирнэ.
- IP таб дээр өөрийн IP үндсэн өөрчлөлтийн параметрүүдийг зааж өгнө үү.
- Экс дээрample Дизайн таб, Example дизайн Files, Testbench болон зөвхөн эмхэтгэлд зориулагдсан төслийг үүсгэхийн тулд Simulation сонголтыг сонгоно уу. Техник хангамжийн дизайныг үүсгэхийн тулд Синтез сонголтыг сонгоно ууample. Та загварчлал болон синтезийн хувилбаруудаас дор хаяж нэгийг нь сонгох ёстойample.
- Экс дээрampДизайн табын Үүсгэсэн HDL форматын доор Verilog HDL эсвэл VHDL-г сонгоно уу. Хэрэв та VHDL-ийг сонговол холимог хэлний симулятор ашиглан тестийн самбарыг дуурайлган хийх ёстой. Туршилтанд орсон төхөөрөмж өмнөх_ лавлах нь VHDL загвар боловч үндсэн тест юм file нь Системийн Verilog юм file.
- Ex Generate дээр дарна ууample Design товч. Сонгох Example Design Directory цонх гарч ирнэ.
- Хэрэв та дизайныг өөрчлөхийг хүсвэл өмнөхample лавлах зам эсвэл харуулсан өгөгдмөлөөс нэр (cpriphy_ftile_0_example_design), шинэ зам руу орж шинэ дизайныг бичнэ үүample лавлах нэр (ample_dir>).
Лавлах бүтэц
F-Tile CPRI PHY Intel FPGA IP үндсэн дизайн өмнөхample file сангууд нь дараах үүсгэгдсэн зүйлсийг агуулна files дизайны хувьд example.
Зураг 4. Үүсгэсэн Ex-ийн лавлах бүтэцample дизайн
Хүснэгт 1. Testbench File Тодорхойлолт
File Нэр | Тодорхойлолт |
Түлхүүр Testbench болон Simulation Files | |
<design_example_dir>/ example_testbench/basic_avl_tb_top.sv | Дээд түвшний туршилтын тавцан file. Testbench нь DUT боодлыг үүсгэн, пакетуудыг үүсгэх, хүлээн авахын тулд Verilog HDL даалгавруудыг ажиллуулдаг. |
<design_example_dir>/ example_testbench/ cpriphy_ftile_wrapper.sv | DUT болон бусад туршилтын хэсгүүдийг үүсгэсэн DUT боодол. |
Testbench скриптүүд(1) | |
<design_example_dir>/ example_testbench/run_vsim.do | Testbench-ийг ажиллуулахын тулд Siemens EDA ModelSim SE эсвэл Questa эсвэл Questa-Intel FPGA Edition скрипт. |
<design_example_dir>/ example_testbench/run_vcs.sh | Testbench-ийг ажиллуулах Synopsys VCS скрипт. |
<design_example_dir>/ example_testbench/run_vcsmx.sh | Testbench-ийг ажиллуулахын тулд Synopsys VCS MX скрипт (Verilog HDL ба SystemVerilog-г VHDL-тэй хослуулсан). |
Бусад симулятор скриптийг үл тоомсорлоample_dir>/жишээ ньample_testbench/ хавтас.
Хүснэгт 2. Техник хангамжийн дизайн Example File Тодорхойлолт
File Нэр | Тодорхойлолт |
<design_example_dir>/тоног төхөөрөмжийн_туршилтын_дизайн/ cpriphy_ftile_hw.qpf | Intel Quartus Prime төсөл file. |
<design_example_dir>/тоног төхөөрөмжийн_туршилтын_дизайн/ cpriphy_ftile_hw.qsf | Intel Quartus Prime төслийн тохиргоо file. |
<design_example_dir>/тоног төхөөрөмжийн_туршилтын_дизайн/ cpriphy_ftile_hw.sdc | Synopsys дизайны хязгаарлалт fileс. Та эдгээрийг хуулж, өөрчлөх боломжтой fileөөрийн Intel Agilex™ дизайнд зориулагдсан. |
<design_example_dir>/тоног төхөөрөмжийн_туршилтын_дизайн/ cpriphy_ftile_hw.v | Дээд түвшний Verilog HDL дизайн өмнөхample file. |
<design_example_dir>/hardware_test_design/ cpriphy_ftile_wrapper.sv | DUT болон бусад туршилтын хэсгүүдийг үүсгэсэн DUT боодол. |
<design_example_dir>/тоног төхөөрөмжийн_туршилтын_дизайн/ hwtest_sl/main_script.tcl | Үндсэн file Системийн консол руу нэвтрэх. |
Дизайныг дуурайх Example Testbench
Зураг 5. Процедур
Туршилтын самбарыг дуурайхын тулд дараах алхмуудыг дагана уу.
- Тушаалын мөрөнд testbench симуляцийн лавлах руу шилжинэ үүample_dir>/жишээ ньample_testbench. cd /жишээ ньample_testbench
- Үүсгэсэн төсөл дээр quartus_tlg ажиллуулна уу file: quartus_tlg cpriphy_ftile_hw
- ip-setup-simulation-ийг ажиллуулна уу: ip-setup-simulation –output-directory=./sim_script –use-relative-paths –quartus project=cpriphy_ftile_hw.qpf
- Өөрийн сонгосон дэмжигдсэн симуляторын симуляцийн скриптийг ажиллуул. Скрипт нь симулятор дахь testbench-ийг эмхэтгэж ажиллуулдаг. Testbench-ийг дуурайх алхмуудыг хүснэгтээс үзнэ үү.
- Үр дүнд дүн шинжилгээ хийх. Амжилттай туршилтын тавцан нь таван гиперфрэйм хүлээн авсан бөгөөд "PASSED" гэсэн бичээсийг харуулдаг.
Хүснэгт 3. Synopsys VCS* Simulator дээр Testbench-ийг дуурайх алхамууд
Симулятор | Заавар | |
VCS | Тушаалын мөрөнд дараахыг бичнэ үү: | |
sh run_vcs.sh | ||
үргэлжилсэн… |
Симулятор | Заавар | |
VCS MX | Тушаалын мөрөнд дараахыг бичнэ үү: | |
sh run_vcsmx.sh | ||
ModelSim SE эсвэл Questa эсвэл Questa-Intel FPGA хувилбар | Тушаалын мөрөнд дараахыг бичнэ үү: | |
vsim -do run_vsim.do | ||
Хэрэв та GUI-г оруулахгүйгээр загварчлахыг хүсвэл дараахыг бичнэ үү: | ||
vsim -c -do run_vsim.do |
Дараахь сample гаралт нь 24.33024 CPRI сувагтай 4 Gbps-ийн амжилттай загварчлалын туршилтыг харуулж байна:
Зөвхөн эмхэтгэх төслийг эмхэтгэж байна
Зөвхөн эмхэтгэлд зориулагдсан exampТөслийн хувьд дараах алхмуудыг дагана уу:
- Эмхэтгэлийн дизайныг баталгаажуулна ууample үе дууссан.
- Intel Quartus Prime Pro Edition програм хангамжид Intel Quartus Prime Pro Edition төслийг нээнэ үүample_dir>/compilation_test_design/cpriphy_ftile.qpf.
- Боловсруулалт цэсний Эмхэтгэлийг эхлүүлэх дээр дарна уу.
- Амжилттай эмхэтгэсний дараа таны Intel Quartus Prime Pro Edition сесс дээр цаг хугацаа болон нөөц ашиглалтын тайланг үзэх боломжтой.
Холбогдох мэдээлэл
Блок дээр суурилсан дизайны урсгалууд
Дизайныг эмхэтгэх ба тохируулах Example in Техник хангамж
Техник хангамжийн дизайныг эмхэтгэхийн тулд exampIntel Agilex төхөөрөмж дээрээ тохируулаад дараах алхмуудыг дагана уу:
- Техник хангамжийн дизайныг баталгаажуулна ууample үе дууссан.
- Intel Quartus Prime Pro Edition програм хангамжид Intel Quartus Prime төслийг нээнэ үүample_dir>/тоног төхөөрөмжийн_туршилтын_дизайн/ cpriphy_ftile_hw.qpf.
- .qsf-г засварлана уу file өөрийн техник хангамж дээр тулгуурлан зүү оноох.
- Боловсруулалт цэсний Эмхэтгэлийг эхлүүлэх дээр дарна уу.
- Амжилттай эмхэтгэсний дараа .sof file -д байдагample_dir>/техникийн_туршилтын_дизайн/гаралт_files лавлах.
Техник хангамжийн дизайныг програмчлахын тулд эдгээр алхмуудыг дагана ууampIntel Agilex төхөөрөмж дээр:
- Intel Agilex I-series Transceiver Signal Integrity Development Kit-ийг хост компьютерт холбоно уу.
Тайлбар: Хөгжлийн иж бүрдэл нь анхдагчаар зөв цагийн давтамжтайгаар урьдчилан програмчлагдсан байдаг. Та давтамжийг тохируулахын тулд Clock Control програмыг ашиглах шаардлагагүй. - Хэрэгслийн цэснээс Программист дээр дарна уу.
- Программист хэсэгт "Тоног төхөөрөмжийн тохиргоо" дээр дарна уу.
- Програмчлалын төхөөрөмжийг сонгоно уу.
- Горимыг J гэж тохируулсан эсэхийг шалгана ууTAG.
- Intel Agilex төхөөрөмжийг сонгоод Add Device дээр дарна уу. Программист нь таны самбар дээрх төхөөрөмжүүдийн хоорондох холболтын блок диаграммыг харуулдаг.
- Таны .sof-ийн эгнээнд .sof-ийн нүдийг шалгана уу.
- Хөтөлбөр/Тохиргоо баганын нүдийг чагтална уу.
- Start дарна уу.
Холбогдох мэдээлэл
- Блок дээр суурилсан дизайны урсгалууд
- Intel FPGA төхөөрөмжүүдийг програмчлах
- Системийн консол ашиглан дизайнд дүн шинжилгээ хийж, дибаг хийх
Техник хангамжийн дизайныг турших Example
Та F-Tile CPRI PHY Intel FPGA IP үндсэн дизайныг эмхэтгэсний дарааampТа үүнийг Intel Agilex төхөөрөмж дээрээ тохируулснаар IP цөм болон түүний PHY IP үндсэн бүртгэлийг програмчлахын тулд Системийн Консолыг ашиглаж болно.
Системийн консолыг асааж, техник хангамжийн дизайныг шалгахын тулд жишээлбэлample, дараах алхмуудыг дагана уу:
- Техник хангамжийн дизайны дараа example нь Intel Agilex төхөөрөмж дээр тохируулагдсан, Intel Quartus Prime Pro Edition програм хангамжийн хэрэгсэл цэснээс Системийн дибаг хийх хэрэгсэл ➤ Системийн консол дээр дарна уу.
- Tcl Console цонхонд cd hwtest гэж бичээд лавлахыг өөрчилнө үүample_dir>/hardware_test_design/hwtest_sl.
- J-тэй холболт нээхийн тулд source main_script.tcl гэж бичнэ үүTAG мастер хийгээд тестийг эхлүүлнэ үү.
Дизайн Example Тодорхойлолт
Дизайны өмнөхample нь F-Tile CPRI PHY Intel FPGA IP цөмийн үндсэн функцийг харуулж байна. Та өмнөх загвараас загвар гаргаж болноample F-Tile CPRI PHY Intel FPGA IP параметрийн засварлагч дахь Дизайн таб.
Дизайныг бий болгохын тулд example, та эхлээд эцсийн бүтээгдэхүүндээ үүсгэхээр төлөвлөж буй IP үндсэн өөрчлөлтийн параметрийн утгыг тохируулах ёстой. Та дизайныг гаргахаар сонгож болноample RS-FEC функцтэй эсвэл байхгүй. RS-FEC функц нь 10.1376, 12.1651 болон 24.33024 Gbps CPRI шугамын битийн хурдтай байдаг.
Хүснэгт 4. F-Tile CPRI PHY Intel FPGA IP үндсэн онцлог матриц
CPRI шугамын битийн хурд (Gbps) | RS-FEC дэмжлэг | Лавлах цаг (МГц) | Тодорхойлогч хоцрогдлын дэмжлэг |
1.2288 | Үгүй | 153.6 | Тиймээ |
2.4576 | Үгүй | 153.6 | Тиймээ |
3.072 | Үгүй | 153.6 | Тиймээ |
4.9152 | Үгүй | 153.6 | Тиймээ |
6.144 | Үгүй | 153.6 | Тиймээ |
9.8304 | Үгүй | 153.6 | Тиймээ |
10.1376 | Хамт ба Үгүй | 184.32 | Тиймээ |
12.1651 | Хамт ба Үгүй | 184.32 | Тиймээ |
24.33024 | Хамт ба Үгүй | 184.32 | Тиймээ |
Онцлогууд
- Дизайныг үүсгэнэ үүampRS-FEC функцтэй
- Хоёр талын аялалын хоцрогдлын тоо зэрэг багц шалгах үндсэн боломжууд
Симуляцийн дизайн Example
F-Tile CPRI PHY Intel FPGA IP дизайн өмнөхample нь симуляцийн testbench болон симуляцийг үүсгэдэг files нь Simulation сонголтыг сонгох үед F-Tile CPRI PHY Intel FPGA IP цөмийг үүсгэнэ.
Зураг 6. 10.1316, 12.1651, болон 24.33024 Gbps (RS-FEC-тэй ба RS-FEC-гүй) шугамын ханшийн блок диаграмм
Зураг 7. 1.228, 2.4576, 3.072, 4.9152, 6.144, 9.8304 Gbps шугамын хурдны блок диаграмм
Энэ загварт жишээлбэлample, симуляцийн testbench нь эхлүүлэх, түгжихийг хүлээх, пакет дамжуулах, хүлээн авах зэрэг үндсэн функцуудыг хангадаг.
Туршилтыг амжилттай явуулснаар дараах үйлдлийг баталгаажуулсан гаралтыг харуулна:
- Үйлчлүүлэгчийн логик нь IP цөмийг дахин тохируулдаг.
- Үйлчлүүлэгчийн логик нь RX өгөгдлийн замын тохируулгыг хүлээж байна.
- Үйлчлүүлэгчийн логик нь TX MII интерфэйс дээр гиперфрэймүүдийг дамжуулж, RX MII интерфейс дээр таван гиперфрэйм хүлээн авахыг хүлээнэ. Гиперфрэймүүдийг CPRI v7.0 техникийн үзүүлэлтийн дагуу MII интерфейс дээр дамжуулж, хүлээн авдаг.
Жич: 1.2, 2.4, 3, 4.9, 6.1, болон 9.8 Gbps шугамын хурдыг зорьсон CPRI загварууд нь 8b/10b интерфэйсийг ашигладаг бол 10.1, 12.1 болон 24.3 Gbps (RS-FEC-тэй болон RS-FEC-гүй) зорилтот загварууд MII интерфэйсийг ашигладаг. Энэ загвар нь өмнөхample нь TX-аас RX хүртэлх хоёр талын аялалын хоцролтыг тоолох хоёр талын тоолуур агуулдаг. - Үйлчлүүлэгчийн логик нь хоёр талын аяллын хоцрогдлын утгыг уншиж, тоолуур хоёр талын аялалын хоцрогдлын тооллогыг дуусгасны дараа RX MII тал дээрх гиперфрэймийн өгөгдлийн агуулга, зөв эсэхийг шалгадаг.
Холбогдох мэдээлэл
- CPRI техникийн үзүүлэлтүүд
Тоног төхөөрөмжийн дизайн Example
Зураг 8. Техник хангамжийн дизайн ExampБлок диаграмм
Анхаарна уу
- 2.4/4.9/9.8 Gbps CPRI шугамын хурдтай CPRI загварууд нь 8b/10b интерфейсийг ашигладаг бөгөөд бусад бүх CPRI шугамын хурдны загварууд MII интерфэйсийг ашигладаг.
- 2.4/4.9/9.8 Gbps CPRI шугамын хурдтай CPRI загваруудад 153.6 МГц дамжуулагчийн лавлах цаг, бусад бүх CPRI шугамын хурдад 184.32 МГц шаардлагатай.
F-Tile CPRI PHY Intel FPGA IP үндсэн тоног төхөөрөмжийн дизайн өмнөхample нь дараахь бүрэлдэхүүн хэсгүүдийг агуулдаг.
- F-Tile CPRI PHY Intel FPGA IP цөм.
- Траффик үүсгэж, хүлээн авдаг пакет клиентийн логик блок.
- Хоёр талын лангуу.
- s үүсгэхийн тулд IOPLLampIP доторх детерминистик хоцрогдлын логикийн цаг болон testbench дээрх хоёр талт тоолуурын бүрэлдэхүүн хэсэг.
- IP-д зориулсан системийн цагийг үүсгэхийн тулд PLL систем.
- Дахин тохируулах хандалтын үед CPRI, Transceiver, Ethernet модулиудын дахин тохируулах хаягийн зайг тайлах Avalon®-MM хаягийн декодлогч.
- Дахин тохируулах, цагийг хянах эх сурвалжууд ба хэд хэдэн статусын битүүд.
- JTAG Системийн консолтой холбогддог хянагч. Та системийн консолоор дамжуулан үйлчлүүлэгчийн логиктой харилцдаг.
Интерфейсийн дохио
Хүснэгт 5. Дизайн ExampИнтерфэйсийн дохио
Дохио | Чиглэл | Тодорхойлолт |
ref_clk100MHz | Оруулах | Бүх дахин тохируулах интерфэйсүүд дээр CSR хандалтын оролтын цаг. 100 МГц давтамжтайгаар жолоодох. |
i_clk_ref[0] | Оруулах | Системийн PLL-ийн лавлах цаг. 156.25 МГц давтамжтайгаар жолоодох. |
i_clk_ref[1] | Оруулах | Transceiver лавлах цаг. Машины цаг
• CPRI шугамын хурд 153.6, 1.2, 2.4, 3, 4.9, 6.1 Gbps-ийн хувьд 9.8 МГц. • CPRI шугамын хувьд 184.32 МГц 10.1,12.1, RS-FEC-тэй болон RS-FEC-гүй 24.3 Gbps. |
i_rx_serial[n] | Оруулах | Transceiver PHY оролтын цуваа өгөгдөл. |
o_tx_serial[n] | Гаралт | Transceiver PHY гаралтын цуваа өгөгдөл. |
Дизайн Example Бүртгэлүүд
Хүснэгт 6. Дизайн Example Бүртгэлүүд
Сувгийн дугаар | Үндсэн хаяг (байт хаяг) | Бүртгэлийн төрөл |
0 |
0х00000000 | CPRI PHY 0-р сувгийн дахин тохируулгын бүртгэлүүд |
0х00100000 | 0-р сувагт зориулсан Ethernet-ийн дахин тохируулгыг бүртгэдэг | |
0х00200000 | Transceiver-ийн дахин тохируулах бүртгэл 0-р суваг | |
1(2) |
0х01000000 | CPRI PHY 1-р сувгийн дахин тохируулгын бүртгэлүүд |
0х01100000 | 1-р сувагт зориулсан Ethernet-ийн дахин тохируулгыг бүртгэдэг | |
0х01200000 | Transceiver-ийн дахин тохируулах бүртгэл 1-р суваг | |
2(2) |
0х02000000 | CPRI PHY 2-р сувгийн дахин тохируулгын бүртгэлүүд |
0х02100000 | 2-р сувагт зориулсан Ethernet-ийн дахин тохируулгыг бүртгэдэг | |
0х02200000 | Transceiver-ийн дахин тохируулах бүртгэл 2-р суваг | |
үргэлжилсэн… |
Сувгийн дугаар | Үндсэн хаяг (байт хаяг) | Бүртгэлийн төрөл |
3(2) |
0х03000000 | CPRI PHY 3-р сувгийн дахин тохируулгын бүртгэлүүд |
0х03100000 | 3-р сувагт зориулсан Ethernet-ийн дахин тохируулгыг бүртгэдэг | |
0х03200000 | Transceiver-ийн дахин тохируулах бүртгэл 3-р суваг |
Суваг ашиглаагүй тохиолдолд эдгээр бүртгэлүүд хадгалагдана.
F-Tile CPRI PHY Intel FPGA IP Design Example хэрэглэгчийн гарын авлагын архив
Хэрэв IP үндсэн хувилбар жагсаалтад байхгүй бол өмнөх IP үндсэн хувилбарын хэрэглэгчийн гарын авлага хэрэгжинэ.
Intel Quartus Prime хувилбар | IP үндсэн хувилбар | Хэрэглэгчийн гарын авлага |
21.2 | 2.0.0 | F-Tile CPRI PHY Intel FPGA IP Design Example хэрэглэгчийн гарын авлага |
F-Tile CPRI PHY Intel FPGA IP Design Ex-д зориулсан баримт бичгийн засварын түүхample хэрэглэгчийн гарын авлага
Баримт бичгийн хувилбар | Intel Quartus Prime хувилбар | IP хувилбар | Өөрчлөлтүүд |
2021.10.04 | 21.3 | 3.0.0 |
|
2021.06.21 | 21.2 | 2.0.0 | Анхны хувилбар. |
Intel корпораци. Бүх эрх хуулиар хамгаалагдсан. Intel, Intel лого болон бусад Intel тэмдэг нь Intel корпораци эсвэл түүний охин компаниудын худалдааны тэмдэг юм. Intel нь өөрийн FPGA болон хагас дамжуулагч бүтээгдэхүүнүүдээ Intel-ийн стандарт баталгааны дагуу одоогийн техникийн үзүүлэлтүүдэд нийцүүлэн ажиллуулах баталгаа өгдөг ч аливаа бүтээгдэхүүн, үйлчилгээнд ямар ч үед мэдэгдэлгүйгээр өөрчлөлт оруулах эрхтэй. Intel нь бичгээр тохиролцсоноос бусад тохиолдолд энд дурдсан аливаа мэдээлэл, бүтээгдэхүүн, үйлчилгээг ашиглах, ашиглахаас үүдэн гарах хариуцлага, хариуцлага хүлээхгүй. Intel-ийн хэрэглэгчид нийтлэгдсэн мэдээлэлд найдах, бүтээгдэхүүн, үйлчилгээний захиалга өгөхөөс өмнө төхөөрөмжийн техникийн үзүүлэлтүүдийн хамгийн сүүлийн хувилбарыг авахыг зөвлөж байна.
*Бусад нэр, брэндийг бусдын өмч гэж үзэж болно.
Баримт бичиг / нөөц
![]() |
intel F-Tile CPRI PHY FPGA IP Design Example [pdf] Хэрэглэгчийн гарын авлага F-Tile CPRI PHY FPGA IP Design Example, PHY FPGA IP Design Example, F-Tile CPRI IP Design Example, IP Design Example, IP дизайн |