FPGA Synthesis Synplify Pro for Microsemi Edition
وضاحتیں
- پروڈکٹ: Synopsys FPGA Synthesis - Synplify Pro for Microsemi
ایڈیشن - صارف گائیڈ: اکتوبر 2014
- کاپی رائٹ: Synopsys, Inc.
- زبان: انگریزی
- اصل ملک: ریاستہائے متحدہ امریکہ
پروڈکٹ کی معلومات
Synopsys FPGA Synthesis - Synplify Pro for Microsemi Edition
مختلف کے ساتھ ایف پی جی اے کے نفاذ کے لیے ایک جامع ٹول ہے۔
منطق کی ترکیب اور ڈیزائن میں صارفین کی مدد کے لیے ڈیزائن کی گئی خصوصیات
بہتی ہے
مصنوعات کے استعمال کی ہدایات
باب 1: تعارف
یہ باب ایک اوور فراہم کرتا ہے۔view Synopsys FPGA اور
پروٹوٹائپنگ پراڈکٹس، ایف پی جی اے کے نفاذ کے ٹولز، اور Synopsys FPGA
ٹول کی خصوصیات۔
دستاویز کا دائرہ کار
دستاویز کے سیٹ میں مصنوعات کی خصوصیات کے بارے میں معلومات شامل ہیں۔
اور FPGA ترکیب اور ڈیزائن میں دلچسپی رکھنے والے صارفین کے لیے ہے۔
بہتی ہے
شروع کرنا
سافٹ ویئر کا استعمال شروع کرنے کے لیے، فراہم کردہ کے بعد اسے لانچ کریں۔
ہدایات اور مدد کے لیے صارف گائیڈ سے رجوع کریں۔
یوزر انٹرفیس ختمview
مؤثر طریقے سے صارف انٹرفیس سے اپنے آپ کو واقف کرو
سافٹ ویئر کی خصوصیات کے ذریعے تشریف لے جائیں۔
باب 2: FPGA ترکیب ڈیزائن بہاؤ
یہ باب FPGA کے لیے منطقی ترکیب ڈیزائن کے بہاؤ کی تفصیلات دیتا ہے۔
ترکیب
باب 3: ان پٹ کی تیاری
مخلوط زبان کے ماخذ کو استعمال کرنے کا طریقہ سیکھیں۔ Files اور انکریمنٹل
موثر ان پٹ کی تیاری کے لیے کمپائلر۔
نوٹ: منسلک کسی بھی حدود سے آگاہ رہیں
انکریمنٹل کمپائلر کے استعمال کے ساتھ۔
اکثر پوچھے گئے سوالات
سوال: کیا میں دستاویزات کی کاپیاں بنا سکتا ہوں؟
A: ہاں، لائسنس کا معاہدہ اندرونی کے لیے کاپیاں بنانے کی اجازت دیتا ہے۔
صرف مناسب انتساب کے ساتھ استعمال کریں۔
سوال: میں سافٹ ویئر کیسے شروع کروں؟
A: کے باب 1 میں "شروع کرنا" سیکشن کا حوالہ دیں۔
سافٹ ویئر شروع کرنے کے بارے میں تفصیلی ہدایات کے لیے صارف گائیڈ۔
سوال: اس صارف گائیڈ کے لیے مطلوبہ سامعین کیا ہیں؟
A: صارف گائیڈ کا مقصد FPGA میں دلچسپی رکھنے والے افراد کے لیے ہے۔
ترکیب اور ڈیزائن کا بہاؤ۔
Synopsys FPGA ترکیب
مائیکروسیمی ایڈیشن کے لیے Synplify Pro
یوزر گائیڈ
اکتوبر 2014
کاپی رائٹ نوٹس اور ملکیتی معلومات
کاپی رائٹ © 2014 Synopsys, Inc. جملہ حقوق محفوظ ہیں۔ اس سافٹ ویئر اور دستاویزات میں خفیہ اور ملکیتی معلومات شامل ہیں جو Synopsys, Inc کی ملکیت ہے۔ سافٹ ویئر اور دستاویزات لائسنس کے معاہدے کے تحت پیش کیے گئے ہیں اور صرف لائسنس کے معاہدے کی شرائط کے مطابق استعمال یا کاپی کیے جا سکتے ہیں۔ Synopsys, Inc. کی پیشگی تحریری اجازت کے بغیر، یا جیسا کہ واضح طور پر لائسنس کے معاہدے کے ذریعے فراہم کیا گیا ہے، سافٹ ویئر اور دستاویزات کا کوئی حصہ کسی بھی شکل میں یا کسی بھی طریقے سے، الیکٹرانک، مکینیکل، مینوئل، آپٹیکل، یا دوسری صورت میں دوبارہ تیار، منتقل یا ترجمہ نہیں کیا جا سکتا ہے۔
دستاویزات کاپی کرنے کا حق
Synopsys کے ساتھ لائسنس کا معاہدہ لائسنس دہندگان کو صرف اپنے اندرونی استعمال کے لیے دستاویزات کی کاپیاں بنانے کی اجازت دیتا ہے۔
ہر کاپی میں تمام کاپی رائٹس، ٹریڈ مارکس، سروس مارکس، اور ملکیتی حقوق کے نوٹس، اگر کوئی ہوں تو شامل ہوں گے۔ لائسنس یافتہ کو تمام کاپیوں کو ترتیب وار نمبر تفویض کرنا چاہیے۔ ان کاپیوں میں سرورق کے صفحہ پر درج ذیل افسانہ شامل ہوگا:
"یہ دستاویز Synopsys, Inc. کی اجازت سے __________________________________________ اور اس کے ملازمین کے خصوصی استعمال کے لیے نقل کی گئی ہے۔ یہ کاپی نمبر __________ ہے۔"
منزل کنٹرول کا بیان
اس اشاعت میں موجود تمام تکنیکی ڈیٹا ریاستہائے متحدہ امریکہ کے ایکسپورٹ کنٹرول قوانین کے تابع ہے۔ ریاستہائے متحدہ کے قانون کے خلاف دوسرے ممالک کے شہریوں کے سامنے انکشاف ممنوع ہے۔ قابل اطلاق ضوابط کا تعین کرنا اور ان کی تعمیل کرنا قاری کی ذمہ داری ہے۔
LO
© 2014 Synopsys, Inc. 2
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
ڈس کلیمر
SYNOPSYS, INC.، اور اس کے لائسنس دہندگان اس مواد کے حوالے سے کسی بھی قسم کی، واضح یا مضمر کی کوئی ضمانت نہیں دیتے ہیں، بشمول، لیکن ان تک محدود نہیں، مضمر ضمانتوں کی ضمانتیں خاص مقصد۔
رجسٹرڈ ٹریڈ مارکس (®)
Synopsys، AEON، AMPS, Astro, Behavior Extracting Synthesis Technology, Cadabra, CATS, Certify, CHIPit, Comet, CODE V, Design Compiler, DesignWare, EMBED-IT!, Formality, Galaxy Custom Designer, Global Synthesis, HAPS, HapsTrak, HDL تجزیہ کار, HSCEIM, Identify, HSCE, LTD MAST, METeor, ModelTools, NanoSim, NOVeA, OpenVera, ORA, PathMill, Physical Compiler, PrimeTime, SCOPE, Simply Better Results, SiVL, SNUG, SolvNet, Sonic Focus, STAR Memory System, Syndicity, Syndicity, Spynplify, Spynplify Pro, Synthesis Constraints Optimization Environment, TetraMAX, UMRBus, VCS, Vera, اور YIELDirector Synopsys, Inc کے رجسٹرڈ ٹریڈ مارک ہیں۔
ٹریڈ مارکس (TM)
AFGen, Apollo, ARC, ASAP, Astro-Rail, Astro-Xtalk, Aurora, AvanWaves, BEST, Columbia, Columbia-CE, Cosmos, CosmosLE, CosmosScope, CRITIC, CustomExplorer, CustomSim, DC Prossly Design, UlzDC Expert, UlzDC ڈیزائنر Vision, DesignerHDL, DesignPower, DFTMAX, Direct Silicon Access, Discovery, Eclypse, Encore, EPIC, Galaxy, HANEX, HDL Compiler, Hercules, Hierarchical Optimization Technology, High-performance ASIC Prototyping System, HSIMplus, i-VTC, i-VTC, I-VCE, Stepper, IVC, IVC, اور میں Intelli, Jupiter, Jupiter-DP, JupiterXT, JupiterXT-ASIC, Liberty, Libra-Passport, Library Compiler, Macro-PLUS, Magellan, Mars, Mars-Rail, Mars-Xtalk, Milkyway, ModelSource, Module Compiler, MultiPoint, ORANET, Planet, Planet, Planet, Planet Polaris, Power Compiler, Raphael, RippledMixer, Saturn, Scirocco, Scirocco-i, SiWare, Star-RCXT, Star-SimXT, StarRC, System Compiler, System Designer, Taurus, TotalRecall, TSUPREM-4, VCSi, VHDL Compiler, BMCs, BMCs کے ٹریڈ مارک اور SWCs ٹریڈ مارک ہیں۔
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 3
سروس مارکس (sm)
MAP-in، SVP Café، اور TAP-in Synopsys, Inc کے سروس مارکس ہیں۔ SystemC Open SystemC Initiative کا ٹریڈ مارک ہے اور لائسنس کے تحت استعمال ہوتا ہے۔ ARM اور AMBA ARM Limited کے رجسٹرڈ ٹریڈ مارک ہیں۔ Saber SabreMark Limited Partnership کا رجسٹرڈ ٹریڈ مارک ہے اور اسے لائسنس کے تحت استعمال کیا جاتا ہے۔ دیگر تمام پروڈکٹ یا کمپنی کے نام ان کے متعلقہ مالکان کے ٹریڈ مارک ہو سکتے ہیں۔
USA اکتوبر 2014 میں چھپی
© 2014 Synopsys, Inc. 4
LO
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
مشمولات
باب 1: تعارف
Synopsys FPGA اور پروٹو ٹائپنگ مصنوعات۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 ایف پی جی اے کے نفاذ کے ٹولز۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 Synopsys FPGA ٹول کی خصوصیات۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
دستاویز کا دائرہ کار . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 دستاویز کا سیٹ۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 سامعین . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
شروع کرنا . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 سافٹ ویئر شروع کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 مدد حاصل کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
یوزر انٹرفیس ختمview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24
باب 2: FPGA ترکیب ڈیزائن بہاؤ
منطق کی ترکیب ڈیزائن بہاؤ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26
باب 3: ان پٹ کی تیاری
ایچ ڈی ایل سورس سیٹ اپ کرنا Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30 ایچ ڈی ایل ماخذ بنانا Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30 سیاق و سباق ہیلپ ایڈیٹر کا استعمال۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32 ایچ ڈی ایل ماخذ کی جانچ کرنا Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34 ایچ ڈی ایل ماخذ میں ترمیم کرنا Files بلٹ ان ٹیکسٹ ایڈیٹر کے ساتھ۔ . . . . . . . . . . . . . . . . . . . 35 ونڈو کی ترجیحات میں ترمیم کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39 ایکسٹرنل ٹیکسٹ ایڈیٹر استعمال کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41 Verilog لائبریری کے لیے لائبریری ایکسٹینشن کا استعمال Files . . . . . . . . . . . . . . . . . . . . . . 42
مخلوط زبان کے ماخذ کا استعمال Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44
انکریمنٹل کمپائلر کا استعمال۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49 حدود . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50
سٹرکچرل ویریلوگ فلو کا استعمال۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51 حدود . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 5
پابندی کے ساتھ کام کرنا Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53 پابندی کا استعمال کب کریں۔ Fileسورس کوڈ سے زیادہ ہے۔ . . . . . . . . . . . . . . . . . . . . . . . 53 پابندی کے لیے ٹیکسٹ ایڈیٹر کا استعمال Files (وراثت) . . . . . . . . . . . . . . . . . . . . . . . رکاوٹ کے لیے 54 Tcl نحوی رہنما خطوط Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55 پابندی کی جانچ کرنا Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56
باب 4: منطقی ترکیب کا منصوبہ ترتیب دینا
پروجیکٹ ترتیب دینا Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58 پروجیکٹ بنانا File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58 ایک موجودہ پروجیکٹ کو کھولنا File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61 پروجیکٹ میں تبدیلیاں کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62 سیٹنگ پروجیکٹ View ڈسپلے ترجیحات . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63 پرانے پروجیکٹ میں ویریلوگ کو اپ ڈیٹ کرنا راستے شامل ہیں۔ Files . . . . . . . . . . . . . . . . . . . 65
پروجیکٹ کا انتظام File درجہ بندی . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66 حسب ضرورت فولڈر بنانا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66 کسٹم پروجیکٹ فولڈرز میں ہیرا پھیری . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69 اپنی مرضی کے مطابق ہیرا پھیری کرنا Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70
عمل درآمد ترتیب دینا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72 متعدد نفاذ کے ساتھ کام کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72
منطق کی ترکیب کے نفاذ کے اختیارات کو ترتیب دینا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . 75 سیٹنگ ڈیوائس کے اختیارات۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75 اصلاح کے اختیارات کی ترتیب۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78 عالمی تعدد اور پابندی کی وضاحت کرنا Files . . . . . . . . . . . . . . . . . . . . . 80 نتائج کے اختیارات کی وضاحت کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82 ٹائمنگ رپورٹ آؤٹ پٹ کی وضاحت کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84 سیٹنگ ویریلوگ اور وی ایچ ڈی ایل آپشنز۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84
صفات اور ہدایات کی وضاحت کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90 VHDL میں صفات اور ہدایات کی وضاحت کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . 91 ویریلوگ میں صفات اور ہدایات کی وضاحت کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . 92 SCOPE ایڈیٹر کا استعمال کرتے ہوئے اوصاف کی وضاحت کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . 93 رکاوٹوں میں صفات کی وضاحت کرنا File . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97
تلاش کر رہا ہے۔ Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98 کی شناخت Fileتلاش کرنا ہے۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99 فلٹرنگ Fileتلاش کرنا ہے۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99 تلاش شروع کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100 تلاش کے نتائج . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100 LO
آرکائیونگ Files اور پروجیکٹس۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101 ایک پروجیکٹ کو محفوظ کریں۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101 ایک پروجیکٹ کو غیر محفوظ کریں۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104
© 2014 Synopsys, Inc. 6
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
ایک پروجیکٹ کاپی کریں۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107
باب 5: رکاوٹوں کی وضاحت کرنا
SCOPE ایڈیٹر کا استعمال کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112 SCOPE ایڈیٹر میں رکاوٹیں پیدا کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . 112 FDC ٹیمپلیٹ کمانڈ کے ساتھ رکاوٹیں پیدا کرنا۔ . . . . . . . . . . . . . . . 116
SCOPE رکاوٹوں کی وضاحت کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119 دائرہ کار کی پابندیاں داخل کرنا اور ان میں ترمیم کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119 گھڑی اور راستے کی پابندیاں طے کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121 ان پٹ اور آؤٹ پٹ رکاوٹوں کی وضاحت کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122 معیاری I/O پیڈ کی اقسام کی وضاحت کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124 TCL استعمال کرنا View SCOPE GUI کا . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124 رکاوٹوں میں داخل ہونے اور ترمیم کرنے کے لئے رہنما خطوط۔ . . . . . . . . . . . . . . . . . . . . . . . 127
ٹائمنگ کے استثناء کی وضاحت کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130 ٹائمنگ استثنیٰ کے لیے پوائنٹس سے/تک/ تک کی وضاحت کرنا۔ . . . . . . . . . . . . . . . . 130 ملٹی سائیکل پاتھز کی تعریف . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134 غلط راستوں کی تعریف . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135
Tcl کے ساتھ آبجیکٹ تلاش کرنا find اور expand ۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136 Tcl تلاش کے لیے تلاش کے نمونوں کی وضاحت کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136 ریفائننگ Tcl -filter کے ساتھ نتائج تلاش کریں۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136 مجموعہ کی تعریف کرنے کے لیے Tcl Find کمانڈ کا استعمال۔ . . . . . . . . . . . . . . . . . . . . 138 مجموعہ کی وضاحت کرنے کے لیے Tcl expand کمانڈ کا استعمال کریں۔ . . . . . . . . . . . . . . . . . 140 Tcl کی جانچ کر کے نتائج تلاش کریں اور پھیلائیں۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141 ٹی سی ایل کا استعمال کرتے ہوئے بیچ موڈ میں ڈھونڈیں اور پھیلائیں۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . 142
مجموعے کا استعمال کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144 مجموعہ کی تعریف کرنے کے طریقوں کا موازنہ۔ . . . . . . . . . . . . . . . . . . . . . . 144 SCOPE کلیکشنز بنانا اور استعمال کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . 145 Tcl کمانڈز کا استعمال کرتے ہوئے مجموعہ بنانا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . 147 ViewTcl کمانڈز کے ساتھ مجموعوں کو ing اور ہیرا پھیری کرنا۔ . . . . . . . . . . . . . . 150
SDC کو FDC میں تبدیل کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 154
SCOPE ایڈیٹر (وراثت) کا استعمال کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155 دائرہ کار کی پابندیاں داخل کرنا اور ان میں ترمیم کرنا (میراث)۔ . . . . . . . . . . . . . . . . . . . . 157 SCOPE ٹائمنگ کی پابندیاں (وراثت) کی وضاحت کرنا۔ . . . . . . . . . . . . . . . . . . . . . . 159 پہلے سے طے شدہ رکاوٹوں میں داخل ہونا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159 گھڑی اور راستے کی پابندیاں ترتیب دینا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159 گھڑیوں کی تعریف . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 162 ان پٹ اور آؤٹ پٹ رکاوٹوں کی وضاحت کرنا (میراث)۔ . . . . . . . . . . . . . . . . . . . . . . 169 جھوٹے راستوں کی تعریف (وراثت)۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 7
باب 6: نتائج کی ترکیب اور تجزیہ
آپ کے ڈیزائن کی ترکیب . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174 منطق کی ترکیب چلانا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174 ملازمت کے انتظام کے لیے تازہ ترین چیکنگ کا استعمال۔ . . . . . . . . . . . . . . . . . . . . . 174
لاگ چیک کر رہا ہے۔ File نتائج . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179 Viewing اور لاگ کے ساتھ کام کرنا File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179 مخصوص رپورٹس تک فوری رسائی۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183 دور سے نتائج تک رسائی حاصل کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 185 لاگ کا استعمال کرتے ہوئے نتائج کا تجزیہ کرنا File رپورٹس . . . . . . . . . . . . . . . . . . . . . . . . 189 واچ ونڈو کا استعمال۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190 وسائل کے استعمال کی جانچ کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 191
پیغامات کو ہینڈل کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193 پیغام میں نتائج کی جانچ کرنا Viewer . . . . . . . . . . . . . . . . . . . . . . . . . . . 193 پیغام میں پیغامات کو فلٹر کرنا Viewer . . . . . . . . . . . . . . . . . . . . . . . . . . 195 کمانڈ لائن سے پیغامات کو فلٹر کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . Tcl اسکرپٹ کے ساتھ 197 خودکار میسج فلٹرنگ۔ . . . . . . . . . . . . . . . . . . . . . . . 198 لاگ File میسج کنٹرولز . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 200 ہینڈلنگ وارننگز . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203
Continue on Error کا استعمال کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203 Continue on Error for Compile Point Synthesis کا استعمال کرنا۔ . . . . . . . . . . . . . . . . . . 203
باب 7: ایچ ڈی ایل تجزیہ کار اور ایف ایس ایم کے ساتھ تجزیہ کرنا Viewer
اسکیمیٹک میں کام کرنا Views . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208 ایچ ڈی ایل تجزیہ کار کے درمیان فرق Views . . . . . . . . . . . . . . . . . . . . . . . 209 کھولنا Views . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 209 Viewآبجیکٹ پراپرٹیز . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 210 RTL/ٹیکنالوجی میں اشیاء کا انتخاب Views . . . . . . . . . . . . . . . . . . . . . . 215 ملٹی شیٹ اسکیمیٹکس کے ساتھ کام کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 217 کے درمیان منتقل Viewایک اسکیمیٹک ونڈو میں ہے . . . . . . . . . . . . . . . . . . . . . . . 218 ترتیب کی منصوبہ بندی View ترجیحات . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 219 ونڈوز کا انتظام . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 221
ڈیزائن کے درجہ بندی کو تلاش کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 222 ہائرارکی براؤزر کے ساتھ ڈیزائن کی درجہ بندی کو عبور کرنا۔ . . . . . . . . . . . . . . . 222 پشنگ/پوپنگ کے ذریعے آبجیکٹ کے درجہ بندی کو تلاش کرنا۔ . . . . . . . . . . . . . . . . . . . . . . 223 شفاف مثالوں کی آبجیکٹ کی درجہ بندی کی تلاش۔ . . . . . . . . . . . . . . . . . . 228
اشیاء تلاش کرنا . . . . . . . . . . . .LO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 230 ایچ ڈی ایل تجزیہ کار میں اشیاء تلاش کرنے کے لیے براؤزنگ Views . . . . . . . . . . . . . . . . . . . . . . 230 درجہ بندی اور محدود تلاشوں کے لیے فائنڈ کا استعمال کرنا۔ . . . . . . . . . . . . . . . . . . . 232 فائنڈ کمانڈ کے ساتھ وائلڈ کارڈز کا استعمال۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . 235
© 2014 Synopsys, Inc. 8
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
تلاش کو بہتر بنانے کے لیے تلاش کو فلٹرنگ کے ساتھ ملانا۔ . . . . . . . . . . . . . . . . . . . . . 240 آؤٹ پٹ نیٹ لسٹ تلاش کرنے کے لیے فائنڈ کا استعمال کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 240
کراس پروبنگ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 243 آر ٹی ایل/ٹیکنالوجی کے اندر کراس پروبنگ View . . . . . . . . . . . . . . . . . . . . . . . . . RTL/ٹیکنالوجی سے 243 کراس پروبنگ View . . . . . . . . . . . . . . . . . . . . . . . . . 244 ٹیکسٹ ایڈیٹر ونڈو سے کراس پروبنگ۔ . . . . . . . . . . . . . . . . . . . . . . . . . . 246 Tcl اسکرپٹ ونڈو سے کراس پروبنگ۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . 249 FSM سے کراس پروبنگ Viewer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 250
ایچ ڈی ایل تجزیہ کار ٹول کے ساتھ تجزیہ کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 251 Viewڈیزائن درجہ بندی اور سیاق و سباق۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 252 فلٹرنگ اسکیمیٹکس . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 255 پن اور نیٹ لاجک کو پھیلانا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 257 توسیع اور Viewکنکشنز . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 261 فلیٹننگ اسکیمیٹک درجہ بندی . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 263 ڈیزائن کا تجزیہ کرتے وقت میموری کے استعمال کو کم سے کم کرنا۔ . . . . . . . . . . . . . . . . . . 267
FSM کا استعمال کرتے ہوئے Viewer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 267
باب 8: ٹائمنگ کا تجزیہ
اسکیمیٹک میں ٹائمنگ کا تجزیہ کرنا Views . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 274 Viewوقت کی معلومات . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 274 اسکیمیٹک میں ٹائمنگ کی معلومات کی تشریح کرنا Views . . . . . . . . . . . . . . . . . RTL میں 275 گھڑی کے درختوں کا تجزیہ کرنا View . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 277۔ Viewاہم راستے . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 277 منفی سست کو سنبھالنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 280
STA کے ساتھ حسب ضرورت ٹائمنگ رپورٹس تیار کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . 281
تجزیہ ڈیزائن کی رکاوٹوں کا استعمال۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . تجزیہ ڈیزائن کی رکاوٹوں کو استعمال کرنے کے لیے 284 منظرنامے۔ . . . . . . . . . . . . . . . . . . . . . 285 ADC بنانا File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 286 adc میں آبجیکٹ کے ناموں کا صحیح استعمال کرنا File . . . . . . . . . . . . . . . . . . . . . . . . . 290۔
آٹو کنسٹرائنٹس کا استعمال کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 291 آٹو کنسٹرائنٹس کے نتائج۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 293
باب 9: اعلیٰ سطحی اشیاء کا اندازہ لگانا
ترکیب کے لیے بلیک باکسز کی تعریف . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 298 ویریلوگ میں بلیک باکسز اور I/Os کو فوری بنانا۔ . . . . . . . . . . . . . . . . . . . . . . . . . 298 VHDL میں بلیک باکسز اور I/Os کو فوری بنانا۔ . . . . . . . . . . . . . . . . . . . . . . . . . 300 بلیک باکس ٹائمنگ کی پابندیاں شامل کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 302 بلیک باکس کی دیگر خصوصیات کو شامل کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 306
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 9
ترکیب کے لیے ریاستی مشینوں کی تعریف . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 307 ویریلوگ میں ریاستی مشینوں کی تعریف کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 307 VHDL میں ریاستی مشینوں کی تعریف کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 308 صفات اور ہدایات کے ساتھ FSMs کی وضاحت کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . 309
محفوظ FSMs کی وضاحت کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 311
خودکار RAM کا اندازہ۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 314 بلاک رام . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 314 RAM کی خصوصیات . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 315 بلاک ریم کا اندازہ لگانا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 317
RAMs کو شروع کیا جا رہا ہے۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 323 ویریلوگ میں RAM شروع کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 323 VHDL میں RAM شروع کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 324
باب 10: ڈیزائن کی سطح کی اصلاح کی وضاحت کرنا
اصلاح کے لیے تجاویز . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 330 عمومی اصلاح کی تجاویز . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 330 ایریا کے لیے بہتر بنانا . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 331 ٹائمنگ کے لیے اصلاح کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 332
ری ٹائمنگ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 334 ری ٹائمنگ کو کنٹرول کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 334 Retimeing Exampلی . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 336 ری ٹائمنگ رپورٹ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 338 ری ٹائمنگ کیسے کام کرتی ہے۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 338
آبجیکٹ کو آپٹمائز ہونے سے بچانا۔ . . . . . . . . . . . . . . . . . . . . . . . . . 342 تحفظ یا نقل کے لیے syn_keep استعمال کرنا۔ . . . . . . . . . . . . . . . . . . . . . . 343 درجہ بندی کی چپٹی کو کنٹرول کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 346 درجہ بندی کا تحفظ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 346
Fanout کو بہتر بنانا . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 348 فین آؤٹ کی حدود طے کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 348 بفرنگ اور نقل کو کنٹرول کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 350
وسائل کا اشتراک کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 352
I/OS داخل کرنا . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 353
ریاستی مشینوں کو بہتر بنانا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 354 فیصلہ کرنا کہ ریاستی مشینوں کو کب بہتر بنایا جائے۔ . . . . . . . . . . . . . . . . . . . . . . . . . . 354 FSM کمپائلر LO چلانا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 355 FSM ایکسپلورر چلانا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 359
تحقیقات داخل کرنا . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 362
© 2014 Synopsys, Inc. 10
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
ماخذ کوڈ میں تحقیقات کی وضاحت کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 362 پروب ایٹریبیٹس کو انٹرایکٹو طور پر شامل کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 363
باب 11: کمپائل پوائنٹس کے ساتھ کام کرنا
پوائنٹ کی بنیادی باتیں مرتب کریں۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 366 ایڈوانtagکمپائل پوائنٹ ڈیزائن کے es۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 366 دستی کمپائل پوائنٹس . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 368 نیسٹڈ کمپائل پوائنٹس۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 369 مرتب پوائنٹ کی اقسام . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 370
پوائنٹ کی ترکیب کی بنیادی باتیں مرتب کریں۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 375 کمپائل پوائنٹ کنسٹرائنٹ Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 375 انٹرفیس لاجک ماڈلز . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 378 کمپائل پوائنٹس کے لیے انٹرفیس ٹائمنگ۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 378 کمپائل پوائنٹ سنتھیسز . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 381 انکریمنٹل کمپائل پوائنٹ سنتھیسز . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 383 کمپائل پوائنٹ ٹائمنگ کنسٹرائنٹس کا فارورڈ اینوٹیشن۔ . . . . . . . . . . . . . . . 384
کمپائل پوائنٹس کی ترکیب کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 384 دستی کمپائل پوائنٹ فلو۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 385 ایک اعلی سطحی رکاوٹیں بنانا File کمپائل پوائنٹس کے لیے۔ . . . . . . . . . . . . . . . 388 دستی کمپائل پوائنٹس کی وضاحت . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 389 کمپائل پوائنٹ کی سطح پر رکاوٹوں کا تعین کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . 391 کمپائل پوائنٹ کے نتائج کا تجزیہ کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 393
دیگر خصوصیات کے ساتھ کمپائل پوائنٹس کا استعمال۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 396 ملٹی پروسیسنگ کے ساتھ کمپائل پوائنٹس کا امتزاج۔ . . . . . . . . . . . . . . . . . . . . . . 396
بتدریج دوبارہ ترکیب کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 397 کمپائل پوائنٹس کو بتدریج دوبارہ ترکیب کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . 397
باب 12: آئی پی ان پٹ کے ساتھ کام کرنا
SYNCore کے ساتھ IP تیار کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 402 SYNCore کے ساتھ FIFOs کی وضاحت کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 402 SYNCore کے ساتھ RAM کی وضاحت کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 408 SYNCore کے ساتھ بائٹ ان ایبل RAM کی وضاحت کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . 416 SYNCore کے ساتھ ROMs کی وضاحت کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 422 SYNCore کے ساتھ Adder/Subtractors کی وضاحت کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . 427 SYNCore کے ساتھ کاؤنٹرز کی وضاحت کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 434
Synopsys FPGA IP انکرپشن فلو۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 441 اوورview Synopsys FPGA IP فلو کا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . 441 انکرپشن اور ڈکرپشن . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 442
انکرپٹڈ آئی پی کے ساتھ کام کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 446
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 11
آپ کے آئی پی کو خفیہ کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 446 encryptP1735.pl اسکرپٹ کے ساتھ آئی پی کو خفیہ کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . 448 انکرپٹ آئی پی اسکرپٹ کے ساتھ آئی پی کو خفیہ کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 453 اسکرپٹ آؤٹ پٹ طریقہ کی وضاحت کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 455 آئی پی پیکج کی تیاری۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 456
ہائپر سورس کا استعمال۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 460 پروٹو ٹائپنگ کے لیے ہائپر سورس کا استعمال۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 460 IP ڈیزائنز کے لیے ہائپر سورس کا استعمال۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . آئی پی کے ڈیزائن کے درجہ بندی کے ذریعے 460 تھریڈنگ سگنلز۔ . . . . . . . . . . . . . . 461
باب 13: پیداواری صلاحیت کے لیے عمل کو بہتر بنانا
بیچ موڈ استعمال کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 466 پروجیکٹ پر بیچ موڈ چل رہا ہے۔ File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 466 ٹی سی ایل اسکرپٹ کے ساتھ بیچ موڈ چل رہا ہے۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 467 قطار میں کھڑے لائسنس . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 469
Tcl اسکرپٹس اور کمانڈز کے ساتھ کام کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 472 Tcl کمانڈز اور اسکرپٹس کا استعمال۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 472 جاب اسکرپٹ تیار کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 473 متوازی ملازمتوں کی تعداد ترتیب دینا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 473 ایک Tcl ترکیب اسکرپٹ بنانا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 475 مختلف گھڑیوں کی تعدد کو آزمانے کے لیے Tcl متغیرات کا استعمال۔ . . . . . . . . . . . . . . . . . 476 متعدد ٹارگٹ ٹیکنالوجیز کو آزمانے کے لیے Tcl متغیرات کا استعمال۔ . . . . . . . . . . . . . . . . 478 اسکرپٹ کے ساتھ باٹم اپ سنتھیسس چلانا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . 479
synhooks.tcl کے ساتھ خودکار بہاؤ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 479
باب 14: ملٹی پروسیسنگ کا استعمال
کمپائل پوائنٹس کے ساتھ ملٹی پروسیسنگ۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 484 زیادہ سے زیادہ متوازی ملازمتیں ترتیب دینا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 484 لائسنس کا استعمال . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 485
باب 15: مائیکروسیمی ڈیزائن کے لیے بہتر بنانا
مائیکروسیمی ڈیزائن کو بہتر بنانا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 488 پہلے سے طے شدہ مائیکروسیمی بلیک باکسز کا استعمال۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . 488 Smartgen Macros استعمال کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 489 راڈارڈ ڈیزائنز کے ساتھ کام کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 489 سورس کوڈ میں syn_radhardlevel کی وضاحت کرنا۔ . . . . . . . . . . . . . . . . . . . . . . 490 LO
باب 16: ترکیب کی پیداوار کے ساتھ کام کرنا
P&R ٹولز کو معلومات دینا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 494
© 2014 Synopsys, Inc. 12
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
پن کے مقامات کی وضاحت کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 494 مائیکروسیمی بس پورٹس کے لیے مقامات کی وضاحت کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . 495 میکرو اور رجسٹر پلیسمنٹ کی وضاحت کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . 495
وینڈر کے لیے مخصوص آؤٹ پٹ پیدا کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 496 اپنے وینڈر کو ہدف بنانا آؤٹ پٹ۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 496 نیٹ لسٹ فارمیٹس کو حسب ضرورت بنانا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 497
باب 17: بعد از ترکیب آپریشن چلانا
P&R خودکار طور پر ترکیب کے بعد چلانا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 500
شناختی ٹولز کے ساتھ کام کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Synplify Pro ٹول سے 501 لانچ کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 501 شناخت کے آغاز کے ساتھ ہینڈلنگ کے مسائل۔ . . . . . . . . . . . . . . . . . . . . . . . . . . 503 شناختی ٹول کا استعمال کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 504 شناختی ٹول کے ساتھ کمپائل پوائنٹس کا استعمال۔ . . . . . . . . . . . . . . . . . . . . . . . . . . 506
VCS ٹول کے ساتھ نقل کرنا۔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 508
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 13
© 2014 Synopsys, Inc. 14
LO
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
باب 1
تعارف
Synplify Pro® سافٹ ویئر کا یہ تعارف درج ذیل کی وضاحت کرتا ہے:
Synopsys FPGA اور پروٹوٹائپنگ پروڈکٹس، صفحہ 16 پر؛ دستاویز کا دائرہ، صفحہ 21 پر؛ شروع کرنا، صفحہ 22 پر؛ یوزر انٹرفیس اوورview، صفحہ 24 پر
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 15
باب 1: تعارف
Synopsys FPGA اور پروٹوٹائپنگ مصنوعات
Synopsys FPGA اور پروٹوٹائپنگ مصنوعات
مندرجہ ذیل اعداد و شمار Synopsys FPGA اور مصنوعات کی پروٹو ٹائپنگ فیملی کو دکھاتا ہے۔
© 2014 Synopsys, Inc. 16
LO
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
Synopsys FPGA اور پروٹوٹائپنگ مصنوعات
باب 1: تعارف
ایف پی جی اے کے نفاذ کے ٹولز
Synplify Pro اور Synplify Premier پروڈکٹس RTL سنتھیسز ٹولز ہیں جو خاص طور پر FPGAs (فیلڈ پروگرام ایبل گیٹ اری) اور CPLDs (پیچیدہ پروگرام ایبل لاجک ڈیوائسز) کے لیے ڈیزائن کیے گئے ہیں۔
Synplify پرو سنتھیسس سافٹ ویئر
Synplify Pro FPGA سنتھیس سافٹ ویئر اعلیٰ کارکردگی، لاگت سے موثر FPGA ڈیزائن تیار کرنے کے لیے صنعتی معیار ہے۔ اس کی منفرد
Behavior Extracting Synthesis Technology® (BEST) الگورتھم، انجام دیں۔
RTL کوڈ کو مخصوص FPGA منطق میں ترکیب کرنے سے پہلے اعلیٰ سطح کی اصلاح۔ یہ نقطہ نظر پورے FPGA، تیز رفتار رن ٹائمز، اور بہت بڑے ڈیزائن کو ہینڈل کرنے کی صلاحیت میں اعلیٰ اصلاح کی اجازت دیتا ہے۔ Synplify Pro سافٹ ویئر جدید ترین VHDL اور Verilog زبان کی تعمیرات کو سپورٹ کرتا ہے جس میں SystemVerilog اور VHDL 2008 شامل ہیں۔ یہ ٹول ٹیکنالوجی سے آزاد ہے جو ایک ہی ڈیزائن پروجیکٹ سے FPGA ڈیوائسز اور دکانداروں کے درمیان فوری اور آسانی سے دوبارہ ہدف بنانے کی اجازت دیتا ہے۔
Synplify پریمیئر سنتھیسس سافٹ ویئر
Synplify Premier فعالیت Synplify Pro ٹول کا ایک سپر سیٹ ہے، حتمی FPGA نفاذ اور ڈیبگ ماحول فراہم کرتا ہے۔ اس میں جدید FPGA ڈیزائنرز کے لیے ٹولز اور ٹیکنالوجیز کا ایک جامع سوٹ شامل ہے، اور ASIC پروٹوٹائپرز کے لیے سنگل FPGA پر مبنی پروٹو ٹائپس کو نشانہ بنانے کے لیے ترکیب انجن کے طور پر بھی کام کرتا ہے۔
Synplify Premier پروڈکٹ FPGA ڈیزائنرز اور ASIC پروٹوٹائپرز دونوں کو پیش کرتا ہے جو ڈیزائن کے نفاذ اور ڈیبگ کے انتہائی موثر طریقہ کے ساتھ سنگل FPGAs کو نشانہ بناتے ہیں۔ ڈیزائن کے نفاذ کی طرف، اس میں وقت بند ہونے، منطق کی تصدیق، IP کا استعمال، ASIC مطابقت، اور DSP نفاذ کے لیے فعالیت کے ساتھ ساتھ FPGA وینڈر کے بیک اینڈ ٹولز کے ساتھ سخت انضمام شامل ہے۔ ڈیبگ سائیڈ پر، یہ FPGAs کی سسٹم میں تصدیق فراہم کرتا ہے جو ڈرامائی طور پر ڈیبگ کے عمل کو تیز کرتا ہے، اور اس میں ڈیزائن کے پرکشش مسائل کو تلاش کرنے کے لیے تیز رفتار اور بڑھنے والا طریقہ بھی شامل ہے۔
Synopsys FPGA ٹول کی خصوصیات
یہ جدول Synplify Pro، Synplify، Synplify Premier، اور Synplify Premier with Design Planner مصنوعات میں اہم فعالیت کے درمیان فرق کرتا ہے۔
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 17
باب 1: تعارف
Synopsys FPGA اور پروٹوٹائپنگ مصنوعات
Synplify Synplify Pro
کارکردگی
رویہ نکالنے کی ترکیب
x
x
ٹیکنالوجی® (BESTTM)
وینڈر سے تیار کردہ کور/آئی پی
x
سپورٹ (مخصوص ٹیکنالوجیز)
ایف ایس ایم کمپائلر
x
x
ایف ایس ایم ایکسپلورر
x
گیٹڈ کلاک کنورژن
x
پائپ لائننگ رجسٹر کریں۔
x
ری ٹائمنگ رجسٹر کریں۔
x
SCOPE® پابندی کا اندراج
x
x
اعلی وشوسنییتا خصوصیات
x
مربوط جگہ اور راستہ
x
x
تجزیہ
ایچ ڈی ایل تجزیہ کار
آپشن
x
ٹائمنگ تجزیہ کار
x
پوائنٹ ٹو پوائنٹ
ایف ایس ایم Viewer
x
کراس پروبنگ
x
پروب پوائنٹ کی تخلیق
x
Identify® Instrumentor
x
ڈیبگر کی شناخت کریں۔
پاور تجزیہ (SAIF)
جسمانی ڈیزائن
ڈیزائن پلان File
LO
علاقوں کو منطق کی تفویض
Synplify پریمیئر
x
x
xxxxxxxx
xx
xxxxxx
Synplify پریمیئر ڈی پی
x
x
xxxxxxxx
xx
xxxxxx
xx
© 2014 Synopsys, Inc. 18
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
Synopsys FPGA اور پروٹوٹائپنگ مصنوعات
باب 1: تعارف
رقبہ کا تخمینہ اور ریجن کی صلاحیت پن اسائنمنٹ فزیکل آپٹیمائزیشنز فزیکل سنتھیسز فزیکل اینالسٹ Synopsys DesignWare® فاؤنڈیشن لائبریری رن ٹائم ہائیرارکیکل ڈیزائن انہینسڈ آپٹیمائزیشن فاسٹ سنتھیسز ملٹی پروسیسنگ کمپائل آن ایرر ٹیم ڈیزائن مکسڈ لینگویج ڈیزائن کمپائل لائیسنس صرف Moarchical پوائنٹس GUI بیچ موڈ (فلوٹنگ لائسنس) بیچ موڈ P&R P&R ڈیٹا کی رسمی توثیق کی بیک تشریح
Synplify Synplify Pro
x
xxxx
x
x
–
x
–
–
x
انضمام کی شناخت کریں۔
محدود
x
Synplify پریمیئر
xxx
xxxxx
xxxx
x
x منطقی ترکیب وضع x
Synplify پریمیئر ڈی پی
x
xxxxx
xxxxx
xxxx
x
xx منطق کی ترکیب کا موڈ
x
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 19
باب 1: تعارف
Synopsys FPGA اور پروٹوٹائپنگ مصنوعات
پی اینڈ آر ڈیٹا ڈیزائن انوائرنمنٹ ٹیکسٹ ایڈیٹر کا بیک اینوٹیشن View ونڈو میسج ونڈو ٹی سی ایل ونڈو دیکھیں ایک سے زیادہ نفاذ وینڈر ٹیکنالوجی سپورٹ پروٹو ٹائپنگ فیچر رن ٹائم فیچرز کمپائل پوائنٹس گیٹڈ کلاک کنورژن غلطی پر کمپائل
Synplify Synplify Pro
x
x
x
x
x
x
x
x
x
Synplify پریمیئر
xxxxx منتخب
xxxx
Synplify پریمیئر ڈی پی
x
xxxxx منتخب
xxxx
© 2014 Synopsys, Inc. 20
LO
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
دستاویز کا دائرہ کار
باب 1: تعارف
دستاویز کا دائرہ کار
مندرجہ ذیل اس دستاویز کے دائرہ کار اور مطلوبہ سامعین کی وضاحت کرتا ہے۔
دستاویز کا سیٹ
یہ صارف گائیڈ ایک دستاویز سیٹ کا حصہ ہے جس میں ایک حوالہ دستی اور ایک سبق شامل ہے۔ اس کا مقصد سیٹ میں موجود دیگر دستاویزات کے ساتھ استعمال کرنا ہے۔ یہ عام کاموں کو پورا کرنے کے لیے Synopsys FPGA سافٹ ویئر کو استعمال کرنے کا طریقہ بیان کرنے پر توجہ مرکوز کرتا ہے۔ اس کا مطلب درج ذیل ہے:
صارف گائیڈ صرف عام کاموں کو کرنے کے لیے درکار اختیارات کی وضاحت کرتا ہے۔
دستی میں بیان کیا گیا ہے۔ یہ ہر دستیاب کمانڈ اور آپشن کی وضاحت نہیں کرتا ہے۔ کمانڈ کے تمام اختیارات اور نحو کی مکمل تفصیل کے لیے، یوزر انٹرفیس اوور سے رجوع کریں۔view Synopsys FPGA ترکیب حوالہ دستی میں باب۔
صارف گائیڈ ٹاسک پر مبنی معلومات پر مشتمل ہے۔ کی خرابی کے لیے
معلومات کو کس طرح منظم کیا جاتا ہے، صفحہ 22 پر مدد حاصل کرنا دیکھیں۔
سامعین
Synplify Pro سافٹ ویئر ٹول کا ہدف FPGA سسٹم ڈویلپر کی طرف ہے۔ یہ فرض کیا جاتا ہے کہ آپ کو درج ذیل چیزوں کا علم ہے:
· ڈیزائن کی ترکیب · RTL · FPGAs · Verilog/VHDL
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 21
باب 1: تعارف
شروع کرنا
شروع کرنا
یہ سیکشن آپ کو دکھاتا ہے کہ Synopsys FPGA ترکیب سافٹ ویئر کے ساتھ کیسے آغاز کیا جائے۔ یہ مندرجہ ذیل عنوانات کی وضاحت کرتا ہے، لیکن لائسنسنگ اور انسٹالیشن کے بارے میں انسٹالیشن ہدایات میں معلومات کو ختم نہیں کرتا:
سافٹ ویئر شروع کرنا، صفحہ 22 پر؛ مدد حاصل کرنا، صفحہ 22 پر
سافٹ ویئر شروع کرنا
1. اگر آپ نے پہلے ہی ایسا نہیں کیا ہے، تو انسٹالیشن کی ہدایات کے مطابق Synopsys FPGA سنتھیسس سافٹ ویئر انسٹال کریں۔
2. سافٹ ویئر شروع کریں۔
اگر آپ ونڈوز پلیٹ فارم پر کام کر رہے ہیں تو منتخب کریں۔
پروگرامز-> Synopsys-> اسٹارٹ بٹن سے پروڈکٹ ورژن۔
اگر آپ UNIX پلیٹ فارم پر کام کر رہے ہیں تو مناسب ٹائپ کریں۔
کمانڈ لائن پر کمانڈ:
synplify_pro
کمانڈ سنتھیسس ٹول کو شروع کرتی ہے، اور پروجیکٹ ونڈو کو کھولتی ہے۔ اگر
آپ پہلے سافٹ ویئر چلا چکے ہیں، ونڈو پچھلا پروجیکٹ دکھاتی ہے۔ انٹرفیس کے بارے میں مزید معلومات کے لیے، یوزر انٹرفیس اوور دیکھیںview حوالہ دستی کا باب۔
مدد حاصل کرنا
Synopsys Support کو کال کرنے سے پہلے، دستاویزی معلومات کو دیکھیں۔ آپ ہیلپ مینو سے آن لائن معلومات تک رسائی حاصل کر سکتے ہیں، یا پی ڈی ایف ورژن سے رجوع کر سکتے ہیں۔ درج ذیل جدول آپ کو دکھاتا ہے کہ معلومات کو کس طرح منظم کیا جاتا ہے۔
LO
© 2014 Synopsys, Inc. 22
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
شروع کرنا
مدد کے لیے… سافٹ ویئر کی خصوصیات کا استعمال کیسے کریں…
بہاؤ کی معلومات
غلطی کے پیغامات لائسنسنگ کی خصوصیات اور ہدایت
باب 1: تعارف
ملاحظہ کریں… Synopsys FPGA Synthesis User Guide Synopsys FPGA سنتھیسس یوزر گائیڈ، سپورٹ پر ایپلیکیشن نوٹس web سائٹ Synopsys FPGA Synthesis صارف گائیڈ، سپورٹ پر ایپلیکیشن نوٹس web سائٹ آن لائن مدد (منتخب کریں مدد-> خرابی کے پیغامات) Synopsys SolvNet Website Synopsys FPGA ترکیب حوالہ دستی Synopsys FPGA ترکیب حوالہ دستی Synopsys FPGA ترکیب حوالہ دستی آن لائن مدد (منتخب مدد->Tcl مدد) Synopsys FPGA ترکیب حوالہ دستی Synopsys FPGA ترکیب حوالہ دستی (Web مینو کمانڈز)
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 23
باب 1: تعارف
یوزر انٹرفیس ختمview
یوزر انٹرفیس ختمview
یوزر انٹرفیس (UI) ایک مین ونڈو پر مشتمل ہوتا ہے، جسے پروجیکٹ کہتے ہیں۔ view، اور خصوصی ونڈوز یا views مختلف کاموں کے لیے۔ ہر ایک فیچر کے بارے میں تفصیلات کے لیے، باب 2، یوزر انٹرفیس اوور دیکھیںview Synopsys FPGA ترکیب حوالہ دستی کا۔
Synplify Pro انٹرفیس
بٹن پینل
ٹول بار پروجیکٹ view
حیثیت
نفاذ کے نتائج view
رسائی کے لیے ٹیبز views
Tcl اسکرپٹ/پیغامات ونڈو LO
ونڈو دیکھیں
© 2014 Synopsys, Inc. 24
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
باب 2
FPGA ترکیب ڈیزائن بہاؤ
یہ باب صفحہ 26 پر منطقی ترکیب ڈیزائن کے بہاؤ کی وضاحت کرتا ہے۔
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 25
باب 2: FPGA ترکیب ڈیزائن بہاؤ
منطق کی ترکیب ڈیزائن بہاؤ
منطق کی ترکیب ڈیزائن بہاؤ
Synopsys FPGA ٹولز پہلے RTL ماخذ کو ٹیکنالوجی سے آزاد منطق کے ڈھانچے میں مرتب کر کے منطق کی ترکیب کرتے ہیں، اور پھر منطق کو ٹیکنالوجی کے مخصوص وسائل سے بہتر اور نقشہ بناتے ہیں۔ منطق کی ترکیب کے بعد، ٹول وینڈر کے لیے مخصوص نیٹ لسٹ اور رکاوٹ پیدا کرتا ہے۔ file جسے آپ پلیس اینڈ روٹ (P&R) ٹول میں بطور ان پٹ استعمال کر سکتے ہیں۔
مندرجہ ذیل اعداد و شمار مراحل اور منطق کی ترکیب کے لیے استعمال ہونے والے اوزار اور کچھ بڑے ان پٹ اور آؤٹ پٹ کو دکھاتا ہے۔ آپ اس بہاؤ کے لیے Synplify Pro سنتھیسس سافٹ ویئر استعمال کر سکتے ہیں۔ انٹرایکٹو ٹائمنگ تجزیہ اختیاری ہے۔ اگرچہ بہاؤ وینڈر کی رکاوٹ کو ظاہر کرتا ہے۔ fileP&R ٹول کے براہ راست ان پٹ کے طور پر، آپ کو ان کو شامل کرنا چاہیے۔ fileبلیک باکسز کی ٹائمنگ کے لیے ترکیب کے منصوبے کے لیے۔
Synopsys FPGA ٹول
RTL
RTL تالیف
ایف ڈی سی
منطق کی ترکیب
Synthesized netlist Synthesis constraints وینڈر کی رکاوٹیں
وینڈر ٹول
جگہ اور راستہ
منطق کی ترکیب کا طریقہ کار
مخصوص ڈیزائن کی بنیاد پر مرحلہ وار ہدایات کے ساتھ ڈیزائن کے بہاؤ کے لیے
ڈیٹا، سے ٹیوٹوریل ڈاؤن لوڈ کریں۔ webسائٹ مندرجہ ذیل اقدامات کا خلاصہ
ڈیزائن کی ترکیب سازی کا طریقہ کار، جس کی وضاحت میں بھی کی گئی ہے۔
مندرجہ ذیل اعداد و شمار.
LO
1. ایک پروجیکٹ بنائیں۔
2. ماخذ شامل کریں۔ files منصوبے کے لئے.
© 2014 Synopsys, Inc. 26
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
منطق کی ترکیب ڈیزائن بہاؤ
باب 2: FPGA ترکیب ڈیزائن بہاؤ
3. ڈیزائن کے لیے اوصاف اور رکاوٹیں طے کریں۔
4. نفاذ کے اختیارات ڈائیلاگ باکس میں عمل درآمد کے لیے اختیارات سیٹ کریں۔
5. منطق کی ترکیب کو چلانے کے لیے چلائیں پر کلک کریں۔
6. لاگ جیسے ٹولز کا استعمال کرتے ہوئے نتائج کا تجزیہ کریں۔ file، ایچ ڈی ایل تجزیہ کار منصوبہ بندی views، میسج ونڈو اور واچ ونڈو۔
ڈیزائن مکمل کرنے کے بعد، آپ آؤٹ پٹ استعمال کر سکتے ہیں۔ fileوینڈر ٹول کے ساتھ جگہ اور راستے کو چلانے اور FPGA کو لاگو کرنے کے لیے۔
درج ذیل اعداد و شمار میں بہاؤ کے اہم مراحل کی فہرست دی گئی ہے:
پروجیکٹ بنائیں
ماخذ شامل کریں۔ Files
پابندیاں مقرر کریں۔
اختیارات مرتب کریں
سافٹ ویئر چلائیں۔
نتائج کا تجزیہ کریں کوئی اہداف حاصل نہیں ہوئے؟
ہاں جگہ اور راستہ
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 27
باب 2: FPGA ترکیب ڈیزائن بہاؤ
منطق کی ترکیب ڈیزائن بہاؤ
© 2014 Synopsys, Inc. 28
LO
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
باب 3
ان پٹ کی تیاری
جب آپ کسی ڈیزائن کی ترکیب کرتے ہیں، تو آپ کو دو قسم کے سیٹ اپ کرنے کی ضرورت ہوتی ہے۔ files: ایچ ڈی ایل files جو آپ کے ڈیزائن اور پروجیکٹ کی وضاحت کرتا ہے۔ fileڈیزائن کو منظم کرنے کے لئے. یہ باب ان کو ترتیب دینے کے طریقہ کار کی وضاحت کرتا ہے۔ files اور پروجیکٹ۔ یہ مندرجہ ذیل کا احاطہ کرتا ہے:
ایچ ڈی ایل ماخذ کو ترتیب دینا Files، صفحہ 30 پر · مخلوط زبان کے ماخذ کا استعمال Files، صفحہ 44 پر؛ انکریمنٹل کمپائلر کا استعمال، صفحہ 49 پر؛ اسٹرکچرل ویریلوگ فلو کا استعمال، صفحہ 51 پر؛ رکاوٹ کے ساتھ کام کرنا Files، صفحہ 53 پر
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 29
باب 3: ان پٹ کی تیاری
ایچ ڈی ایل سورس سیٹ اپ کرنا Files
ایچ ڈی ایل سورس سیٹ اپ کرنا Files
یہ سیکشن بیان کرتا ہے کہ آپ کا ذریعہ کیسے ترتیب دیا جائے۔ files پروجیکٹ file سیٹ اپ کو سیٹ اپ پروجیکٹ میں بیان کیا گیا ہے۔ Files، صفحہ 58 پر۔ ماخذ files Verilog یا VHDL میں ہو سکتا ہے۔ کی ساخت کے بارے میں معلومات کے لیے files ترکیب کے لیے حوالہ دستی سے رجوع کریں۔ اس حصے میں درج ذیل موضوعات پر بحث کی گئی ہے۔
ایچ ڈی ایل ماخذ بنانا Files، صفحہ 30 پر؛ سیاق و سباق کے ہیلپ ایڈیٹر کا استعمال کرتے ہوئے، صفحہ 32 پر؛ ایچ ڈی ایل ماخذ کی جانچ کرنا Files، صفحہ 34 پر · ایچ ڈی ایل ماخذ میں ترمیم کرنا Files بلٹ ان ٹیکسٹ ایڈیٹر کے ساتھ، صفحہ 35 پر؛ ایکسٹرنل ٹیکسٹ ایڈیٹر کا استعمال کرتے ہوئے، صفحہ 41 پر؛ ایڈیٹنگ ونڈو کی ترجیحات کا تعین، صفحہ 39 پر؛ ویریلوگ لائبریری کے لیے لائبریری ایکسٹینشنز کا استعمال Files، صفحہ 42 پر
ایچ ڈی ایل ماخذ بنانا Files
یہ سیکشن بیان کرتا ہے کہ ماخذ بنانے کے لیے بلٹ ان ٹیکسٹ ایڈیٹر کو کیسے استعمال کیا جائے۔ files، لیکن اس کی تفصیلات میں نہیں جاتا ہے۔ files پر مشتمل ہے۔ آپ کیا شامل کر سکتے ہیں اور کیا نہیں کر سکتے، نیز وینڈر کے لیے مخصوص معلومات کے لیے، حوالہ دستی دیکھیں۔ اگر آپ کے پاس پہلے سے ہی ذریعہ ہے۔ files، آپ نحو کو چیک کرنے یا ترمیم کرنے کے لیے ٹیکسٹ ایڈیٹر کا استعمال کر سکتے ہیں۔ file (ایچ ڈی ایل ماخذ کی جانچ پڑتال دیکھیں Files، صفحہ 34 پر اور ایچ ڈی ایل ماخذ میں ترمیم کرنا Files بلٹ ان ٹیکسٹ ایڈیٹر کے ساتھ، صفحہ 35 پر)۔
آپ اپنے ماخذ کے لیے Verilog یا VHDL استعمال کر سکتے ہیں۔ files دی files میں v (Verilog) یا vhd (VHDL) ہے file توسیعات، بالترتیب. آپ Verilog اور VHDL استعمال کر سکتے ہیں۔ fileاسی ڈیزائن میں s۔ ویریلوگ اور وی ایچ ڈی ایل ان پٹ کا مرکب استعمال کرنے کے بارے میں معلومات کے لیے files، مخلوط زبان کے ماخذ کا استعمال دیکھیں Files، صفحہ 44 پر۔
1. ایک نیا ذریعہ بنانا file یا تو HDL پر کلک کریں۔ file آئیکن ( ) یا درج ذیل کریں:
منتخب کریں۔ File->نیا یا دبائیں Ctrl-n۔
نئے ڈائیلاگ باکس میں، ذریعہ کی قسم منتخب کریں۔ file آپ بنانا چاہتے ہیں،
ویریلوگ یا وی ایچ ڈی ایل۔ NotLeOth کہ آپ Verilog ڈیزائنز کے لیے Context Help Editor استعمال کر سکتے ہیں جس میں سورس میں SystemVerilog کنسٹرکٹس ہوتے ہیں۔
© 2014 Synopsys, Inc. 30
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
ایچ ڈی ایل سورس سیٹ اپ کرنا Files
باب 3: ان پٹ کی تیاری
file. مزید معلومات کے لیے، صفحہ 32 پر سیاق و سباق ہیلپ ایڈیٹر کا استعمال دیکھیں۔
اگر آپ Verilog 2001 فارمیٹ یا SystemVerilog استعمال کر رہے ہیں، تو ترکیب کو چلانے سے پہلے Verilog 2001 یا System Verilog آپشن کو فعال کرنا یقینی بنائیں (Project->Implementation Options->Verilog ٹیب)۔ ڈیفالٹ Verilog file نئے منصوبوں کے لیے فارمیٹ SystemVerilog ہے۔
کے لیے ایک نام اور مقام ٹائپ کریں۔ file اور OK پر کلک کریں۔ ایک خالی ترمیم
بائیں طرف لائن نمبر کے ساتھ ونڈو کھلتی ہے۔
2. ونڈو میں ماخذ کی معلومات ٹائپ کریں، یا اسے کاٹ کر پیسٹ کریں۔ ایچ ڈی ایل ماخذ میں ترمیم کرنا دیکھیں Fileترمیمی ونڈو میں کام کرنے کے بارے میں مزید معلومات کے لیے صفحہ 35 پر بلٹ ان ٹیکسٹ ایڈیٹر کے ساتھ۔
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 31
باب 3: ان پٹ کی تیاری
ایچ ڈی ایل سورس سیٹ اپ کرنا Files
بہترین ترکیب کے نتائج کے لیے، حوالہ دستی چیک کریں اور یقینی بنائیں کہ آپ دستیاب تعمیرات اور وینڈر کی مخصوص خصوصیات اور ہدایات کو مؤثر طریقے سے استعمال کر رہے ہیں۔
3. محفوظ کریں file منتخب کرکے File->محفوظ کریں یا محفوظ کریں آئیکن ( )۔
ایک بار جب آپ نے ایک ذریعہ بنایا ہے file، آپ چیک کر سکتے ہیں کہ آپ کے پاس صحیح نحو ہے، جیسا کہ HDL ماخذ کی جانچ پڑتال میں بیان کیا گیا ہے۔ Files، صفحہ 34 پر۔
سیاق و سباق ہیلپ ایڈیٹر کا استعمال
جب آپ ویریلوگ ڈیزائن بناتے یا کھولتے ہیں۔ fileماخذ میں Verilog/SystemVerilog تعمیرات کے ساتھ کوڈ کرنے میں آپ کی مدد کرنے کے لیے ونڈو کے نیچے دکھائے گئے Context Help بٹن کا استعمال کریں file یا آپ کے Tcl میں Tcl رکاوٹ کمانڈز file.
سیاق و سباق ہیلپ ایڈیٹر استعمال کرنے کے لیے:
1. اس ٹیکسٹ ایڈیٹر کو ظاہر کرنے کے لیے Context Help کے بٹن پر کلک کریں۔
© 2014 Synopsys, Inc. 32
LO
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
ایچ ڈی ایل سورس سیٹ اپ کرنا Files
باب 3: ان پٹ کی تیاری
2. جب آپ ونڈو کے بائیں جانب ایک تعمیر کو منتخب کرتے ہیں، تو تعمیر کے لیے آن لائن مدد کی تفصیل ظاہر ہوتی ہے۔ اگر منتخب کنسٹرکٹ میں یہ فیچر فعال ہے، تو آن لائن ہیلپ ٹاپک ونڈو کے اوپر ظاہر ہوتا ہے اور اس تعمیر کے لیے ایک عام کوڈ یا کمانڈ ٹیمپلیٹ نیچے ظاہر ہوتا ہے۔
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 33
باب 3: ان پٹ کی تیاری
ایچ ڈی ایل سورس سیٹ اپ کرنا Files
3. ٹیمپلیٹ داخل کریں بٹن بھی فعال ہے۔ جب آپ ٹیمپلیٹ داخل کریں بٹن پر کلک کرتے ہیں تو، ٹیمپلیٹ ونڈو میں دکھایا گیا کوڈ یا کمانڈ آپ کے file کرسر کے مقام پر۔ یہ آپ کو آسانی سے کوڈ یا کمانڈ داخل کرنے اور اس ڈیزائن کے لیے ترمیم کرنے کی اجازت دیتا ہے جسے آپ ترکیب کرنے جا رہے ہیں۔
4. اگر آپ ٹیمپلیٹ کے صرف حصے کاپی کرنا چاہتے ہیں، تو وہ کوڈ یا کمانڈ منتخب کریں جسے آپ داخل کرنا چاہتے ہیں اور کاپی پر کلک کریں۔ پھر آپ اسے اپنے میں چسپاں کر سکتے ہیں۔ file.
ایچ ڈی ایل ماخذ کی جانچ کر رہا ہے۔ Files
سافٹ ویئر خود بخود آپ کے ایچ ڈی ایل سورس کو چیک کرتا ہے۔ files جب یہ ان کو مرتب کرتا ہے، لیکن اگر آپ ترکیب سے پہلے اپنا سورس کوڈ چیک کرنا چاہتے ہیں تو درج ذیل طریقہ کار کو استعمال کریں۔ ترکیب کے سافٹ ویئر میں آپ دو طرح کے چیک کرتے ہیں: نحو اور ترکیب۔
1. ذریعہ منتخب کریں۔ fileآپ چیک کرنا چاہتے ہیں۔
تمام ماخذ کو چیک کرنے کے لیے fileایک پروجیکٹ میں ہے، سبھی کو غیر منتخب کریں۔ fileمیں s
پروجیکٹ کی فہرست، اور اس بات کو یقینی بنائیں کہ کوئی بھی نہیں۔ files ایک فعال ونڈو میں کھلے ہیں۔ اگر آپ کے پاس ایک فعال ذریعہ ہے۔ file، سافٹ ویئر صرف فعال کو چیک کرتا ہے۔ file.
ایک کو چیک کرنے کے لیے file، کھولیں۔ file کے ساتھ File-> کھولیں یا ڈبل کلک کریں۔
file پروجیکٹ ونڈو میں۔ اگر آپ کے پاس ایک سے زیادہ ہیں۔ file کھولیں اور ان میں سے صرف ایک کو چیک کرنا چاہتے ہیں، اپنے کرسر کو مناسب جگہ پر رکھیں file ونڈو یہ یقینی بنانے کے لیے کہ یہ ایکٹیو ونڈو ہے۔
2. نحو کو چیک کرنے کے لیے، منتخب کریں Run->Syntax Check یا Shift+F7 دبائیں۔
سافٹ ویئر نحو کی غلطیوں کا پتہ لگاتا ہے جیسے کہ غلط کلیدی الفاظ اور اوقاف اور کسی بھی غلطی کی اطلاع الگ لاگ میں دیتا ہے۔ file (syntax.log)۔ اگر کسی غلطی کا پتہ نہیں چلتا ہے، تو اس کے نچلے حصے میں ایک کامیاب نحوی جانچ کی اطلاع دی جاتی ہے۔ file.
3. ترکیب کی جانچ کو چلانے کے لیے، Run->Synthesis Check کو منتخب کریں یا Shift+F8 دبائیں۔
سافٹ ویئر ہارڈ ویئر سے متعلقہ غلطیوں کا پتہ لگاتا ہے جیسے کہ غلط کوڈ کیا گیا ہے۔
فلپ فلاپ کرتا ہے اور الگ لاگ میں کسی بھی غلطی کی اطلاع دیتا ہے۔ file (syntax.log)۔ اگر وہاں
کوئی غلطیاں نہیں ہیں، اس کے نچلے حصے میں ایک کامیاب نحوی جانچ کی اطلاع دی گئی ہے۔
file.
LO
4. ریview syntax.log کھول کر غلطیاں file جب اشارہ کیا جائے اور غلطی کے پیغام کو تلاش کرنے کے لیے Find کا استعمال کریں (@E کے لیے تلاش کریں)۔ پر ڈبل کلک کریں۔
© 2014 Synopsys, Inc. 34
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
ایچ ڈی ایل سورس سیٹ اپ کرنا Files
باب 3: ان پٹ کی تیاری
5-کریکٹر ایرر کوڈ یا میسج ٹیکسٹ پر کلک کریں اور آن لائن ایرر میسج مدد کو ظاہر کرنے کے لیے F1 کو دبائیں۔
5. syntax.log میں پیغام کے متن پر ڈبل کلک کرکے غلطی کے لیے ذمہ دار کوڈ کے حصے کا پتہ لگائیں۔ file. ٹیکسٹ ایڈیٹر ونڈو مناسب ذریعہ کھولتی ہے۔ file اور اس کوڈ کو نمایاں کرتا ہے جس کی وجہ سے خرابی ہوئی۔
6. مراحل 4 اور 5 کو دہرائیں جب تک کہ تمام نحو اور ترکیب کی غلطیاں درست نہ ہوجائیں۔
پیغامات کو غلطیوں، انتباہات، یا نوٹوں کے طور پر درجہ بندی کیا جا سکتا ہے۔ دوبارہview تمام پیغامات اور کسی بھی غلطی کو حل کریں۔ انتباہات غلطیوں سے کم سنگین ہیں، لیکن آپ کو ان کو پڑھنا اور سمجھنا چاہیے، چاہے آپ ان سب کو حل نہ کر لیں۔ نوٹس معلوماتی ہیں اور انہیں حل کرنے کی ضرورت نہیں ہے۔
ایچ ڈی ایل ماخذ میں ترمیم کرنا Files بلٹ ان ٹیکسٹ ایڈیٹر کے ساتھ
بلٹ ان ٹیکسٹ ایڈیٹر آپ کا HDL سورس کوڈ بنانا آسان بناتا ہے، view اسے، یا جب آپ کو غلطیوں کو ٹھیک کرنے کی ضرورت ہو تو اس میں ترمیم کریں۔ اگر آپ ایکسٹرنل ٹیکسٹ ایڈیٹر استعمال کرنا چاہتے ہیں تو صفحہ 41 پر ایکسٹرنل ٹیکسٹ ایڈیٹر کا استعمال دیکھیں۔
1. ذریعہ کھولنے کے لیے درج ذیل میں سے کوئی ایک کریں۔ file کے لیے viewing یا ترمیم:
پہلے خود بخود کھولنے کے لیے file غلطیوں والی فہرست میں، دبائیں F5۔
ایک مخصوص کھولنے کے لئے file، ڈبل کلک کریں file پروجیکٹ ونڈو میں یا
استعمال کریں File-> کھولیں (Ctrl-o) اور ماخذ کی وضاحت کریں۔ file.
ٹیکسٹ ایڈیٹر ونڈو کھلتی ہے اور ماخذ کو ظاہر کرتی ہے۔ file. لائنوں کو نمبر دیا گیا ہے۔ کلیدی الفاظ نیلے اور تبصرے سبز میں ہیں۔ سٹرنگ کی قدریں سرخ رنگ میں ہیں۔ اگر آپ ان رنگوں کو تبدیل کرنا چاہتے ہیں تو، صفحہ 39 پر، سیٹنگ ایڈیٹنگ ونڈو ترجیحات دیکھیں۔
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 35
باب 3: ان پٹ کی تیاری
ایچ ڈی ایل سورس سیٹ اپ کرنا Files
2. ترمیم کرنا a file، براہ راست ونڈو میں ٹائپ کریں۔
یہ جدول عام ترمیمی کارروائیوں کا خلاصہ کرتا ہے جو آپ استعمال کر سکتے ہیں۔ آپ کمانڈز کے بجائے کی بورڈ شارٹ کٹ بھی استعمال کر سکتے ہیں۔
کو…
کرو…
کٹ، کاپی، اور پیسٹ؛ پاپ اپ سے کمانڈ کو منتخب کریں (انڈو کو دبائے رکھیں، یا ماؤس کے دائیں بٹن سے ایکشن کو دوبارہ کریں) یا ترمیم مینو۔
ایک مخصوص لائن پر جائیں۔
Ctrl-g دبائیں یا Edit-> Go To منتخب کریں، لائن نمبر ٹائپ کریں، اور ٹھیک ہے پر کلک کریں۔
متن تلاش کریں۔
Ctrl-f دبائیں یا ترمیم کریں -> تلاش کریں کو منتخب کریں۔ وہ متن ٹائپ کریں جسے آپ ڈھونڈنا چاہتے ہیں، اور ٹھیک ہے پر کلک کریں۔
متن کو تبدیل کریں۔
Ctrl-h دبائیں یا Edit->تبدیل کریں کو منتخب کریں۔ وہ متن ٹائپ کریں جسے آپ ڈھونڈنا چاہتے ہیں، اور وہ متن جس سے آپ اسے تبدیل کرنا چاہتے ہیں۔ ٹھیک ہے پر کلک کریں۔
کلیدی لفظ مکمل کریں۔
مطلوبہ الفاظ کی منفرد شناخت کے لیے کافی حروف ٹائپ کریں، اور Esc دبائیں۔
متن کو دائیں طرف انڈینٹ کریں بلاک کو منتخب کریں، اور ٹیب کو دبائیں۔ بائیں طرف متن کو انڈینٹ کریں LSOبلاک کو منتخب کریں، اور Shift-Tab دبائیں۔
اپر کیس میں تبدیل کریں ٹیکسٹ کو منتخب کریں، اور پھر Edit->Advanced ->Uppercase کو منتخب کریں یا Ctrl-Shift-u کو دبائیں۔
© 2014 Synopsys, Inc. 36
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
ایچ ڈی ایل سورس سیٹ اپ کرنا Files
باب 3: ان پٹ کی تیاری
کرنے کے لیے… لوئر کیس میں تبدیل کریں بلاک تبصرے شامل کریں۔
کالموں میں ترمیم کریں۔
کرو…
متن کو منتخب کریں، اور پھر Edit->Advanced ->Lowercase کو منتخب کریں یا Ctrl-u دبائیں۔
تبصرے کے متن کے شروع میں کرسر رکھیں، اور Edit->Advanced->Comment Code کو منتخب کریں یا Alt-c دبائیں۔
Alt دبائیں، اور کالم کو منتخب کرنے کے لیے بائیں ماؤس کا بٹن استعمال کریں۔ کچھ پلیٹ فارمز پر، آپ کو وہ کلید استعمال کرنی پڑتی ہے جس سے Alt فنکشنلٹی کو میپ کیا جاتا ہے، جیسے میٹا یا ڈائمنڈ کی۔
3. پی ڈی ایف دستاویز کے کسی حصے کو کاٹنے اور پیسٹ کرنے کے لیے، ٹی کے سائز والے ٹیکسٹ سلیکٹ آئیکن کو منتخب کریں، جس متن کی آپ کو ضرورت ہے اسے نمایاں کریں اور اسے کاپی کرکے اپنے میں پیسٹ کریں۔ file. ٹیکسٹ سلیکٹ آئیکن آپ کو دستاویز کے کچھ حصے منتخب کرنے دیتا ہے۔
4. اپنے میں بک مارکس بنانے اور ان کے ساتھ کام کرنے کے لیے file، درج ذیل جدول دیکھیں۔
بک مارکس طویل نیویگیٹ کرنے کا ایک آسان طریقہ ہے۔ files یا کوڈ میں ان پوائنٹس پر جائیں جن کا آپ اکثر حوالہ دیتے ہیں۔ آپ ان آپریشنز کے لیے ایڈیٹ ٹول بار میں آئیکنز استعمال کر سکتے ہیں۔ اگر آپ اپنی ونڈو کے بالکل دائیں طرف ترمیم ٹول بار نہیں دیکھ سکتے ہیں، تو کچھ دوسرے ٹول بار کا سائز تبدیل کریں۔
کرنے کے لیے… ایک بُک مارک داخل کریں۔
بک مارک کو حذف کریں۔
تمام بک مارکس کو حذف کریں۔
کرو…
جس لائن کو آپ بک مارک کرنا چاہتے ہیں اس میں کہیں بھی کلک کریں۔ ترمیم کریں->ٹوگل بک مارکس کو منتخب کریں، Ctrl-F2 دبائیں، یا ترمیم ٹول بار میں پہلا آئیکن منتخب کریں۔ لائن نمبر کو اس بات کی نشاندہی کرنے کے لیے نمایاں کیا گیا ہے کہ اس لائن کے شروع میں ایک بک مارک موجود ہے۔
بک مارک کے ساتھ لائن میں کہیں بھی کلک کریں۔ ترمیم کریں->ٹوگل بک مارکس کو منتخب کریں، Ctrl-F2 دبائیں، یا ترمیم ٹول بار میں پہلا آئیکن منتخب کریں۔ بُک مارک حذف ہونے کے بعد لائن نمبر کو مزید نمایاں نہیں کیا جائے گا۔
ترمیم کریں->تمام بک مارکس کو حذف کریں کو منتخب کریں، Ctrl-Shift-F2 دبائیں، یا ترمیم ٹول بار میں آخری آئیکن کو منتخب کریں۔ بک مارکس کے حذف ہونے کے بعد لائن نمبرز کو مزید نمایاں نہیں کیا جائے گا۔
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 37
باب 3: ان پٹ کی تیاری
ایچ ڈی ایل سورس سیٹ اپ کرنا Files
کو…
نیویگیٹ a file بک مارکس کا استعمال کرتے ہوئے
کرو…
اپنے مطلوبہ بُک مارک پر نیویگیٹ کرنے کے لیے ایڈٹ مینو سے اگلا بک مارک (F2) اور پچھلا بک مارک (Shift-F2) کمانڈز استعمال کریں۔
5. غلطیوں کو ٹھیک کرنا یا دوبارہ کرناview سورس کوڈ میں انتباہات، درج ذیل کام کریں:
ایچ ڈی ایل کھولیں۔ file پر ڈبل کلک کرکے غلطی یا وارننگ کے ساتھ file
منصوبے کی فہرست میں.
میں پہلی غلطی، وارننگ، یا نوٹ پر جانے کے لیے F5 دبائیں۔ file. میں
ایڈیٹنگ ونڈو کے نیچے، آپ کو پیغام کا متن نظر آتا ہے۔
اگلی خرابی، وارننگ، یا نوٹ پر جانے کے لیے، Run->Next Error/Warning کو منتخب کریں۔
یا F5 دبائیں۔ اگر میں مزید پیغامات نہیں ہیں۔ fileآپ کو ایڈیٹنگ ونڈو کے نچلے حصے میں "مزید غلطیاں/انتباہات/نوٹ نہیں" پیغام نظر آتا ہے۔ Run->Next Error/Warning کو منتخب کریں یا اگلی غلطی، وارننگ، یا نوٹ پر جانے کے لیے F5 دبائیں file.
پچھلی غلطی، وارننگ، یا نوٹ پر واپس نیویگیٹ کرنے کے لیے، منتخب کریں۔
چلائیں-> پچھلی خرابی/انتباہ یا Shift-F5 دبائیں۔
6. خرابی، وارننگ، یا نوٹ کی مکمل تفصیل کے لیے ایرر میسج مدد لانے کے لیے:
ٹیکسٹ فارمیٹ لاگ کھولیں۔ file (کلک کریں۔ View لاگ کریں) اور یا تو ڈبل کلک کریں۔
5-کریکٹر ایرر کوڈ یا میسج ٹیکسٹ پر کلک کریں اور F1 دبائیں۔
HTML لاگ کھولیں۔ file اور 5-حروف کے ایرر کوڈ پر کلک کریں۔
Tcl ونڈو میں، پیغامات کے ٹیب پر کلک کریں اور 5-حروف پر کلک کریں۔
ID کالم میں غلطی کا کوڈ۔
7. سورس کوڈ ونڈو سے دوسرے کو کراس پروب کرنے کے لیے views، کھولیں۔ view اور کوڈ کا ٹکڑا منتخب کریں۔ تفصیلات کے لیے صفحہ 246 پر ٹیکسٹ ایڈیٹر ونڈو سے کراس پروبنگ دیکھیں۔
8. جب آپ تمام خرابیاں ٹھیک کر لیں تو منتخب کریں۔ File-> محفوظ کرنے کے لیے محفوظ کریں یا محفوظ کریں آئیکن پر کلک کریں۔ file.
LO
© 2014 Synopsys, Inc. 38
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
ایچ ڈی ایل سورس سیٹ اپ کرنا Files
باب 3: ان پٹ کی تیاری
ونڈو کی ترجیحات میں ترمیم کرنا
آپ ٹیکسٹ ایڈیٹنگ ونڈو میں استعمال ہونے والے فونٹس اور رنگوں کو اپنی مرضی کے مطابق بنا سکتے ہیں۔
1. اختیارات منتخب کریں->ایڈیٹر کے اختیارات اور یا تو Synopsys Editor یا External Editor۔ بیرونی ایڈیٹر کے بارے میں مزید معلومات کے لیے صفحہ 41 پر ایکسٹرنل ٹیکسٹ ایڈیٹر کا استعمال دیکھیں۔
2. پھر کی قسم پر منحصر ہے file آپ کھولتے ہیں، آپ ٹیکسٹ ایڈیٹر کے ساتھ استعمال کرنے کے لیے پس منظر، نحو کا رنگ، اور فونٹ کی ترجیحات سیٹ کر سکتے ہیں۔
نوٹ: اس کے بعد، ٹیکسٹ ایڈیٹنگ کی ترجیحات جو آپ نے اس کے لیے سیٹ کی ہیں۔ file سب پر لاگو ہوگا۔ fileاس کا file قسم
ٹیکسٹ ایڈیٹنگ ونڈو کو پروجیکٹ کے لیے ترجیحات سیٹ کرنے کے لیے استعمال کیا جا سکتا ہے۔ files، ماخذ files (Verilog/VHDL)، لاگ files، Tcl files، رکاوٹ files، یا دیگر ڈیفالٹ files ایڈیٹر کے اختیارات کے ڈائیلاگ باکس سے۔
3. آپ کچھ عام نحوی اختیارات کے لیے نحوی رنگ سیٹ کر سکتے ہیں، جیسے کلیدی الفاظ، تار، اور تبصرے۔ سابق کے لیےampلاگ میں le file، انتباہات اور غلطیوں کو آسانی سے پہچاننے کے لیے رنگین کوڈ کیا جا سکتا ہے۔
رنگ پیلیٹ کو ظاہر کرنے کے لیے سنٹیکس کلرنگ فیلڈ میں متعلقہ آبجیکٹ کے لیے پیش منظر یا پس منظر والے فیلڈ میں کلک کریں۔
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 39
باب 3: ان پٹ کی تیاری
ایچ ڈی ایل سورس سیٹ اپ کرنا Files
آپ بنیادی رنگ منتخب کر سکتے ہیں یا اپنی مرضی کے رنگوں کی وضاحت کر سکتے ہیں اور انہیں اپنی مرضی کے رنگ پیلیٹ میں شامل کر سکتے ہیں۔ اپنا مطلوبہ رنگ منتخب کرنے کے لیے ٹھیک ہے پر کلک کریں۔
4. ٹیکسٹ ایڈیٹر کے لیے فونٹ اور فونٹ سائز سیٹ کرنے کے لیے، پل ڈاؤن مینو استعمال کریں۔
5. ٹیب کی ترتیبات کو فعال کرنے کے لیے کیپ ٹیبز کو چیک کریں، پھر ٹیب سائز کے لیے اوپر یا نیچے کے تیر کا استعمال کرتے ہوئے ٹیب کے درمیان فاصلہ طے کریں۔
LO 6. ایڈیٹر آپشنز فارم پر اوکے پر کلک کریں۔
© 2014 Synopsys, Inc. 40
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
ایچ ڈی ایل سورس سیٹ اپ کرنا Files
باب 3: ان پٹ کی تیاری
ایکسٹرنل ٹیکسٹ ایڈیٹر کا استعمال
آپ بلٹ ان ٹیکسٹ ایڈیٹر کے بجائے بیرونی ٹیکسٹ ایڈیٹر جیسے vi یا emacs استعمال کرسکتے ہیں۔ بیرونی ٹیکسٹ ایڈیٹر کو فعال کرنے کے لیے درج ذیل کام کریں۔ بلٹ ان ٹیکسٹ ایڈیٹر استعمال کرنے کے بارے میں معلومات کے لیے، ایچ ڈی ایل ماخذ میں ترمیم کرنا دیکھیں Fileصفحہ 35 پر بلٹ ان ٹیکسٹ ایڈیٹر کے ساتھ۔
1. اختیارات->ایڈیٹر کے اختیارات منتخب کریں اور ایکسٹرنل ایڈیٹر آپشن کو آن کریں۔
2. اپنے آپریٹنگ سسٹم کے لیے موزوں طریقہ استعمال کرتے ہوئے، بیرونی ایڈیٹر کو منتخب کریں۔
اگر آپ ونڈوز پلیٹ فارم پر کام کر رہے ہیں تو … (براؤز) بٹن پر کلک کریں۔
اور ایکسٹرنل ٹیکسٹ ایڈیٹر کو منتخب کریں۔
UNIX یا Linux پلیٹ فارم سے ایک ٹیکسٹ ایڈیٹر کے لیے جو اپنا خود بناتا ہے۔
ونڈو، … براؤز بٹن پر کلک کریں اور ایکسٹرنل ٹیکسٹ ایڈیٹر کو منتخب کریں۔
کسی ایسے ٹیکسٹ ایڈیٹر کے لیے UNIX پلیٹ فارم سے جو اپنا نہیں بناتا ہے۔
ونڈو، استعمال نہ کریں … براؤز بٹن۔ اس کے بجائے ٹائپ کریں xterm -e ایڈیٹر۔ مندرجہ ذیل اعداد و شمار بیرونی ایڈیٹر کے طور پر مخصوص VI کو ظاہر کرتا ہے۔
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 41
باب 3: ان پٹ کی تیاری
ایچ ڈی ایل سورس سیٹ اپ کرنا Files
لینکس پلیٹ فارم سے، ایسے ٹیکسٹ ایڈیٹر کے لیے جو اپنا نہیں بناتا ہے۔
ونڈو، استعمال نہ کریں … براؤز بٹن۔ اس کے بجائے، gnome-terminal -x ایڈیٹر ٹائپ کریں۔ سابق کے لیے ایماکس استعمال کرناample، gnome-terminal -x emacs ٹائپ کریں۔
سافٹ ویئر کا تجربہ emacs اور vi ٹیکسٹ ایڈیٹرز کے ساتھ کیا گیا ہے۔
3. ٹھیک ہے پر کلک کریں۔
Verilog لائبریری کے لیے لائبریری ایکسٹینشن کا استعمال Files
لائبریری ایکسٹینشن کو Verilog لائبریری میں شامل کیا جا سکتا ہے۔ fileمنصوبے کے لیے آپ کے ڈیزائن میں شامل ہے۔ جب آپ ان ڈائریکٹریوں کو تلاش کے راستے فراہم کرتے ہیں جن میں ویریلوگ لائبریری ہوتی ہے۔ files، آپ ان نئی لائبریری ایکسٹینشنز کے ساتھ ساتھ Verilog اور SystemVerilog (.v اور .sv) کی بھی وضاحت کر سکتے ہیں۔ file ایکسٹینشنز
ایسا کرنے کے لیے:
1. نفاذ کے اختیارات کے پینل کے ویریلاگ ٹیب کو منتخب کریں۔
2. Verilog لائبریری کے لیے لائبریری ڈائریکٹریز کے مقامات کی وضاحت کریں۔ fileمنصوبے کے لیے آپ کے ڈیزائن میں شامل کیا جائے گا۔
3. لائبریری کی توسیعات کی وضاحت کریں۔
کسی بھی لائبریری کی توسیع کی وضاحت کی جا سکتی ہے، جیسے کہ .av, .bv, .cv, .xxx, .va, .vas (اسپیس کے ساتھ لائبریری کی ایکسٹینشن الگ کریں)۔
مندرجہ ذیل تصویر آپ کو دکھاتی ہے کہ ڈائیلاگ باکس پر لائبریری ایکسٹینشن کہاں داخل کرنا ہے۔
© 2014 Synopsys, Inc. 42
LO
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
ایچ ڈی ایل سورس سیٹ اپ کرنا Files
باب 3: ان پٹ کی تیاری
اس سابق کے لیے Tcl مساوی ہے۔ample مندرجہ ذیل کمانڈ ہے:
set_option -libext .av .bv .cv .dv .ev
تفصیلات کے لیے، کمانڈ ریفرنس میں صفحہ 57 پر libext دیکھیں۔
4. ڈیزائن مرتب کرنے کے بعد، آپ لاگ میں تصدیق کر سکتے ہیں۔ file کہ لائبریری files ان ایکسٹینشن کے ساتھ لوڈ اور پڑھے گئے تھے۔ سابق کے لیےampلی:
@N: SystemVerilog موڈ میں Verilog Compiler چل رہا ہے @I::"C:dirtop.v" @N: CG1180 :"C:dirtop.v":8:0:8:3|لوڈ ہو رہا ہے file C:dirlib1sub1.av مخصوص لائبریری ڈائرکٹری سے C:dirlib1 @I::"C:dirlib1sub1.av" @N: CG1180 :"C:dirtop.v":10:0:10:3|لوڈ ہو رہا ہے file C:dirlib2sub2.bv مخصوص لائبریری ڈائرکٹری سے C:dirlib2 @I::"C:dirlib2sub2.bv" @N: CG1180 :"C:dirtop.v":12:0:12:3|لوڈ ہو رہا ہے file
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 43
باب 3: ان پٹ کی تیاری
مخلوط زبان کے ماخذ کا استعمال Files
C:dirlib3sub3.cv مخصوص لائبریری ڈائرکٹری سے C:dirlib3 @I::"C:dirlib3sub3.cv" @N: CG1180 :"C:dirtop.v":14:0:14:3 | file C:dirlib4sub4.dv مخصوص لائبریری ڈائرکٹری سے C:dirlib4 @I::"C:dirlib4sub4.dv" @N: CG1180 :"C:dirtop.v":16:0:16:3 | file C:dirlib5sub5.ev مخصوص لائبریری ڈائرکٹری سے C:dirlib5 @I::"C:dirlib5sub5.ev" Verilog نحو کی جانچ کامیاب!
مخلوط زبان کے ماخذ کا استعمال Files
Synplify Pro سافٹ ویئر کے ساتھ، آپ VHDL اور Verilog ان پٹ کا مرکب استعمال کر سکتے ہیں۔ fileآپ کے منصوبے میں ہے. سابق کے لیےampوی ایچ ڈی ایل اور ویریلوگ کے لیس files، حوالہ دستی دیکھیں۔
1. یاد رکھیں کہ ویریلوگ غیر محدود VHDL بندرگاہوں کی حمایت نہیں کرتا ہے اور مخلوط زبان کے ڈیزائن کو ترتیب دیتا ہے files کے مطابق.
2. اگر آپ Verilog اور VHDL کو منظم کرنا چاہتے ہیں۔ files مختلف فولڈرز میں، آپشنز-> پروجیکٹ کو منتخب کریں۔ View پر اختیارات اور ٹوگل کریں۔ View پروجیکٹ Files فولڈرز آپشن میں۔
جب آپ شامل کریں۔ fileپروجیکٹ، ویریلوگ اور وی ایچ ڈی ایل کے لیے files پروجیکٹ میں الگ الگ فولڈرز میں ہیں۔ view.
3. جب آپ کوئی پروجیکٹ کھولتے ہیں یا نیا بناتے ہیں تو ویریلوگ اور VHDL شامل کریں۔ files مندرجہ ذیل ہے:
پروجیکٹ کو منتخب کریں-> ماخذ شامل کریں۔ File کمانڈ کریں یا شامل کریں پر کلک کریں۔ File بٹن فارم پر، سیٹ Fileایچ ڈی ایل کی قسم Files (*.vhd, *.vhdl, *.v)۔ Verilog اور VHDL کو منتخب کریں۔ fileآپ چاہتے ہیں اور انہیں اپنے میں شامل کریں۔
پروجیکٹ ٹھیک ہے پر کلک کریں۔ شامل کرنے کے بارے میں تفصیلات کے لیے fileکسی پروجیکٹ کے لیے، صفحہ 62 پر پروجیکٹ میں تبدیلیاں کرنا دیکھیں۔
LO
© 2014 Synopsys, Inc. 44
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
مخلوط زبان کے ماخذ کا استعمال Files
باب 3: ان پٹ کی تیاری
دی fileجو آپ نے شامل کیا ہے وہ پروجیکٹ میں ظاہر ہوتا ہے۔ view. یہ اعداد و شمار ظاہر کرتا ہے files کو الگ الگ فولڈر میں ترتیب دیا گیا ہے۔
4. جب آپ ڈیوائس کے اختیارات (عمل درآمد کے اختیارات بٹن) سیٹ کرتے ہیں، تو اعلیٰ سطح کے ماڈیول کی وضاحت کریں۔ ڈیوائس کے اختیارات کو ترتیب دینے کے بارے میں مزید معلومات کے لیے، صفحہ 75 پر منطقی ترکیب کے نفاذ کے اختیارات کو ترتیب دینا دیکھیں۔
اگر ٹاپ لیول ماڈیول Verilog ہے، تو Verilog ٹیب پر کلک کریں اور ٹائپ کریں۔
ٹاپ لیول ماڈیول کا نام۔
اگر ٹاپ لیول ماڈیول VHDL ہے تو VHDL ٹیب پر کلک کریں اور نام ٹائپ کریں۔
اعلی درجے کی ہستی کا۔ اگر اعلیٰ سطح کا ماڈیول پہلے سے طے شدہ ورک لائبریری میں موجود نہیں ہے، تو آپ کو لائبریری کی وضاحت کرنی چاہیے جہاں مرتب کرنے والا ماڈیول تلاش کر سکتا ہے۔ ایسا کرنے کے طریقہ کے بارے میں معلومات کے لیے، صفحہ 200 پر VHDL پینل دیکھیں۔
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 45
باب 3: ان پٹ کی تیاری
مخلوط زبان کے ماخذ کا استعمال Files
آپ کو واضح طور پر ٹاپ لیول ماڈیول کی وضاحت کرنی چاہیے، کیونکہ یہ وہ نقطہ آغاز ہے جہاں سے میپر ضم شدہ نیٹ لسٹ تیار کرتا ہے۔
5. اسی فارم پر نفاذ کے نتائج کے ٹیب کو منتخب کریں اور آؤٹ پٹ کے لیے ایک آؤٹ پٹ HDL فارمیٹ منتخب کریں۔ files سافٹ ویئر کے ذریعہ تیار کیا گیا ہے۔ ڈیوائس کے اختیارات کو ترتیب دینے کے بارے میں مزید معلومات کے لیے، صفحہ 75 پر منطقی ترکیب کے نفاذ کے اختیارات کو ترتیب دینا دیکھیں۔
Verilog آؤٹ پٹ نیٹ لسٹ کے لیے، Write Verilog Netlist کو منتخب کریں۔ VHDL آؤٹ پٹ نیٹ لسٹ کے لیے، VHDL نیٹ لسٹ لکھیں کو منتخب کریں۔ ڈیوائس کے دیگر اختیارات سیٹ کریں اور ٹھیک ہے پر کلک کریں۔
اب آپ اپنے ڈیزائن کی ترکیب کر سکتے ہیں۔ سافٹ ویئر سورس کے مخلوط فارمیٹس میں پڑھتا ہے۔ files اور ایک سنگل ایس آر ایس تیار کرتا ہے۔ file جو کہ ترکیب کے لیے استعمال ہوتا ہے۔
6. اگر آپ کو مسائل درپیش ہیں، تو اضافی معلومات اور تجاویز کے لیے صفحہ 47 پر مخلوط زبان کے ڈیزائن کا ٹربل شوٹنگ دیکھیں۔
LO
© 2014 Synopsys, Inc. 46
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
مخلوط زبان کے ماخذ کا استعمال Files
باب 3: ان پٹ کی تیاری
مخلوط زبان کے ڈیزائنوں کا ازالہ کرنا
یہ سیکشن مخصوص حالات سے نمٹنے کے لیے تجاویز فراہم کرتا ہے جو مخلوط زبان کے ڈیزائن کے ساتھ سامنے آسکتے ہیں۔
وی ایچ ڈی ایل File آرڈر
صرف VHDL کے ڈیزائن یا مخلوط ڈیزائن کے لیے جہاں اوپر کی سطح کی وضاحت نہیں کی گئی ہے، FPGA ترکیب کے اوزار خود بخود VHDL کو دوبارہ ترتیب دیتے ہیں۔ files تاکہ VHDL پیکجوں کو صحیح ترتیب میں مرتب کیا جائے۔
تاہم، اگر آپ کے پاس مخلوط زبان کا ڈیزائن ہے جہاں آپ نے اوپر کی سطح کی وضاحت کی ہے، تو آپ کو VHDL کی وضاحت کرنی ہوگی۔ file آلے کے لئے آرڈر کریں. آپ کو یہ صرف ایک بار کرنے کی ضرورت ہے، Run->Arrange VHDL کو منتخب کرکے files حکم. اگر آپ ایسا نہیں کرتے ہیں تو آپ کو ایک ایرر میسج ملے گا۔
وی ایچ ڈی ایل گلوبل سگنلز
فی الحال، آپ کے پاس مخلوط زبان کے ڈیزائن میں VHDL گلوبل سگنلز نہیں ہو سکتے، کیونکہ ٹول ان سگنلز کو صرف VHDL-صرف ڈیزائنوں میں لاگو کرتا ہے۔
ویریلوگ پیرامیٹرز میں VHDL بولین جنرکس کو منتقل کرنا
یہ ٹول بولین جنرکس کے ساتھ VHDL جزو کے لیے ایک بلیک باکس کا اندازہ لگاتا ہے، اگر اس جزو کو ویریلوگ ڈیزائن میں فوری بنایا گیا ہو۔ اس کی وجہ یہ ہے کہ ویریلوگ بولین ڈیٹا کی اقسام کو نہیں پہچانتا، اس لیے بولین ویلیو کو صحیح طریقے سے پیش کیا جانا چاہیے۔ اگر VHDL Boolean generic کی قدر TRUE ہے اور Verilog لٹریل کو 1 سے ظاہر کیا جاتا ہے، تو Verilog مرتب کرنے والا اسے بلیک باکس سے تعبیر کرتا ہے۔
بلیک باکس کا اندازہ لگانے سے بچنے کے لیے، VHDL بولین جنرک کے لیے Verilog کا لٹریل TRUE پر 1'b1 ہونا چاہیے، 1 نہیں، اسی طرح، اگر VHDL بولین جنرک FALSE ہے، تو متعلقہ Verilog لٹریل 1'b0 ہونا چاہیے، 0 نہیں۔ درج ذیل سابقہample دکھاتا ہے کہ بولین جنرکس کی نمائندگی کیسے کی جائے تاکہ وہ بلیک باکس کا اندازہ لگائے بغیر VHDL-Verilog باؤنڈری کو صحیح طریقے سے پاس کریں۔
VHDL ہستی کا اعلان
ویریلوگ انسٹیٹیوشن
ہستی abc عام ہے (
نمبر_بٹس تقسیم_بٹ؛
: integer : boolean
:= 0; := جھوٹا؛
abc #( .Number_Bits (16), .Divide_Bit (1'b0)
)
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 47
باب 3: ان پٹ کی تیاری
مخلوط زبان کے ماخذ کا استعمال Files
بلیک باکس کا اندازہ لگائے بغیر VHDL جنرکس پاس کرنا
اس صورت میں جہاں ایک ویریلوگ جزو پیرامیٹر، (مثال کے طور پرample [0:0] RSR = 1'b0) متعلقہ VHDL جزو کے سائز سے مماثل نہیں ہے (RSR: integer := 0)، ٹول بلیک باکس کی نشاندہی کرتا ہے۔
آپ Verilog میں [0:0] کی بس چوڑائی کے اشارے کو ہٹا کر اس کے ارد گرد کام کر سکتے ہیں۔ files نوٹ کریں کہ آپ کو VHDL جنرک ٹائپ انٹیجر کا استعمال کرنا چاہیے کیونکہ دوسری قسمیں Verilog جزو کے مناسب پابند ہونے کی اجازت نہیں دیتی ہیں۔
© 2014 Synopsys, Inc. 48
LO
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
انکریمنٹل کمپائلر کا استعمال
باب 3: ان پٹ کی تیاری
انکریمنٹل کمپائلر کا استعمال
بڑے ڈیزائن کے لیے کمپائلر رن ٹائم کو نمایاں طور پر کم کرنے کے لیے انکریمنٹل کمپائلر فلو کا استعمال کریں۔ سافٹ ویئر صرف متعلقہ کو دوبارہ مرتب کرتا ہے۔ files جب ڈیزائن میں تبدیلی کی جاتی ہے اور کمپائلر ڈیٹا بیس کو دوبارہ استعمال کرتا ہے۔ کمپائلر SRS کو دوبارہ تخلیق کرتا ہے۔ file صرف متاثرہ ماڈیول اور فوری پیرنٹ ماڈیول کے لیے۔
اس بہاؤ کو چلانے کے لیے، درج ذیل کام کریں:
1. Verilog یا VHDL شامل کریں۔ fileڈیزائن کے لئے s.
2. نفاذ کے اختیارات کے پینل کے ویریلوگ یا VHDL ٹیب سے انکریمنٹل کمپائل آپشن کو فعال کریں۔
ایک ایس آر ایس file synwork ڈائرکٹری میں ہر ڈیزائن ماڈیول کے لیے بنایا گیا ہے۔
3. پہلی بار کمپائلر چلائیں۔
4. اگر ڈیزائن میں تبدیلی کی گئی ہے، تو کمپائلر کو دوبارہ چلائیں۔
مرتب کرنے والا ڈیٹا بیس کا تجزیہ کرتا ہے اور تعین کرتا ہے کہ آیا SRS files اپ ٹو ڈیٹ ہیں، پھر صرف وہ ماڈیول جو بدل گئے ہیں اور فوری پیرنٹ ماڈیول دوبارہ تخلیق کیے گئے ہیں۔ اس سے ڈیزائن کے رن ٹائم کو بہتر بنانے میں مدد مل سکتی ہے۔
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 49
باب 3: ان پٹ کی تیاری
انکریمنٹل کمپائلر کا استعمال
حدود
انکریمنٹل کمپائلر سپورٹ نہیں کرتا ہے:
· کنفیگریشن fileویریلوگ یا وی ایچ ڈی ایل کے بہاؤ میں شامل ہیں · مخلوط ایچ ڈی ایل بہاؤ · کراس ماڈیول ریفرنسنگ (XMR) کے ساتھ ڈیزائن
© 2014 Synopsys, Inc. 50
LO
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
سٹرکچرل ویریلوگ فلو کا استعمال
باب 3: ان پٹ کی تیاری
سٹرکچرل ویریلوگ فلو کا استعمال
ترکیب کا آلہ ساختی ویریلوگ کو قبول کرتا ہے۔ fileآپ کے ڈیزائن پروجیکٹ کے لیے بطور ان پٹ۔ ساختی ویریلوگ کمپائلر رن ٹائم کو بہتر بنانے کے لیے اپنے ہلکے وزن والے پارسر کا استعمال کرتے ہوئے نحوی سیمنٹک چیک کرتا ہے۔ یہ کمپائلر پیچیدہ ہارڈویئر نکالنے یا RTL آپٹیمائزیشن آپریشنز نہیں کرتا ہے، اس لیے سافٹ ویئر ساختی ویریلوگ کی تیزی سے تالیف کرتا ہے۔ files سافٹ ویئر ان تیار کردہ ساختی ویریلوگ کو پڑھ سکتا ہے۔ files، اگر ان میں شامل ہوں:
· ٹیکنالوجی کے ابتدائی مراحل
· سادہ تفویض بیانات
· Verilog 2001 اور پرانے فارمیٹس میں متعین کردہ خصوصیات
· تمام تعمیرات، سوائے صفات کے ویریلوگ 95 فارمیٹ میں بیان کی جانی چاہیے۔
ساختی ویریلوگ ان پٹ استعمال کرنے کے لیے files:
1. آپ کو ساختی Verilog کی وضاحت کرنی ہوگی۔ fileآپ کے ڈیزائن میں شامل کرنا ہے۔ ایسا کرنے کے لئے، شامل کریں file مندرجہ ذیل طریقوں میں سے ایک کا استعمال کرتے ہوئے پروجیکٹ کے لیے:
پروجیکٹ-> ماخذ شامل کریں۔ File یا شامل کریں File پروجیکٹ میں بٹن view Tcl کمانڈ: add_file -سٹرکچر fileنام
یہ بہاؤ صرف ساختی ویریلوگ پر مشتمل ہو سکتا ہے۔ files یا مخلوط ایچ ڈی ایل files (Verilog/VHDL/EDF/SRS) ساختی ویریلوگ نیٹ لسٹ کے ساتھ files تاہم، Verilog/VHDL/EDF/SRS مثالیں ساختی Verilog ماڈیول کے اندر تعاون یافتہ نہیں ہیں۔
2. ساختی ویریلوگ files کو پروجیکٹ میں سٹرکچرل ویریلوگ فولڈر میں شامل کیا جاتا ہے۔ view. آپ بھی شامل کر سکتے ہیں۔ files اس ڈائریکٹری میں، جب آپ درج ذیل کام انجام دیتے ہیں:
ساختی ویریلوگ کو منتخب کریں۔ file. دائیں کلک کریں اور منتخب کریں۔ File اختیارات۔ سے سٹرکچرل ویریلوگ کا انتخاب کریں۔ File ڈراپ ڈاؤن مینو ٹائپ کریں۔
3. ترکیب چلائیں۔
ترکیب کا آلہ vm یا edf نیٹ لسٹ تیار کرتا ہے۔ file مخصوص ٹیکنالوجی پر منحصر ہے. یہ عمل پہلے سے طے شدہ ترکیب کے بہاؤ کی طرح ہے۔
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 51
باب 3: ان پٹ کی تیاری
سٹرکچرل ویریلوگ فلو کا استعمال
حدود
ساختی ویریلوگ بہاؤ کی حدود درج ذیل کی حمایت نہیں کرتی ہیں۔
کسی دوسرے کے لیے RTL مثالیں۔ file اقسام · درجہ بندی پراجیکٹ مینجمنٹ (HPM) بہاؤ · پیچیدہ اسائنمنٹس · مرتب کرنے والے مخصوص طریقوں اور سوئچز
© 2014 Synopsys, Inc. 52
LO
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
پابندی کے ساتھ کام کرنا Files
باب 3: ان پٹ کی تیاری
پابندی کے ساتھ کام کرنا Files
پابندی files متن ہیں۔ files جو SCOPE انٹرفیس کے ذریعہ خود بخود تیار ہوتے ہیں (صفحہ 119 پر SCOPE رکاوٹوں کی وضاحت کریں) یا جسے آپ ٹیکسٹ ایڈیٹر کے ساتھ دستی طور پر تخلیق کرتے ہیں۔ ان میں Tcl کمانڈز یا اوصاف ہوتے ہیں جو ترکیب کو چلانے کو روکتے ہیں۔ متبادل طور پر، آپ سورس کوڈ میں رکاوٹیں لگا سکتے ہیں، لیکن یہ ترجیحی طریقہ نہیں ہے۔
یہ سیکشن کے بارے میں معلومات پر مشتمل ہے
· پابندی کا استعمال کب کرنا ہے۔ Fileصفحہ 53 پر سورس کوڈ کے اوپر
پابندی کے لیے ٹیکسٹ ایڈیٹر کا استعمال Files (وراثت)، صفحہ 54 پر
پابندی کے لیے Tcl نحوی رہنما خطوط Files، صفحہ 55 پر
· پابندی کی جانچ کرنا Files، صفحہ 56 پر
· اس رپورٹ کی تفصیلات کے لیے، Constraint Checking Report، آن دیکھیں
حوالہ دستی کا صفحہ 270، صفحہ 56 پر
پابندی کا استعمال کب کرنا ہے۔ Fileسورس کوڈ سے زیادہ
آپ رکاوٹوں میں رکاوٹیں شامل کرسکتے ہیں۔ files (SCOPE انٹرفیس کے ذریعہ تیار کردہ یا ٹیکسٹ ایڈیٹر میں داخل کیا گیا) یا سورس کوڈ میں۔ عام طور پر، یہ رکاوٹ کا استعمال کرنے کے لئے بہتر ہے files، کیونکہ آپ کو رکاوٹوں کے اثر انداز ہونے کے لیے دوبارہ کمپائل کرنے کی ضرورت نہیں ہے۔ یہ آپ کے سورس کوڈ کو مزید پورٹیبل بھی بناتا ہے۔ مزید معلومات کے لیے صفحہ 112 پر SCOPE ایڈیٹر کا استعمال دیکھیں۔
تاہم، اگر آپ کے پاس بلیک باکس ٹائمنگ کی پابندیاں ہیں جیسے syn_tco، syn_tpd، اور syn_tsu، آپ کو انہیں سورس کوڈ میں ہدایت کے طور پر درج کرنا چاہیے۔ اوصاف کے برعکس، ہدایات کو صرف سورس کوڈ میں شامل کیا جا سکتا ہے، رکاوٹ کے لیے نہیں۔ files ماخذ کوڈ میں ہدایات شامل کرنے کے بارے میں مزید معلومات کے لیے صفحہ 90 پر صفات اور ہدایات کی وضاحت دیکھیں۔
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 53
باب 3: ان پٹ کی تیاری
پابندی کے ساتھ کام کرنا Files
پابندی کے لیے ٹیکسٹ ایڈیٹر کا استعمال Files (وراثت)
آپ SDC رکاوٹ کے لیے Legacy SCOPE ایڈیٹر استعمال کر سکتے ہیں۔ files ریلیز ورژن G-2012.09 سے پہلے بنایا گیا تھا۔ تاہم، یہ تجویز کیا جاتا ہے کہ آپ اپنے SDC کا ترجمہ کریں۔ fileایف ڈی سی کو fileSCOPE ایڈیٹر کے تازہ ترین ورژن کو فعال کرنے اور ٹول میں بہتر ٹائمنگ رکاوٹ کو استعمال کرنے کے لیے۔
اگر آپ لیگیسی SCOPE ایڈیٹر کو استعمال کرنے کا انتخاب کرتے ہیں، تو یہ سیکشن آپ کو دکھاتا ہے کہ کس طرح دستی طور پر ایک Tcl رکاوٹ بنانا ہے۔ file. سافٹ ویئر خود بخود اسے بناتا ہے۔ file اگر آپ رکاوٹیں داخل کرنے کے لیے لیگیسی SCOPE ایڈیٹر استعمال کرتے ہیں۔ ٹی سی ایل کی پابندی file صرف عام وقت کی پابندیوں پر مشتمل ہے۔ بلیک باکس کی رکاوٹوں کو سورس کوڈ میں درج کرنا ضروری ہے۔ اضافی معلومات کے لیے، کب استعمال کرنا ہے پابندی دیکھیں Fileصفحہ 53 پر سورس کوڈ کے اوپر۔
1. کھولیں a file ترمیم کے ل.
یقینی بنائیں کہ آپ نے SCOPE ونڈو کو بند کر دیا ہے، یا آپ کر سکتے ہیں۔
پچھلی رکاوٹوں کو اوور رائٹ کریں۔
ایک نیا بنانے کے لیے file، منتخب کریں۔ File-> نیا، اور رکاوٹ کو منتخب کریں۔ File
(SCOPE) آپشن۔ کے لیے ایک نام ٹائپ کریں۔ file اور OK پر کلک کریں۔
موجودہ میں ترمیم کرنے کے لیے file، منتخب کریں۔ File-> کھولیں، سیٹ کریں۔ Files کی قسم فلٹر پر
پابندی Files (sdc) اور کھولیں۔ file آپ چاہتے ہیں.
2. Tcl Syntax Guidelines for Constraint میں نحوی رہنما خطوط پر عمل کریں۔ Files، صفحہ 55 پر۔
3. آپ کو مطلوبہ وقت کی پابندیاں درج کریں۔ نحو کے لیے، حوالہ دستی دیکھیں۔ اگر آپ کے پاس بلیک باکس ٹائمنگ کی پابندیاں ہیں، تو آپ کو انہیں سورس کوڈ میں درج کرنا ہوگا۔
4. آپ رکاوٹ میں وینڈر کی مخصوص خصوصیات بھی شامل کر سکتے ہیں۔ file define_attribute کا استعمال کرتے ہوئے محدودیت میں صفات کی وضاحت دیکھیں Fileمزید معلومات کے لیے صفحہ 97 پر۔
5. محفوظ کریں file.
6. شامل کریں۔ file پروجیکٹ کے لیے جیسا کہ صفحہ 62 پر پروجیکٹ میں تبدیلیاں کرنا میں بیان کیا گیا ہے، اور ترکیب چلائیں۔
LO
© 2014 Synopsys, Inc. 54
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
پابندی کے ساتھ کام کرنا Files
باب 3: ان پٹ کی تیاری
پابندی کے لیے Tcl نحوی رہنما خطوط Files
یہ سیکشن رکاوٹ کے لیے Tcl استعمال کرنے کے لیے عمومی رہنما خطوط کا احاطہ کرتا ہے۔ files:
ٹی سی ایل کیس حساس ہے۔
اشیاء کے نام رکھنے کے لیے: آبجیکٹ کا نام HDL کوڈ کے نام سے مماثل ہونا چاہیے۔ سی کے اندر مثال اور پورٹ کے نام منسلک کریں۔urly منحنی خطوط وحدانی { }۔ ناموں میں خالی جگہیں استعمال نہ کریں۔ درجہ بندی کے ناموں کو الگ کرنے کے لیے ڈاٹ (.) کا استعمال کریں۔ ویریلوگ ماڈیولز میں، مثال کے طور پر درج ذیل نحو کا استعمال کریں، پورٹ، اور
خالص نام:
v: سیل [سابقہ:] آبجیکٹ کا نام
جہاں سیل ڈیزائن ہستی کا نام ہے، پریفکس ایک ہی نام والی اشیاء کی شناخت کے لیے ایک سابقہ ہے، آبجیکٹ نام ڈاٹ (.) الگ کرنے والے کے ساتھ ایک مثالی راستہ ہے۔ سابقہ درج ذیل میں سے کوئی بھی ہو سکتا ہے:
سابقہ (لوئر کیس) i: p: b: n:
آبجیکٹ مثال کے نام پورٹ کے نام (پوری بندرگاہ) پورٹ نیٹ ناموں کا بٹ سلائس
VHDL ماڈیولز میں، مثال کے طور پر، پورٹ، اور نیٹ کے لیے درج ذیل نحو کا استعمال کریں۔
VHDL ماڈیولز میں نام:
v: سیل [.view] [سابقہ:] آبجیکٹ کا نام
جہاں v: اس کی شناخت a کے طور پر کرتا ہے۔ view اعتراض، lib لائبریری کا نام ہے، سیل ڈیزائن ہستی کا نام ہے، view آرکیٹیکچر کا ایک نام ہے، سابقہ ایک ہی نام والی اشیاء کی شناخت کے لیے ایک سابقہ ہے، اور آبجیکٹ نام ڈاٹ (.) الگ کرنے والے کے ساتھ ایک مثالی راستہ ہے۔ View صرف اس صورت میں ضرورت ہے جب ڈیزائن کے لیے ایک سے زیادہ فن تعمیر ہو۔ اشیاء کے سابقے کے لیے اوپر دی گئی جدول کو دیکھیں۔
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 55
باب 3: ان پٹ کی تیاری
پابندی کے ساتھ کام کرنا Files
· نام سے مماثل وائلڈ کارڈز ہیں * (نجمہ کسی بھی تعداد سے میل کھاتا ہے۔
حروف) اور؟ (سوال کا نشان ایک حرف سے ملتا ہے)۔ یہ حروف ان نقطوں سے مماثل نہیں ہیں جو درجہ بندی سے الگ کرنے والے کے طور پر استعمال ہوتے ہیں۔ سابق کے لیےample، درج ذیل سٹرنگ statemod ماڈیول میں statereg مثال کے تمام بٹس کی شناخت کرتی ہے:
i:statemod.statereg[*]
پابندی کی جانچ ہو رہی ہے۔ Files
آپ اپنی رکاوٹ پر نحو اور دیگر متعلقہ معلومات چیک کر سکتے ہیں۔ files Constraint Check کمانڈ کا استعمال کرتے ہوئے. رکاوٹ کی رپورٹ بنانے کے لیے، درج ذیل کام کریں:
1. ایک رکاوٹ بنائیں file اور اسے اپنے پروجیکٹ میں شامل کریں۔
2. منتخب کریں Run-> Constraint Check۔
یہ کمانڈ ایک رپورٹ تیار کرتی ہے جو FPGA ترکیب کی رکاوٹ میں وقت کی رکاوٹوں کے نحو اور قابل اطلاق کی جانچ کرتی ہے۔ files آپ کے منصوبے کے لیے۔ رپورٹ پروجیکٹName_cck.rpt پر لکھی گئی ہے۔ file اور درج ذیل معلومات کی فہرست دیتا ہے:
پابندیاں جو لاگو نہیں ہوتی ہیں وہ پابندیاں جو درست اور ڈیزائن پر لاگو ہوتی ہیں رکاوٹوں پر وائلڈ کارڈ کی توسیع ایسی اشیاء پر پابندیاں جو موجود نہیں ہیں
اس رپورٹ کی تفصیلات کے لیے حوالہ جات کے صفحہ 270 پر کنسٹرائنٹ چیکنگ رپورٹ دیکھیں۔
© 2014 Synopsys, Inc. 56
LO
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
باب 4
منطق کی ترکیب کا منصوبہ ترتیب دینا
جب آپ Synopsys FPGA سنتھیسز ٹولز کے ساتھ کسی ڈیزائن کی ترکیب کرتے ہیں، تو آپ کو اپنے ڈیزائن کے لیے ایک پروجیکٹ ترتیب دینا چاہیے۔ منطق کی ترکیب کے لیے پروجیکٹ ترتیب دینے کے طریقہ کار کو درج ذیل میں بیان کیا گیا ہے۔
پروجیکٹ کی ترتیب Files، صفحہ 58 پر · پروجیکٹ کا انتظام کرنا File درجہ بندی، صفحہ 66 پر؛ عمل درآمد ترتیب دینا، صفحہ 72 پر؛ منطقی ترکیب کے نفاذ کے اختیارات کا تعین، صفحہ 75 پر؛ صفات اور ہدایات کی وضاحت، صفحہ 90 پر؛ تلاش کرنا Files، صفحہ 98 پر · آرکائیونگ Files اور پروجیکٹس، صفحہ 101 پر
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 57
باب 4: منطقی ترکیب کا منصوبہ ترتیب دینا
پروجیکٹ ترتیب دینا Files
پروجیکٹ ترتیب دینا Files
یہ سیکشن ایک پروجیکٹ کو ترتیب دینے اور اس کا نظم کرنے کے طریقے کی بنیادی باتیں بیان کرتا ہے۔ file آپ کے ڈیزائن کے لیے، بشمول درج ذیل معلومات:
· ایک پروجیکٹ بنانا File, صفحہ 58 پر · ایک موجودہ پروجیکٹ کو کھولنا Fileصفحہ 61 پر · پروجیکٹ میں تبدیلیاں کرنا، صفحہ 62 پر · پروجیکٹ کی ترتیب View صفحہ 63 پر ترجیحات دکھائیں · پرانے پروجیکٹ میں ویری لاگ کو اپ ڈیٹ کرنا Files، صفحہ 65 پر
ایک مخصوص سابق کے لیےampایک پروجیکٹ قائم کرنے پر fileآپ جو ٹول استعمال کر رہے ہیں اس کے لیے ٹیوٹوریل سے رجوع کریں۔
ایک پروجیکٹ بنانا File
آپ کو ایک پروجیکٹ ترتیب دینا ہوگا۔ file ہر منصوبے کے لئے. ایک پروجیکٹ میں کسی خاص ڈیزائن کے لیے درکار ڈیٹا ہوتا ہے: سورس کی فہرست files، ترکیب کے نتائج file، اور آپ کے آلے کے اختیار کی ترتیبات۔ مندرجہ ذیل طریقہ کار آپ کو دکھاتا ہے کہ پروجیکٹ کیسے ترتیب دیا جائے۔ file انفرادی احکامات کا استعمال کرتے ہوئے.
1. درج ذیل میں سے ایک کو منتخب کرکے شروع کریں: File-> پروجیکٹ بنائیں، File-> پروجیکٹ کھولیں، یا پی آئیکن۔ نیا پروجیکٹ پر کلک کریں۔
پروجیکٹ ونڈو ایک نیا پروجیکٹ دکھاتی ہے۔ شامل کریں پر کلک کریں۔ File بٹن، F4 دبائیں، یا پروجیکٹ-> ماخذ شامل کریں کو منتخب کریں۔ File حکم شامل کریں Files سے پروجیکٹ کا ڈائیلاگ باکس کھلتا ہے۔
2. ماخذ شامل کریں۔ files منصوبے کے لئے.
یقینی بنائیں کہ فارم کے اوپری حصے میں دیکھیں فیلڈ دائیں طرف اشارہ کرتا ہے۔
ڈائریکٹری دی files باکس میں درج ہیں۔ اگر آپ نہیں دیکھتے ہیں files، چیک کریں کہ Fileصحیح ظاہر کرنے کے لیے ٹائپ فیلڈ کا s سیٹ کیا گیا ہے۔ file قسم اگر آپ کے پاس مخلوط ان پٹ ہے۔ files، مخلوط زبان کے ماخذ کے استعمال میں بیان کردہ طریقہ کار پر عمل کریں۔ Files، صفحہ 44 پر۔
LO
© 2014 Synopsys, Inc. 58
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
پروجیکٹ ترتیب دینا Files
باب 4: منطقی ترکیب کا منصوبہ ترتیب دینا
تمام شامل کرنے کے لیے fileڈائرکٹری میں ایک بار میں، تمام شامل کریں بٹن پر کلک کریں
فارم کے دائیں طرف. شامل کرنا fileانفرادی طور پر، پر کلک کریں file فہرست میں اور پھر شامل کریں بٹن پر کلک کریں، یا ڈبل کلک کریں۔ file نام
آپ تمام شامل کر سکتے ہیں۔ files کو ڈائرکٹری میں دبائیں اور پھر ہٹائیں بٹن کے ساتھ ان کو ہٹا دیں جن کی آپ کو ضرورت نہیں ہے۔
اگر آپ VHDL شامل کر رہے ہیں۔ files، VHDL لائبریری پاپ اپ مینو سے مناسب لائبریری کا انتخاب کریں۔ آپ کی منتخب کردہ لائبریری تمام VHDL پر لاگو ہوتی ہے۔ files جب آپ ڈائیلاگ باکس میں OK پر کلک کرتے ہیں۔
آپ کی پروجیکٹ ونڈو ایک نیا پروجیکٹ دکھاتی ہے۔ file. اگر آپ پروجیکٹ کے ساتھ والے پلس سائن پر کلک کرتے ہیں اور اسے پھیلاتے ہیں، تو آپ کو درج ذیل نظر آتا ہے:
ماخذ کے ساتھ ایک فولڈر (ملی زبان کے ڈیزائن کے لیے دو فولڈر) files.
اگر آپ کی files پروجیکٹ ڈائرکٹری کے تحت کسی فولڈر میں نہیں ہیں، آپ اس ترجیح کو آپشنز-> پروجیکٹ کو منتخب کرکے سیٹ کرسکتے ہیں۔ View اختیارات اور جانچ پڑتال View پروجیکٹ files فولڈر باکس میں۔ یہ ایک قسم کو الگ کرتا ہے۔ file پروجیکٹ میں دوسرے سے view انہیں الگ الگ فولڈر میں ڈال کر۔
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 59
باب 4: منطقی ترکیب کا منصوبہ ترتیب دینا
پروجیکٹ ترتیب دینا Files
نفاذ، جس کا نام rev_1 ڈیفالٹ ہے۔ نفاذ ہیں۔
ترکیب سوفٹ ویئر کے سیاق و سباق کے اندر آپ کے ڈیزائن پر نظرثانی کریں، اور بیرونی سورس کوڈ کنٹرول سافٹ ویئر اور عمل کو تبدیل نہ کریں۔ متعدد نفاذ آپ کو ڈیزائن کے اختیارات کو دریافت کرنے کے لیے ڈیوائس اور ترکیب کے اختیارات میں ترمیم کرنے دیتے ہیں۔ آپ Synplify Pro میں متعدد نفاذ کر سکتے ہیں۔ ہر نفاذ کی اپنی ترکیب اور ڈیوائس کے اختیارات ہوتے ہیں اور اس کے اپنے پروجیکٹ سے متعلق ہوتے ہیں۔ files.
3. ویریلوگ یا وی ایچ ڈی ایل لائبریری کو شامل کرنے کے لیے پچھلے مرحلے میں بیان کردہ طریقہ کا استعمال کرتے ہوئے اپنی ضرورت کی کوئی بھی لائبریری شامل کریں۔ file.
وینڈر کے لیے مخصوص لائبریریوں کے لیے، مناسب لائبریری شامل کریں۔ file کو
پروجیکٹ نوٹ کریں کہ کچھ خاندانوں کے لیے، لائبریریاں خود بخود لوڈ ہو جاتی ہیں اور آپ کو انہیں واضح طور پر پروجیکٹ میں شامل کرنے کی ضرورت نہیں ہے۔ file.
تھرڈ پارٹی وی ایچ ڈی ایل پیکج لائبریری شامل کرنے کے لیے، مناسب .vhd شامل کریں۔ file ڈیزائن پر، جیسا کہ مرحلہ 2 میں بیان کیا گیا ہے۔ دائیں کلک کریں۔ file پروجیکٹ میں view اور منتخب کریں File اختیارات، یا پروجیکٹ-> VHDL لائبریری سیٹ کریں کو منتخب کریں۔ ایک لائبریری کا نام بتائیں جو سمیلیٹرز کے ساتھ مطابقت رکھتا ہو۔ سابق کے لیےample، MYLIB. یقینی بنائیں کہ یہ پیکیج لائبریری فہرست میں ٹاپ لیول ڈیزائن سے پہلے ہے۔ fileپروجیکٹ میں s view.
ویریلوگ اور وی ایچ ڈی ایل کی ترتیب کے بارے میں معلومات کے لیے file آپشنز، صفحہ 84 پر سیٹنگ ویریلوگ اور وی ایچ ڈی ایل آپشنز دیکھیں۔ آپ ان کو بھی سیٹ کر سکتے ہیں۔ file بعد میں اختیارات، ترکیب چلانے سے پہلے۔
وینڈر میکرو لائبریریوں اور بلیک بلو آکس کے استعمال کے بارے میں اضافی وینڈر مخصوص معلومات کے لیے، صفحہ 487 پر مائیکروسیمی ڈیزائنز کے لیے آپٹمائزنگ دیکھیں۔
عام ٹیکنالوجی کے اجزاء کے لیے، آپ یا تو شامل کر سکتے ہیں۔
سافٹ ویئر کے ساتھ فراہم کردہ ٹیکنالوجی سے آزاد Verilog لائبریری
© 2014 Synopsys, Inc. 60
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
پروجیکٹ ترتیب دینا Files
باب 4: منطقی ترکیب کا منصوبہ ترتیب دینا
(install_dir/lib/generic_ technology/gtech.v) کو اپنے ڈیزائن میں شامل کریں، یا اپنی عام جزو لائبریری شامل کریں۔ دونوں کو ایک ساتھ استعمال نہ کریں کیونکہ تنازعات ہوسکتے ہیں۔
4. چیک کریں۔ file منصوبے میں آرڈر view. File آرڈر وی ایچ ڈی ایل کے لیے خاص طور پر اہم ہے۔ files.
VHDL کے لیے files، آپ خود کار طریقے سے آرڈر کر سکتے ہیں fileکی طرف سے
رن کو منتخب کرنا-> VHDL کا بندوبست کریں۔ Files متبادل طور پر، دستی طور پر منتقل کریں۔ fileپروجیکٹ میں s view. پیکج files کا فہرست میں پہلا ہونا ضروری ہے کیونکہ وہ استعمال ہونے سے پہلے مرتب کیے جاتے ہیں۔ اگر آپ کے پاس ڈیزائن کے بلاکس بہت سے پر پھیلے ہوئے ہیں۔ files، یقینی بنائیں کہ آپ کے پاس درج ذیل ہیں۔ file حکم: the file ہستی پر مشتمل پہلے ہونا چاہیے، اس کے بعد فن تعمیر file، اور آخر میں file ترتیب کے ساتھ.
پروجیکٹ میں viewچیک کریں کہ آخری file پروجیکٹ میں view ہے
اعلی درجے کا ذریعہ file. متبادل طور پر، آپ اعلی سطح کی وضاحت کر سکتے ہیں۔ file جب آپ ڈیوائس کے اختیارات سیٹ کرتے ہیں۔
5. منتخب کریں۔ File->محفوظ کریں، پروجیکٹ کے لیے ایک نام ٹائپ کریں، اور محفوظ کریں پر کلک کریں۔ پروجیکٹ ونڈو آپ کی تبدیلیوں کی عکاسی کرتی ہے۔
6. کسی پروجیکٹ کو بند کرنا file، پروجیکٹ بند کریں بٹن کو منتخب کریں یا File-> پروجیکٹ بند کریں۔
ایک موجودہ پروجیکٹ کھولنا File
پروجیکٹ کھولنے کے دو طریقے ہیں۔ file: اوپن پروجیکٹ اور عام File -> کمانڈ کھولیں۔
1. اگر آپ جس پروجیکٹ کو کھولنا چاہتے ہیں وہ ہے جس پر آپ نے حال ہی میں کام کیا ہے، تو آپ اسے براہ راست منتخب کر سکتے ہیں: File-> حالیہ پروجیکٹس-> پروجیکٹ کا نام۔
2. کسی بھی پروجیکٹ کو کھولنے کے لیے درج ذیل طریقوں میں سے کوئی ایک استعمال کریں۔ file:
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 61
باب 4: منطقی ترکیب کا منصوبہ ترتیب دینا
پروجیکٹ ترتیب دینا Files
پروجیکٹ کمانڈ کھولیں۔
File-> اوپن کمانڈ
منتخب کریں۔ File-> پروجیکٹ کھولیں، پروجیکٹ ونڈو کے بائیں جانب اوپن پروجیکٹ بٹن پر کلک کریں، یا P آئیکن پر کلک کریں۔
حالیہ پروجیکٹ کو کھولنے کے لیے، حالیہ پروجیکٹس کی فہرست سے اس پر ڈبل کلک کریں۔
بصورت دیگر، اوپن ڈائیلاگ باکس کو کھولنے کے لیے موجودہ پروجیکٹ کے بٹن پر کلک کریں اور پروجیکٹ کو منتخب کریں۔
منتخب کریں۔ File-> کھولیں۔
Look In: فیلڈ میں صحیح ڈائریکٹری کی وضاحت کریں۔
سیٹ File کی قسم سے پروجیکٹ Files (*.prj)۔ باکس پروجیکٹ کی فہرست دیتا ہے۔ files.
جس پروجیکٹ کو آپ کھولنا چاہتے ہیں اس پر ڈبل کلک کریں۔
پروجیکٹ پروجیکٹ ونڈو میں کھلتا ہے۔
پروجیکٹ میں تبدیلیاں کرنا
عام طور پر، آپ شامل، حذف، یا تبدیل کرتے ہیں۔ files.
1. ذریعہ یا رکاوٹ شامل کرنا files کسی پروجیکٹ میں شامل کریں کو منتخب کریں۔ Files بٹن یا پروجیکٹ-> ماخذ شامل کریں۔ File سلیکٹ کو کھولنے کے لیے Fileپروجیکٹ ڈائیلاگ باکس میں شامل کریں۔ ایک پروجیکٹ بنانا دیکھیں Fileتفصیلات کے لیے صفحہ 58 پر۔
2. حذف کرنا a file ایک پروجیکٹ سے، پر کلک کریں۔ file پروجیکٹ ونڈو میں، اور ڈیلیٹ کلید کو دبائیں۔
3. بدلنا a file ایک منصوبے میں،
منتخب کریں۔ file آپ پروجیکٹ ونڈو میں تبدیل کرنا چاہتے ہیں۔
تبدیلی پر کلک کریں۔ File بٹن، یا پروجیکٹ->تبدیل کو منتخب کریں۔ File.
ماخذ میں File ڈائیلاگ باکس جو کھلتا ہے، ڈائرکٹری میں دیکھیں کو سیٹ کریں۔
جہاں نیا file واقع ہے نیا file کے طور پر ایک ہی قسم کا ہونا چاہئے file آپ تبدیل کرنا چاہتے ہیں.
اگر آپ کو نظر نہیں آتا ہے تو آپ کا file درج، کی قسم کو منتخب کریں file آپ سے ضرورت ہے
دی Fileقسم کے فیلڈ کے s۔
پر ڈبل کلک کریں۔ file. نیا file پروجیکٹ میں پرانے کی جگہ لے لیتا ہے۔
فہرست LO
4. کس طرح منصوبے کی وضاحت کرنے کے لئے files پروجیکٹ میں محفوظ ہیں، a پر دائیں کلک کریں۔ file پروجیکٹ میں view اور منتخب کریں File اختیارات۔ محفوظ کریں سیٹ کریں۔ File یا تو پروجیکٹ سے رشتہ دار یا مطلق راستہ کا اختیار۔
© 2014 Synopsys, Inc. 62
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
پروجیکٹ ترتیب دینا Files
باب 4: منطقی ترکیب کا منصوبہ ترتیب دینا
5. وقت سینٹ چیک کرنے کے لئےamp ایک پر file، a پر دائیں کلک کریں۔ file پروجیکٹ میں view اور منتخب کریں File اختیارات۔ وقت چیک کریں کہ file آخری بار ترمیم کی گئی تھی۔ ٹھیک ہے پر کلک کریں۔
سیٹنگ پروجیکٹ View ڈسپلے کی ترجیحات
آپ پروجیکٹ کی تنظیم اور ڈسپلے کو اپنی مرضی کے مطابق بنا سکتے ہیں۔ files 1. منتخب کریں اختیارات->پروجیکٹ View اختیارات۔ پروجیکٹ View اختیارات کا فارم کھلتا ہے۔
2. مختلف قسم کے ان پٹ کو منظم کرنا files کو الگ الگ فولڈر میں، چیک کریں۔ View پروجیکٹ Files فولڈرز میں۔
اس آپشن کو چیک کرنے سے پروجیکٹ میں الگ فولڈر بن جاتے ہیں۔ view رکاوٹ کے لئے files اور ذریعہ files.
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 63
باب 4: منطقی ترکیب کا منصوبہ ترتیب دینا
پروجیکٹ ترتیب دینا Files
3. کنٹرول file مندرجہ ذیل کے ساتھ ڈسپلے کریں:
تمام کو خود بخود ڈسپلے کریں۔ files، شو پروجیکٹ لائبریری کو چیک کرکے۔ اگر
یہ غیر چیک شدہ ہے، پروجیکٹ view ظاہر نہیں کرتا files جب تک کہ آپ پلس کی علامت پر کلک نہیں کرتے اور اسے پھیلائیں۔ fileایک فولڈر میں s۔
پروجیکٹ میں باکس میں سے ایک کو چیک کریں۔ File کا نام ڈسپلے سیکشن
کس طرح کا تعین کرنے کے لئے فارم fileنام دکھائے جاتے ہیں۔ آپ صرف دکھا سکتے ہیں۔ fileنام، رشتہ دار راستہ، یا مطلق راستہ۔
4. کو view پروجیکٹ files اپنی مرضی کے مطابق کسٹم فولڈرز میں، چیک کریں۔ View پروجیکٹ Files اپنی مرضی کے فولڈرز میں۔ مزید معلومات کے لیے، صفحہ 66 پر اپنی مرضی کے فولڈرز بنانا دیکھیں۔ ٹائپ فولڈر صرف اس صورت میں ظاہر ہوتے ہیں جب ایک کسٹم فولڈر میں متعدد اقسام ہوں۔
حسب ضرورت فولڈر
© 2014 Synopsys, Inc. 64
LO
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
پروجیکٹ ترتیب دینا Files
باب 4: منطقی ترکیب کا منصوبہ ترتیب دینا
5. ایک ہی پروجیکٹ میں ایک سے زیادہ نفاذ کو کھولنا view، ایک سے زیادہ پروجیکٹس کو کھولنے کی اجازت دیں کو چیک کریں۔
پروجیکٹ 1
پروجیکٹ 2
6. آؤٹ پٹ کو کنٹرول کریں۔ file مندرجہ ذیل کے ساتھ ڈسپلے کریں:
تمام شو کو چیک کریں۔ Fileتمام آؤٹ پٹ کو ظاہر کرنے کے لیے نتائج کی ڈائرکٹری باکس میں s
files ترکیب کے بعد پیدا ہوتا ہے۔
آؤٹ پٹ تبدیل کریں۔ file ہیڈر بار میں سے کسی ایک میں کلک کرکے تنظیم
نفاذ کے نتائج میں view. آپ گروپ کر سکتے ہیں۔ files قسم کے لحاظ سے یا انہیں آخری بار ترمیم کی تاریخ کے مطابق ترتیب دیں۔
7. کو view file معلومات، منتخب کریں file پروجیکٹ میں viewدائیں کلک کریں، اور منتخب کریں۔ File اختیارات۔ سابق کے لیےample, آپ تاریخ چیک کر سکتے ہیں a file ترمیم کی گئی تھی.
پرانے پروجیکٹ میں ویریلوگ انکلوڈ پاتھز کو اپ ڈیٹ کرنا Files
اگر آپ کے پاس کوئی پروجیکٹ ہے۔ file سافٹ ویئر کے پرانے ورژن (8.1 سے پہلے) کے ساتھ بنایا گیا، ویریلوگ میں اس میں راستے شامل ہیں file نتائج کی ڈائرکٹری یا ماخذ سے متعلق ہیں۔ file بیانات شامل کریں کے ساتھ۔ 8.1 کے بعد ریلیز میں، پروجیکٹ file `انکلوڈ پاتھز پروجیکٹ سے متعلق ہیں۔ file صرف حالیہ ریلیز میں GUI خود بخود پرانے prj کو اپ گریڈ نہیں کرتا ہے۔ files نئے قوانین کے مطابق ہونا۔ پرانے پروجیکٹ کو اپ گریڈ کرنے اور استعمال کرنے کے لیے file، درج ذیل میں سے ایک کریں:
· دستی طور پر prj میں ترمیم کریں۔ file ٹیکسٹ ایڈیٹر میں اور درج ذیل کو شامل کریں۔
ہر سیٹ_آپشن سے پہلے لائن - شامل_پاتھ:
سیٹ_آپشن - پروجیکٹ_ریلیٹیو_شامل 1
سافٹ ویئر کے نئے ورژن کے ساتھ ایک نیا پروجیکٹ شروع کریں اور حذف کریں۔
پرانے منصوبے. یہ نیا prj بنائے گا۔ file نئے اصول کی اطاعت کریں جہاں شامل ہیں prj سے متعلق ہوں۔ file.
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 65
باب 4: منطقی ترکیب کا منصوبہ ترتیب دینا
پروجیکٹ کا انتظام File درجہ بندی
پروجیکٹ کا انتظام File درجہ بندی
درج ذیل حصے بیان کرتے ہیں کہ آپ کس طرح اپنی مرضی کے مطابق فولڈرز بنا سکتے ہیں اور ان کا نظم کر سکتے ہیں۔ fileپروجیکٹ میں s view:
· اپنی مرضی کے فولڈرز بنانا · اپنی مرضی کے پراجیکٹ فولڈرز کو جوڑنا · اپنی مرضی کے مطابق ہیرا پھیری Files
اپنی مرضی کے فولڈر بنانا
آپ منطقی فولڈر بنا سکتے ہیں اور اپنی مرضی کے مطابق بنا سکتے ہیں۔ fileآپ کے پروجیکٹ کے اندر مختلف درجہ بندی کے گروپوں میں view. ان فولڈرز کو کسی بھی نام یا درجہ بندی کی سطح کے ساتھ مخصوص کیا جا سکتا ہے۔ سابق کے لیےampلی، آپ اپنے آپریٹنگ سسٹم سے من مانی کر سکتے ہیں۔ file ڈھانچہ یا ایچ ڈی ایل منطقی درجہ بندی۔ اپنی مرضی کے فولڈرز کو ان کے نیلے رنگ سے ممتاز کیا جاتا ہے۔
حسب ضرورت فولڈر بنانے اور پھر شامل کرنے کے کئی طریقے ہیں۔ fileایک پروجیکٹ میں ان کے لیے۔ درج ذیل طریقوں میں سے ایک استعمال کریں:
1. کسی پروجیکٹ پر دائیں کلک کریں۔ file یا کوئی اور کسٹم فولڈر اور پاپ اپ مینو سے فولڈر شامل کریں کو منتخب کریں۔ پھر درج ذیل میں سے کوئی ایک عمل کریں۔ file آپریشنز:
دائیں کلک ڈسپلے کرتا ہے۔
اس پر
fyioleuoLcrOafnileeesitahnedr
منتخب کریں منتخب کریں
فولڈر میں رکھیں۔ ایک ذیلی مینو ایک موجودہ فولڈر یا تخلیق کریں۔
a
نیا فولڈر.
© 2014 Synopsys, Inc. 66
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
پروجیکٹ کا انتظام File درجہ بندی
باب 4: منطقی ترکیب کا منصوبہ ترتیب دینا
نوٹ کریں کہ آپ من مانی طور پر فولڈر کا نام دے سکتے ہیں، تاہم کریکٹر (/) کا استعمال نہ کریں کیونکہ یہ ایک درجہ بندی سے جدا کرنے والی علامت ہے۔
فولڈر کا نام تبدیل کرنے کے لیے، فولڈر پر دائیں کلک کریں اور اس سے نام تبدیل کریں کو منتخب کریں۔
پاپ اپ مینو. فولڈر کا نام تبدیل کریں ڈائیلاگ باکس ظاہر ہوتا ہے۔ ایک نیا نام بتائیں.
2. اضافہ کا استعمال کریں۔ Fileایک فولڈر کے درجہ بندی کے پورے مواد کو شامل کرنے کے لیے پروجیکٹ ڈائیلاگ باکس میں، اور اختیاری طور پر جگہ fileڈائیلاگ باکس ڈسپلے میں درج OS فولڈر کے درجہ بندی کے مطابق اپنی مرضی کے فولڈرز میں s۔
ایسا کرنے کے لیے، شامل کریں کو منتخب کریں۔ File پروجیکٹ میں بٹن view.
پھر ڈائیلاگ باکس سے کسی بھی مطلوبہ فولڈر جیسے ڈی ایس پی کو منتخب کریں۔
شامل کریں بٹن پر کلک کریں. یہ سب جگہ رکھتا ہے۔ files dsp درجہ بندی سے اپنی مرضی کے فولڈر میں جو آپ نے ابھی بنایا ہے۔
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 67
باب 4: منطقی ترکیب کا منصوبہ ترتیب دینا
پروجیکٹ کا انتظام File درجہ بندی
خود کار طریقے سے رکھنے کے لئے files کے مطابق اپنی مرضی کے فولڈرز میں
OS فولڈر کا درجہ بندی، Add نامی آپشن کو چیک کریں۔ Fileڈائیلاگ باکس پر اپنی مرضی کے فولڈرز پر s۔
پہلے سے طے شدہ طور پر، حسب ضرورت فولڈر کا نام وہی نام ہے جو فولڈر کا ہے۔
پر مشتمل files یا فولڈر کو پروجیکٹ میں شامل کرنا ہے۔ تاہم، آپ فولڈرز کے آپشن کے بٹن پر کلک کرکے فولڈرز کے نام کے طریقہ کار میں ترمیم کرسکتے ہیں۔ مندرجہ ذیل ڈائیلاگ باکس ظاہر ہوتا ہے۔
استعمال کرنے کے لیے:
صرف فولڈر پر مشتمل ہے۔ files فولڈر کے نام کے لیے، OS استعمال کریں پر کلک کریں۔
فولڈر کا نام۔
کی سطح کا تعین کرنے کے لیے منتخب فولڈر کے راستے کا نام
کسٹم فولڈر پاتھ کے لیے درجہ بندی کی عکاسی ہوتی ہے۔
© 2014 Synopsys, Inc. 68
LO
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
پروجیکٹ کا انتظام File درجہ بندی
باب 4: منطقی ترکیب کا منصوبہ ترتیب دینا
3. آپ گھسیٹ کر چھوڑ سکتے ہیں۔ fileپروجیکٹ میں OS ایکسپلورر ایپلی کیشن سے s اور فولڈرز view. یہ فیچر KDE چلانے والے ونڈوز اور لینکس ڈیسک ٹاپس پر دستیاب ہے۔
جب آپ گھسیٹیں اور چھوڑیں a file، اسے فوری طور پر پروجیکٹ میں شامل کیا جاتا ہے۔
اگر کوئی پروجیکٹ کھلا نہیں ہے تو، سافٹ ویئر ایک پروجیکٹ بناتا ہے۔
جب آپ گھسیٹیں اور چھوڑیں a file ایک فولڈر کے اوپر، اسے اس میں رکھا جائے گا۔
فولڈر ابتدائی طور پر، شامل کریں Files ٹو پروجیکٹ کا ڈائیلاگ باکس ظاہر ہوتا ہے جس میں آپ سے تصدیق کرنے کو کہا جاتا ہے۔ files کو پروجیکٹ میں شامل کیا جائے گا۔ آپ قبول کرنے کے لیے ٹھیک ہے پر کلک کر سکتے ہیں۔ files اگر آپ تبدیلیاں کرنا چاہتے ہیں، تو آپ تمام ہٹائیں بٹن پر کلک کر سکتے ہیں اور ایک نیا فلٹر یا آپشن بتا سکتے ہیں۔
نوٹ: پروجیکٹ میں اپنی مرضی کے فولڈرز کو ظاہر کرنے کے لیے view، اختیارات-> پروجیکٹ کو منتخب کریں۔ View اختیارات کا مینو، پھر چیک باکس کو فعال/غیر فعال کریں۔ View پروجیکٹ Fileڈائیلاگ باکس پر اپنی مرضی کے فولڈرز میں s۔
کسٹم پروجیکٹ فولڈرز کو جوڑنا
درج ذیل طریقہ کار بیان کرتا ہے کہ آپ کس طرح ہٹا سکتے ہیں۔ files فولڈرز سے، فولڈرز کو حذف کریں، اور فولڈر کے درجہ بندی کو تبدیل کریں۔
1. ہٹانا a file اپنی مرضی کے فولڈر سے، یا تو:
اسے کسی دوسرے فولڈر میں یا پروجیکٹ پر گھسیٹ کر چھوڑیں۔ کو نمایاں کریں۔ file، دائیں کلک کریں اور فولڈر سے ہٹائیں کو منتخب کریں۔
پاپ اپ مینو.
حذف (DEL) کلید کا استعمال نہ کریں، کیونکہ اس سے حذف ہوجاتا ہے۔ file منصوبے سے.
2. اپنی مرضی کے فولڈر کو حذف کرنے کے لیے، اسے ہائی لائٹ کریں پھر دائیں کلک کریں اور پاپ اپ مینو سے Delete کو منتخب کریں یا DEL کلید دبائیں۔ جب آپ کسی فولڈر کو حذف کرتے ہیں، تو درج ذیل میں سے ایک انتخاب کریں:
فولڈر کو حذف کرنے کے لیے ہاں پر کلک کریں۔ files سے فولڈر میں موجود ہے۔
منصوبے.
صرف فولڈر کو حذف کرنے کے لیے نہیں پر کلک کریں۔
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 69
باب 4: منطقی ترکیب کا منصوبہ ترتیب دینا
پروجیکٹ کا انتظام File درجہ بندی
3. حسب ضرورت فولڈر کے درجہ بندی کو تبدیل کرنے کے لیے:
دوسرے فولڈر میں فولڈر کو گھسیٹیں اور چھوڑیں تاکہ یہ ذیلی
فولڈر یا اس پراجیکٹ کو اوپر کی سطح پر منتقل کرنے کے لیے۔
اپنی مرضی کے فولڈر کے اعلی درجے کے درجہ بندی کو ہٹانے کے لیے، ڈریگ اور ڈراپ کریں۔
منصوبے پر درجہ بندی کی مطلوبہ ذیلی سطح۔ پھر فولڈر کے لیے خالی روٹ ڈائرکٹری کو حذف کریں۔
سابق کے لیےample، اگر موجودہ کسٹم فولڈر ڈائرکٹری ہے:
/سابقamples/Verilog/RTL
فرض کریں کہ آپ صرف ایک سطحی RTL درجہ بندی چاہتے ہیں، پھر RTL کو پروجیکٹ پر گھسیٹیں اور چھوڑیں۔ اس کے بعد، آپ /Ex کو حذف کر سکتے ہیں۔amples/Verilog ڈائریکٹری۔
اپنی مرضی کے مطابق ہیرا پھیری کرنا Files
مزید برآں، آپ حسب ذیل اقسام کو انجام دے سکتے ہیں۔ file آپریشنز:
1. کے ڈسپلے کو دبانے کے لیے files ٹائپ فولڈرز میں، پروجیکٹ میں دائیں کلک کریں۔ view اور پروجیکٹ کو منتخب کریں۔ View اختیارات یا منتخب کریں اختیارات->پروجیکٹ View اختیارات۔ آپشن کو غیر فعال کریں۔ View پروجیکٹ Fileڈائیلاگ باکس پر ٹائپ فولڈرز میں s۔
2. ظاہر کرنا files پراجیکٹ آرڈر کے بجائے حروف تہجی کی ترتیب میں، ترتیب کو چیک کریں۔ Fileپروجیکٹ میں s بٹن view کنٹرول پینل. کنٹرول پینل کو آن اور آف کرنے کے لیے پینل کے نیچے بائیں کونے میں نیچے تیر والے بٹن پر کلک کریں۔
© 2014 Synopsys, Inc. 70
LO
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
پروجیکٹ کا انتظام File درجہ بندی
باب 4: منطقی ترکیب کا منصوبہ ترتیب دینا
کنٹرول پینل ٹوگل
3. کی ترتیب کو تبدیل کرنے کے لئے fileاس منصوبے میں ہے:
اپنی مرضی کے فولڈرز اور چھانٹنا کو غیر فعال کرنا یقینی بنائیں files گھسیٹ کر چھوڑنا a file کی فہرست میں مطلوبہ پوزیشن پر files.
4. تبدیل کرنے کے لئے file ٹائپ کریں، ڈریگ کریں اور اسے نئے ٹائپ فولڈر میں چھوڑیں۔ سافٹ ویئر آپ کو تصدیق کے لیے کہے گا۔
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 71
باب 4: منطقی ترکیب کا منصوبہ ترتیب دینا
عمل درآمد ترتیب دینا
عمل درآمد ترتیب دینا
ایک نفاذ ایک پروجیکٹ کا ایک ورژن ہے، جو رکاوٹوں اور دیگر ترتیبات کے مخصوص سیٹ کے ساتھ لاگو کیا جاتا ہے۔ ایک پروجیکٹ میں متعدد نفاذات شامل ہو سکتے ہیں، ہر ایک اپنی اپنی ترتیبات کے ساتھ۔
ایک سے زیادہ نفاذ کے ساتھ کام کرنا
Synplify Pro ٹول آپ کو ایک ہی ڈیزائن کے متعدد نفاذات بنانے اور پھر نتائج کا موازنہ کرنے دیتا ہے۔ یہ آپ کو ایک ہی ڈیزائن کے لیے مختلف ترتیبات کے ساتھ تجربہ کرنے دیتا ہے۔ نفاذات ترکیبی سافٹ ویئر کے تناظر میں آپ کے ڈیزائن کی نظرثانی ہیں، اور بیرونی سورس کوڈ کنٹرول سافٹ ویئر اور عمل کو تبدیل نہیں کرتے ہیں۔
1. نفاذ شامل کریں بٹن پر کلک کریں یا پروجیکٹ->نئے نفاذ کو منتخب کریں اور ڈیوائس کے نئے آپشنز (ڈیوائس ٹیب)، نئے آپشنز (آپشنز ٹیب) یا ایک نئی رکاوٹ سیٹ کریں۔ file (پابندیوں کا ٹیب)۔
سافٹ ویئر پروجیکٹ میں ایک اور عمل درآمد کرتا ہے۔ view. نئے نفاذ کا نام وہی ہے جو پچھلے نام کا ہے، لیکن ایک مختلف نمبر کے لاحقہ کے ساتھ۔ مندرجہ ذیل اعداد و شمار دو نفاذ کو ظاہر کرتا ہے، rev1 اور rev2، موجودہ (فعال) نفاذ کو نمایاں کرنے کے ساتھ۔
نیا نفاذ ایک ہی سورس کوڈ کا استعمال کرتا ہے۔ files، لیکن ڈیوائس کے مختلف اختیارات اور رکاوٹیں ہیں۔ یہ کچھ کاپی کرتا ہے۔ fileپچھلے نفاذ سے s: tlg لاگ file، srs RTL نیٹ لسٹ file، اور design_fsm.sdc file FSM ایکسپلورر کے ذریعہ تیار کردہ۔ سافٹ ویئر ترکیب کی دہرائی جانے والی تاریخ رکھتا ہے۔
© 2014 Synopsys, Inc. 72
LO
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
عمل درآمد ترتیب دینا
باب 4: منطقی ترکیب کا منصوبہ ترتیب دینا
2. نئی ترتیبات کے ساتھ دوبارہ ترکیب چلائیں۔
صرف موجودہ نفاذ کو چلانے کے لیے، چلائیں پر کلک کریں۔
کسی پروجیکٹ میں تمام نفاذ کو چلانے کے لیے، Run->Run All کو منتخب کریں۔
نفاذ۔
آپ ایک مختلف حصہ آزمانے یا مختلف فریکوئنسی کے ساتھ تجربہ کرنے کے لیے متعدد نفاذ کا استعمال کر سکتے ہیں۔ ترتیب کے اختیارات کے بارے میں معلومات کے لیے صفحہ 75 پر منطقی ترکیب کے نفاذ کے اختیارات کی ترتیب دیکھیں۔
پروجیکٹ view نمایاں کردہ فعال نفاذ اور متعلقہ آؤٹ پٹ کے ساتھ تمام نفاذات کو دکھاتا ہے۔ files عمل درآمد کے نتائج میں دکھائے گئے فعال نفاذ کے لیے تیار کیا گیا ہے۔ view دائیں طرف؛ فعال نفاذ کو تبدیل کرنے سے آؤٹ پٹ بدل جاتا ہے۔ file ڈسپلے واچ ونڈو فعال نفاذ کی نگرانی کرتی ہے۔ اگر آپ تمام نفاذات کو دیکھنے کے لیے اس ونڈو کو ترتیب دیتے ہیں، تو نیا نفاذ خود بخود ونڈو میں اپ ڈیٹ ہوجاتا ہے۔
3. نتائج کا موازنہ کریں۔
منتخب کردہ معیار کا موازنہ کرنے کے لیے واچ ونڈو کا استعمال کریں۔ سیٹ کرنا یقینی بنائیں
وہ نفاذ جن کا آپ Configure Watch کمانڈ سے موازنہ کرنا چاہتے ہیں۔ تفصیلات کے لیے صفحہ 190 پر واچ ونڈو کا استعمال دیکھیں۔
تفصیلات کا موازنہ کرنے کے لیے، لاگ کا موازنہ کریں۔ file نتائج
4. کسی نفاذ کا نام تبدیل کرنے کے لیے، پروجیکٹ میں نفاذ کے نام پر دائیں ماؤس کے بٹن پر کلک کریں۔ view، پاپ اپ مینو سے نفاذ کا نام تبدیل کریں کو منتخب کریں، اور ایک نیا نام ٹائپ کریں۔
نوٹ کریں کہ موجودہ UI نفاذ کو اوور رائٹ کرتا ہے۔ 9.0 سے پہلے کی ریلیز نام بدلنے کے لیے نفاذ کو محفوظ رکھتی ہیں۔
5. نفاذ کو کاپی کرنے کے لیے، پروجیکٹ میں نفاذ کے نام پر دائیں ماؤس کے بٹن پر کلک کریں۔ view، پاپ اپ مینو سے کاپی نفاذ کو منتخب کریں، اور کاپی کے لیے ایک نیا نام ٹائپ کریں۔
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 73
باب 4: منطقی ترکیب کا منصوبہ ترتیب دینا
عمل درآمد ترتیب دینا
6. کسی نفاذ کو حذف کرنے کے لیے، پروجیکٹ میں نفاذ کے نام پر دائیں ماؤس کے بٹن پر کلک کریں۔ viewاور پاپ اپ مینو سے Remove Implementation کو منتخب کریں۔
© 2014 Synopsys, Inc. 74
LO
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
منطق کی ترکیب کے نفاذ کے اختیارات کی ترتیب باب 4: منطق کی ترکیب کے منصوبے کو ترتیب دینا
منطق کی ترکیب کے نفاذ کے اختیارات کو ترتیب دینا
آپ اپنی ترکیب کے نفاذ کے لیے عالمی اختیارات ترتیب دے سکتے ہیں، ان میں سے کچھ ٹیکنالوجی کے لیے مخصوص ہیں۔ یہ سیکشن اس بات کی وضاحت کرتا ہے کہ عالمی اختیارات کو کیسے سیٹ کیا جائے جیسے ڈیوائس، آپٹیمائزیشن، اور file نفاذ کے اختیارات کمانڈ کے ساتھ اختیارات۔ نفاذ کے لیے رکاوٹوں کے تعین کے بارے میں معلومات کے لیے، صفحہ 119 پر دائرہ کار کی پابندیوں کی وضاحت دیکھیں۔ انفرادی صفات یا ہدایت کے ساتھ عالمی ترتیبات کو اوور رائیڈ کرنے کے بارے میں معلومات کے لیے، صفحہ 90 پر دیکھیں۔
اس حصے میں درج ذیل موضوعات پر بحث کی گئی ہے۔
· صفحہ 75 پر آلہ کے اختیارات کا تعین کرنا · صفحہ 78 پر اصلاح کے اختیارات کا تعین کرنا · عالمی تعدد اور پابندی کی وضاحت کرنا Files، صفحہ 80 پر؛ نتیجہ کے اختیارات کی وضاحت کرنا، صفحہ 82 پر؛ ٹائمنگ رپورٹ آؤٹ پٹ کی وضاحت کرنا، صفحہ 84 پر؛ Verilog اور VHDL کے اختیارات کی ترتیب، صفحہ 84 پر
ڈیوائس کے اختیارات سیٹ کرنا
ڈیوائس کے اختیارات عالمی اختیارات کا حصہ ہیں جنہیں آپ ترکیب چلانے کے لیے ترتیب دے سکتے ہیں۔ ان میں حصہ کا انتخاب (ٹیکنالوجی، حصہ اور رفتار کا درجہ) اور عمل درآمد کے اختیارات (I/O اندراج اور فیناؤٹس) شامل ہیں۔ اختیارات اور ان اختیارات کا نفاذ ٹیکنالوجی سے ٹیکنالوجی تک مختلف ہو سکتا ہے، لہذا اپنے وینڈر کے اختیارات کے بارے میں معلومات کے لیے حوالہ دستی کے وینڈر ابواب کو دیکھیں۔
1. نفاذ کے اختیارات کے بٹن پر کلک کرکے یا پروجیکٹ->عمل درآمد کے اختیارات کو منتخب کر کے نفاذ کے اختیارات کے فارم کو کھولیں، اور اگر پہلے سے منتخب نہیں کیا گیا ہے تو اوپر موجود ڈیوائس ٹیب پر کلک کریں۔
2. ٹیکنالوجی، حصہ، پیکج، اور رفتار منتخب کریں۔ آپ کی منتخب کردہ ٹکنالوجی پر منحصر ہے، دستیاب اختیارات مختلف ہوتے ہیں۔
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 75
باب 4: منطق کی ترکیب کا منصوبہ ترتیب دینا منطق کی ترکیب کے نفاذ کے اختیارات ترتیب دینا
3۔ ڈیوائس میپنگ کے اختیارات سیٹ کریں۔ آپ کی منتخب کردہ ٹیکنالوجی کے لحاظ سے اختیارات مختلف ہوتے ہیں۔
اگر آپ کو یقین نہیں ہے کہ آپشن کا کیا مطلب ہے تو دیکھنے کے لیے آپشن پر کلک کریں۔
ذیل کے خانے میں ایک تفصیل۔ اختیارات کی مکمل وضاحت کے لیے، F1 پر کلک کریں یا حوالہ دستی میں مناسب وینڈر باب کا حوالہ دیں۔
آپشن سیٹ کرنے کے لیے، ویلیو ٹائپ کریں یا اسے فعال کرنے کے لیے باکس کو چیک کریں۔
فین آؤٹ کی حدیں طے کرنے اور دوبارہ وقت لگانے کے بارے میں مزید معلومات کے لیے، صفحہ 348 پر، بالترتیب فین آؤٹ کی حدود کا تعین، اور صفحہ 334 پر ری ٹائمنگ دیکھیں۔ دیگر وینڈر مخصوص اختیارات کے بارے میں تفصیلات کے لیے، حوالہ دستی میں مناسب وینڈر باب اور ٹیکنالوجی فیملی کا حوالہ دیں۔
© 2014 Synopsys, Inc. 76
LO
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
منطق کی ترکیب کے نفاذ کے اختیارات کی ترتیب باب 4: منطق کی ترکیب کے منصوبے کو ترتیب دینا
4. ضرورت کے مطابق نفاذ کے دیگر اختیارات مقرر کریں (انتخابات کی فہرست کے لیے صفحہ 75 پر منطق کی ترکیب کے نفاذ کے اختیارات کی ترتیب دیکھیں)۔ ٹھیک ہے پر کلک کریں۔
5. ڈیزائن کو سنتھیسائز کرنے کے لیے رن بٹن پر کلک کریں۔ سافٹ ویئر آپ کے سیٹ کردہ اختیارات کا استعمال کرتے ہوئے ڈیزائن کو مرتب اور نقشہ بناتا ہے۔
6. اسکرپٹ کے ساتھ ڈیوائس کے اختیارات سیٹ کرنے کے لیے، set_option Tcl کمانڈ استعمال کریں۔ مندرجہ ذیل ٹیبل میں ڈیوائس ٹیب پر موجود ڈیوائس کے اختیارات کی حروف تہجی کی فہرست ہے جو مساوی Tcl کمانڈز کے ساتھ میپ کی گئی ہے۔ چونکہ اختیارات ٹیکنالوجی اور خاندان پر مبنی ہیں، اس لیے ممکن ہے کہ جدول میں درج تمام اختیارات منتخب ٹیکنالوجی میں دستیاب نہ ہوں۔ تمام کمانڈز set_option کے ساتھ شروع ہوتی ہیں، اس کے بعد کالم میں نحو جیسا دکھایا گیا ہے۔ اپنے وینڈر کے لیے اختیارات کی سب سے جامع فہرست کے لیے حوالہ دستی چیک کریں۔
مندرجہ ذیل جدول آلہ کے زیادہ تر اختیارات کو دکھاتا ہے۔
تجزیہ کار کے لیے آپشن تشریح شدہ پراپرٹیز I/O انسرشن فین آؤٹ گائیڈ کو غیر فعال کریں۔
Tcl کمانڈ (set_option…) -run_prop_extract {1|0} -disable_io_insertion {1|0} -fanout_limit fanout_value
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 77
باب 4: منطق کی ترکیب کا منصوبہ ترتیب دینا منطق کی ترکیب کے نفاذ کے اختیارات ترتیب دینا
آپشن
Tcl کمانڈ (set_option…)
پیکج
-پیکیج pkg_name
حصہ
-حصہ حصہ_نام
مخلوط ڈرائیوروں کو حل کریں۔
- حل_متعدد_ڈرائیور {1|0}
رفتار
رفتار_گریڈ رفتار_گریڈ
ٹیکنالوجی
- ٹیکنالوجی کلیدی لفظ
کمپائل پوائنٹ ٹائمنگ ڈیٹا کو اپ ڈیٹ کریں -update_models_cp {0|1}
HDL تجزیہ کار ڈیٹا بیس جنریشن -hdl_qload {1|0}
اصلاح کے اختیارات ترتیب دینا
اصلاح کے اختیارات ان عالمی اختیارات کا حصہ ہیں جنہیں آپ نفاذ کے لیے ترتیب دے سکتے ہیں۔ یہ سیکشن آپ کو بتاتا ہے کہ کس طرح فریکوئنسی اور عالمی اصلاح کے اختیارات جیسے وسائل کے اشتراک کو ترتیب دینا ہے۔ آپ ان میں سے کچھ آپشنز کو UI پر مناسب بٹنوں کے ساتھ بھی سیٹ کر سکتے ہیں۔
1. نفاذ کے اختیارات کے فارم کو کھولیں نفاذ کے اختیارات کے بٹن پر کلک کر کے یا پروجیکٹ->عمل درآمد کے اختیارات کو منتخب کر کے، اور اوپر موجود اختیارات کے ٹیب پر کلک کریں۔
2. اصلاحی اختیارات پر کلک کریں جو آپ چاہتے ہیں، یا تو فارم پر یا پروجیکٹ میں view. ٹیکنالوجی کے لحاظ سے آپ کے انتخاب مختلف ہوتے ہیں۔ اگر آپ کی ٹیکنالوجی کے لیے کوئی آپشن دستیاب نہیں ہے، تو اسے خاکستر کر دیا جاتا ہے۔ آپشن کو ایک جگہ پر سیٹ کرنے سے یہ خود بخود دوسری جگہ اپ ڈیٹ ہو جاتا ہے۔
© 2014 Synopsys, Inc. 78
LO
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
منطق کی ترکیب کے نفاذ کے اختیارات کی ترتیب باب 4: منطق کی ترکیب کے منصوبے کو ترتیب دینا
پروجیکٹ View
اصلاح کے اختیارات نفاذ کے اختیارات-> اختیارات
ان اصلاحوں کو استعمال کرنے کے بارے میں تفصیلات کے لیے درج ذیل حصوں کو دیکھیں:
ایف ایس ایم کمپائلر ایف ایس ایم ایکسپلورر
ریسورس شیئرنگ ری ٹائمنگ
صفحہ 354 پر ریاستی مشینوں کو بہتر بنانا
FSM ایکسپلورر چلانا، صفحہ 359 پر نوٹ: مائیکروسیمی ٹیکنالوجیز کا صرف ایک ذیلی سیٹ FSM ایکسپلورر آپشن کی حمایت کرتا ہے۔ پروجیکٹ->عمل درآمد کے اختیارات->اختیارات پینل کا استعمال اس بات کا تعین کرنے کے لیے کریں کہ آیا یہ آپشن اس آلے کے لیے تعاون یافتہ ہے جسے آپ اپنے ٹول میں بیان کرتے ہیں۔
وسائل کا اشتراک، صفحہ 352 پر
ری ٹائمنگ، صفحہ 334 پر
مساوی Tcl set_option کمانڈ کے اختیارات درج ذیل ہیں:
آپشن FSM کمپائلر FSM ایکسپلورر ریسورس شیئرنگ ری ٹائمنگ
set_option Tcl کمانڈ آپشن -symbolic_fsm_compiler {1|0} -use_fsm_explorer {1|0} -resource_sharing {1|0} -retiming {1|0}
3. ضرورت کے مطابق نفاذ کے دیگر اختیارات مقرر کریں (انتخابات کی فہرست کے لیے صفحہ 75 پر منطق کی ترکیب کے نفاذ کے اختیارات کی ترتیب دیکھیں)۔ ٹھیک ہے پر کلک کریں۔
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 79
باب 4: منطق کی ترکیب کا منصوبہ ترتیب دینا منطق کی ترکیب کے نفاذ کے اختیارات ترتیب دینا
4. ترکیب چلانے کے لیے رن بٹن پر کلک کریں۔
سافٹ ویئر آپ کے سیٹ کردہ اختیارات کا استعمال کرتے ہوئے ڈیزائن کو مرتب اور نقشہ بناتا ہے۔
ایچ ڈی ایل تجزیہ کار ڈیٹا بیس جنریشن
پہلے سے طے شدہ طور پر، سافٹ ویئر پورے ڈیزائن کو پڑھتا ہے، منطق کی اصلاح اور وقت کی تشہیر کرتا ہے، اور آؤٹ پٹ کو ایک ہی نیٹ لسٹ (srs) میں لکھتا ہے۔ جیسے جیسے ڈیزائن بڑے ہوتے جاتے ہیں، ڈیزائن کو چلانے اور ڈیبگ کرنے کا وقت زیادہ مشکل ہو جاتا ہے۔
یہ اختیارات کمپائلر کو ڈیزائن کو ایک سے زیادہ ماڈیولز میں پہلے سے تقسیم کرنے کی اجازت دیتے ہیں جو علیحدہ نیٹ لسٹ کے لیے لکھے گئے ہیں۔ files (srs). اس آپشن کو فعال کرنے کے لیے، نفاذ کے اختیارات کے ڈائیلاگ باکس کے آپشنز ٹیب پر ایچ ڈی ایل اینالسٹ ڈیٹا بیس جنریشن چیک باکس کو منتخب کریں۔ یہ خصوصیت بڑے ڈیزائن کے لیے یادداشت کے استعمال کو نمایاں طور پر بہتر بناتی ہے۔
اس خصوصیت کو درج ذیل set_option Tcl کمانڈ کا استعمال کرتے ہوئے Tcl اسکرپٹ ونڈو سے بھی فعال کیا جا سکتا ہے۔
سیٹ_آپشن -hdl_qload 1
ایچ ڈی ایل اینالسٹ ڈیٹا بیس جنریشن آپشن کے فعال ہونے کے بعد، ایچ ڈی ایل تجزیہ کار ٹول میں انکریمنٹل کوئیک لوڈ آپشن کا استعمال کریں تاکہ کسی ایک نیٹ لسٹ (ایس آر ایس) یا ایک سے زیادہ ٹاپ لیول آر ٹی ایل ماڈیول نیٹ لسٹ (ایس آر ایس) کا استعمال کرتے ہوئے ڈیزائن ڈسپلے کریں۔ ٹول ایڈوان لے سکتا ہے۔tagصرف متاثرہ ڈیزائن کے درجہ بندی کو متحرک طور پر لوڈ کرکے اس خصوصیت کا e۔ سابق کے لیےample، درجہ بندی براؤزر فوری لوڈ کے لیے ضرورت کے مطابق صرف نچلے درجے کے درجہ بندی کو بڑھا سکتا ہے۔ انکریمنٹل کوئیک لوڈ آپشن ایچ ڈی ایل اینالسٹ آپشنز ڈائیلاگ باکس کے جنرل پینل پر واقع ہے۔ صفحہ 304 پر جنرل پینل دیکھیں۔
عالمی تعدد اور پابندی کی وضاحت کرنا Files
یہ طریقہ کار آپ کو بتاتا ہے کہ عالمی فریکوئنسی کیسے سیٹ کی جائے اور رکاوٹ کی وضاحت کی جائے۔ files کے نفاذ کے لیے۔
1. عالمی تعدد مقرر کرنے کے لیے، درج ذیل میں سے ایک کریں:
پروجیکٹ میں عالمی تعدد ٹائپ کریں۔ view.
نفاذ پر کلک کر کے نفاذ کے اختیارات کا فارم کھولیں۔
اختیارات بٹن رکاوٹیں ٹیب۔
or
seleLcOting
پروجیکٹ->عمل درآمد
اختیارات،
اور
کلک کریں
دی
مساوی Tcl set_option کمانڈ -frequency فریکوئنسی ویلیو ہے۔
© 2014 Synopsys, Inc. 80
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
منطق کی ترکیب کے نفاذ کے اختیارات کی ترتیب باب 4: منطق کی ترکیب کے منصوبے کو ترتیب دینا
آپ مقامی رکاوٹوں کے ساتھ عالمی تعدد کو اوور رائیڈ کر سکتے ہیں، جیسا کہ اسکوپ کنسٹرائنٹس کی وضاحت میں صفحہ 119 پر بیان کیا گیا ہے۔ Synplify Pro ٹول میں، آپ عالمی فریکوئنسی سیٹ کرنے کے بجائے اپنے ڈیزائن کے لیے گھڑی کی رکاوٹیں خود بخود پیدا کر سکتے ہیں۔ تفصیلات کے لیے صفحہ 291 پر آٹو کنسٹرائنٹس کا استعمال دیکھیں۔
عالمی تعدد اور رکاوٹوں کا منصوبہ View
نفاذ کے اختیارات-> رکاوٹیں
2. رکاوٹ کی وضاحت کرنا files کے نفاذ کے لیے، درج ذیل میں سے ایک کریں:
پروجیکٹ->عمل درآمد کے اختیارات->حکمتیں منتخب کریں۔ رکاوٹ کو چیک کریں۔
fileآپ پروجیکٹ میں استعمال کرنا چاہتے ہیں۔
نفاذ کے اختیارات-> رکاوٹوں کے پینل سے، آپ اس پر بھی کلک کر سکتے ہیں۔
ایک پابندی شامل کریں file.
نفاذ کے ساتھ آپ منتخب کردہ استعمال کرنا چاہتے ہیں، شامل کریں پر کلک کریں۔ File میں
پروجیکٹ view، اور رکاوٹ شامل کریں۔ files آپ کی ضرورت ہے۔
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 81
باب 4: منطق کی ترکیب کا منصوبہ ترتیب دینا منطق کی ترکیب کے نفاذ کے اختیارات ترتیب دینا
رکاوٹ پیدا کرنا files، صفحہ 119 پر SCOPE رکاوٹوں کی وضاحت دیکھیں۔
3. رکاوٹ کو دور کرنے کے لیے files ایک نفاذ سے، درج ذیل میں سے ایک کریں:
پروجیکٹ->عمل درآمد کے اختیارات->حکمتیں منتخب کریں۔ چیک باکس پر کلک کریں۔
کے آگے file نام
پروجیکٹ میں view، رکاوٹ پر دائیں کلک کریں۔ file ہٹا دیا جائے اور
پروجیکٹ سے ہٹائیں کو منتخب کریں۔
یہ رکاوٹ کو دور کرتا ہے۔ file نفاذ سے، لیکن اسے حذف نہیں کرتا ہے۔
4. ضرورت کے مطابق نفاذ کے دیگر اختیارات مقرر کریں (انتخابات کی فہرست کے لیے صفحہ 75 پر منطق کی ترکیب کے نفاذ کے اختیارات کی ترتیب دیکھیں)۔ ٹھیک ہے پر کلک کریں۔
جب آپ ڈیزائن کی ترکیب کرتے ہیں، تو سافٹ ویئر آپ کے سیٹ کردہ اختیارات کا استعمال کرتے ہوئے ڈیزائن کو مرتب اور نقشہ بناتا ہے۔
نتائج کے اختیارات کی وضاحت کرنا
یہ سیکشن آپ کو دکھاتا ہے کہ ترکیب چلانے کے آؤٹ پٹ کے معیار کو کیسے بیان کیا جائے۔
1. نفاذ کے اختیارات کے فارم کو کھولیں نفاذ کے اختیارات کے بٹن پر کلک کر کے یا پروجیکٹ->عمل درآمد کے اختیارات کو منتخب کر کے، اور اوپری حصے میں نفاذ کے نتائج کے ٹیب پر کلک کریں۔
© 2014 Synopsys, Inc. 82
LO
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
منطق کی ترکیب کے نفاذ کے اختیارات کی ترتیب باب 4: منطق کی ترکیب کے منصوبے کو ترتیب دینا
2. آؤٹ پٹ کی وضاحت کریں۔ files آپ پیدا کرنا چاہتے ہیں.
میپڈ نیٹ لسٹ بنانے کے لیے files، Mapped Verilog Netlist لکھیں یا لکھیں پر کلک کریں۔
نقشہ شدہ VHDL نیٹ لسٹ۔
وینڈر کے لیے مخصوص رکاوٹ پیدا کرنے کے لیے file آگے تشریح کے لیے،
وینڈر کی پابندی لکھیں پر کلک کریں۔ File. اس رپورٹ کی تفصیلات کے لیے دیکھیں، مزید معلومات کے لیے حوالہ جات کے صفحہ 270. صفحہ 56 پر کنسٹرائنٹ چیکنگ رپورٹ دیکھیں۔
3. وہ ڈائریکٹری سیٹ کریں جس پر آپ نتائج لکھنا چاہتے ہیں۔
4. آؤٹ پٹ کے لیے فارمیٹ سیٹ کریں۔ file. اسکرپٹنگ کے لیے مساوی Tcl کمانڈ پروجیکٹ -result_format فارمیٹ ہے۔
آپ نام کی نقشہ سازی کو کنٹرول کرنے کے لیے اوصاف بھی مرتب کرنا چاہیں گے۔ تفصیلات کے لیے، حوالہ دستی میں مناسب وینڈر باب سے رجوع کریں۔
5. ضرورت کے مطابق نفاذ کے دیگر اختیارات مقرر کریں (انتخابات کی فہرست کے لیے صفحہ 75 پر منطق کی ترکیب کے نفاذ کے اختیارات کی ترتیب دیکھیں)۔ ٹھیک ہے پر کلک کریں۔
جب آپ ڈیزائن کی ترکیب کرتے ہیں، تو سافٹ ویئر آپ کے سیٹ کردہ اختیارات کا استعمال کرتے ہوئے ڈیزائن کو مرتب اور نقشہ بناتا ہے۔
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 83
باب 4: منطق کی ترکیب کا منصوبہ ترتیب دینا منطق کی ترکیب کے نفاذ کے اختیارات ترتیب دینا
ٹائمنگ رپورٹ آؤٹ پٹ کی وضاحت کرنا
آپ درج ذیل اختیارات کو ترتیب دے کر تعین کر سکتے ہیں کہ ٹائمنگ رپورٹ میں کتنی رپورٹ کی گئی ہے۔
1. پروجیکٹ->عمل درآمد کے اختیارات کا انتخاب، اور ٹائمنگ رپورٹ ٹیب پر کلک کریں۔ 2. ان اہم راستوں کی تعداد سیٹ کریں جن کی آپ سافٹ ویئر رپورٹ کرنا چاہتے ہیں۔
3. ابتدائی اور اختتامی پوائنٹس کی تعداد کی وضاحت کریں جنہیں آپ اہم راستے والے حصوں میں رپورٹ دیکھنا چاہتے ہیں۔
4. ضرورت کے مطابق نفاذ کے دیگر اختیارات مقرر کریں (انتخابات کی فہرست کے لیے صفحہ 75 پر منطق کی ترکیب کے نفاذ کے اختیارات کی ترتیب دیکھیں)۔ ٹھیک ہے پر کلک کریں۔ جب آپ ڈیزائن کی ترکیب کرتے ہیں، تو سافٹ ویئر آپ کے سیٹ کردہ اختیارات کا استعمال کرتے ہوئے ڈیزائن کو مرتب اور نقشہ بناتا ہے۔
ویریلوگ اور وی ایچ ڈی ایل کے اختیارات ترتیب دینا
جب آپ ویریلوگ اور وی ایچ ڈی ایل سورس سیٹ اپ کرتے ہیں۔ files آپ کے پروجیکٹ میں، آپ کچھ کمپائلر آپشنز بھی بتا سکتے ہیں۔
ویریلوگ کی ترتیب File اختیارات
آپ نے Verilog سیٹ کیا۔ file پروجیکٹ->عمل درآمد کے اختیارات-> ویریلوگ، یا آپشنز->ویریلاگ کمپائلر کی تشکیل کے ذریعے اختیارات۔
© 2014 Synopsys, Inc. 84
LO
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
منطق کی ترکیب کے نفاذ کے اختیارات کی ترتیب باب 4: منطق کی ترکیب کے منصوبے کو ترتیب دینا
1. استعمال کرنے کے لیے Verilog فارمیٹ کی وضاحت کریں۔
تمام کے لیے عالمی سطح پر مرتب کرنے کے لیے files پروجیکٹ میں، منتخب کریں۔
پروجیکٹ->عمل درآمد کے اختیارات->ویریلوگ۔ اگر آپ Verilog 2001 یا SystemVerilog استعمال کر رہے ہیں، تو معاون تعمیرات کے لیے حوالہ دستی چیک کریں۔
فی پر ویریلوگ کمپائلر کی وضاحت کرنے کے لیے file بنیاد، منتخب کریں file میں
پروجیکٹ view. دائیں کلک کریں اور منتخب کریں۔ File اختیارات۔ مناسب کمپائلر کو منتخب کریں۔ ڈیفالٹ Verilog file نئے منصوبوں کے لیے فارمیٹ SystemVerilog ہے۔
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 85
باب 4: منطق کی ترکیب کا منصوبہ ترتیب دینا منطق کی ترکیب کے نفاذ کے اختیارات ترتیب دینا
2. اگر آپ نے پروجیکٹ میں پہلے ہی ایسا نہیں کیا ہے تو اعلی سطحی ماڈیول کی وضاحت کریں۔ view.
3. سورس کوڈ سے پیرامیٹرز نکالنے کے لیے، درج ذیل کام کریں:
ایکسٹریکٹ پیرامیٹرز پر کلک کریں۔ ڈیفالٹ کو اوور رائیڈ کرنے کے لیے، پیرامیٹر کے لیے ایک نئی قدر درج کریں۔
سافٹ ویئر صرف موجودہ نفاذ کے لیے نئی قدر کا استعمال کرتا ہے۔ نوٹ کریں کہ پیرامیٹر نکالنا مخلوط ڈیزائن کے لیے تعاون یافتہ نہیں ہے۔
4. بیانات کو الگ کرنے کے لیے خالی جگہوں کا استعمال کرتے ہوئے کمپائلر ڈائریکٹیو میں ڈائریکٹیو ٹائپ کریں۔ آپ ان ہدایات میں ٹائپ کر سکتے ہیں جو آپ عام طور پر 'ifdef' کے ساتھ درج کریں گے اور کوڈ میں بیانات کی وضاحت کریں گے۔ سابق کے لیےample، ABC=30 کے نتیجے میں سافٹ ویئر پروجیکٹ کے لیے درج ذیل بیانات لکھتا ہے۔ file:
set_option -hdl_define - سیٹ "ABC=30"
LO
© 2014 Synopsys, Inc. 86
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
منطق کی ترکیب کے نفاذ کے اختیارات کی ترتیب باب 4: منطق کی ترکیب کے منصوبے کو ترتیب دینا
5. انکلوڈ پاتھ آرڈر میں، ویریلوگ کے لیے شامل کمانڈز کے لیے تلاش کے راستوں کی وضاحت کریں۔ fileجو آپ کے پروجیکٹ میں ہیں۔ راستوں کو شامل کرنے، حذف کرنے یا دوبارہ ترتیب دینے کے لیے باکس کے اوپری دائیں کونے میں بٹن استعمال کریں۔
6. لائبریری ڈائرکٹریز میں، اس ڈائریکٹری کے راستے کی وضاحت کریں جس میں لائبریری موجود ہے۔ files آپ کے منصوبے کے لیے۔ راستوں کو شامل کرنے، حذف کرنے یا دوبارہ ترتیب دینے کے لیے باکس کے اوپری دائیں کونے میں بٹن استعمال کریں۔
7. ضرورت کے مطابق نفاذ کے دیگر اختیارات مقرر کریں (انتخابات کی فہرست کے لیے صفحہ 75 پر منطق کی ترکیب کے نفاذ کے اختیارات کی ترتیب دیکھیں)۔ ٹھیک ہے پر کلک کریں۔ جب آپ ڈیزائن کی ترکیب کرتے ہیں، تو سافٹ ویئر آپ کے سیٹ کردہ اختیارات کا استعمال کرتے ہوئے ڈیزائن کو مرتب اور نقشہ بناتا ہے۔
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 87
باب 4: منطق کی ترکیب کا منصوبہ ترتیب دینا منطق کی ترکیب کے نفاذ کے اختیارات ترتیب دینا
VHDL ترتیب دینا File اختیارات
آپ نے VHDL سیٹ کیا۔ file پروجیکٹ->عمل درآمد کے اختیارات->VHDL، یا اختیارات->VHDL مرتب کنفیگر کر کے اختیارات۔
VHDL ماخذ کے لیے، آپ ذیل میں بیان کردہ اختیارات کی وضاحت کر سکتے ہیں۔
1. اگر آپ نے پروجیکٹ میں پہلے ہی ایسا نہیں کیا ہے تو اعلی سطحی ماڈیول کی وضاحت کریں۔ view. اگر اعلیٰ سطح کا ماڈیول پہلے سے طے شدہ ورک لائبریری میں موجود نہیں ہے، تو آپ کو لائبریری کی وضاحت کرنی چاہیے جہاں مرتب کرنے والا ماڈیول تلاش کر سکتا ہے۔ ایسا کرنے کے طریقہ کے بارے میں معلومات کے لیے، صفحہ 200 پر VHDL پینل دیکھیں۔
آپ اس آپشن کو مخلوط زبان کے ڈیزائن کے لیے بھی استعمال کر سکتے ہیں یا جب آپ کسی ایسے ماڈیول کی وضاحت کرنا چاہتے ہیں جو ایچ ڈی ایل تجزیہ کار ڈسپلے کرنے اور اسکیمیٹک میں LdOebugging کے لیے اصل اعلیٰ سطح کا ادارہ نہیں ہے۔ views 2. صارف کی وضاحت شدہ ریاستی مشین انکوڈنگ کے لیے، درج ذیل کریں:
انکوڈنگ کی قسم کی وضاحت کریں جسے آپ استعمال کرنا چاہتے ہیں۔
© 2014 Synopsys, Inc. 88
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
منطق کی ترکیب کے نفاذ کے اختیارات کی ترتیب باب 4: منطق کی ترکیب کے منصوبے کو ترتیب دینا
FSM کمپائلر کو غیر فعال کریں۔
جب آپ ڈیزائن کی ترکیب کرتے ہیں، تو سافٹ ویئر ریاستی مشینوں کو انکوڈ کرنے کے لیے آپ کے یہاں مرتب کردہ کمپائلر ڈائریکٹیو کا استعمال کرتا ہے اور FSM کمپائلر نہیں چلاتا، جو کمپائلر ڈائریکٹیو کو اوور رائیڈ کر دے گا۔ متبادل طور پر، آپ سٹیٹ مشینوں کو syn_encoding انتساب کے ساتھ متعین کر سکتے ہیں، جیسا کہ صفحہ 308 پر VHDL میں ریاستی مشینوں کی تعریف میں بیان کیا گیا ہے۔
3. سورس کوڈ سے جنرک نکالنے کے لیے، یہ کریں:
عام کنسٹینٹس کو نکالیں پر کلک کریں۔ پہلے سے طے شدہ کو اوور رائیڈ کرنے کے لیے، عام کے لیے ایک نئی قدر درج کریں۔
سافٹ ویئر صرف موجودہ نفاذ کے لیے نئی قدر کا استعمال کرتا ہے۔ نوٹ کریں کہ اگر آپ کے پاس مخلوط زبان کا ڈیزائن ہے تو آپ جنرک نہیں نکال سکتے۔
4. ٹرسٹیٹس کو پروسیس/بلاک باؤنڈری میں آگے بڑھانے کے لیے، چیک کریں کہ پش ٹریسٹیٹ فعال ہے۔ تفصیلات کے لیے، حوالہ دستی میں صفحہ 212 پر پش ٹرسٹیٹس آپشن دیکھیں۔
5. synthesis_on اور synthesis_off کی ہدایات کی تشریح کا تعین کریں:
کمپائلر کو synthesis_on اور synthesis_off کی ہدایات کی تشریح کرنے کے لیے
translate_on/translate_off کی طرح، ٹرانسلیٹ آن/آف آپشن کے طور پر نافذ کردہ سنتھیسس آن/آف کو فعال کریں۔
synthesis_on اور synthesis_off کی ہدایات کو نظر انداز کرنے کے لیے، اس بات کو یقینی بنائیں
یہ اختیار چیک نہیں کیا گیا ہے۔ مزید معلومات کے لیے حوالہ دستی میں صفحہ 226 پر translate_off/translate_on دیکھیں۔
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 89
باب 4: منطقی ترکیب کا منصوبہ ترتیب دینا
صفات اور ہدایات کی وضاحت کرنا
6. ضرورت کے مطابق نفاذ کے دیگر اختیارات مقرر کریں (انتخابات کی فہرست کے لیے صفحہ 75 پر منطق کی ترکیب کے نفاذ کے اختیارات کی ترتیب دیکھیں)۔ ٹھیک ہے پر کلک کریں۔
جب آپ ڈیزائن کی ترکیب کرتے ہیں، تو سافٹ ویئر آپ کے سیٹ کردہ اختیارات کا استعمال کرتے ہوئے ڈیزائن کو مرتب اور نقشہ بناتا ہے۔
صفات اور ہدایات کی وضاحت کرنا
اوصاف اور ہدایات وہ وضاحتیں ہیں جو آپ اشیاء کو ڈیزائن کرنے کے لیے تفویض کرتے ہیں تاکہ آپ کے ڈیزائن کے تجزیہ، اصلاح اور نقشہ سازی کے طریقے کو کنٹرول کیا جا سکے۔
اوصاف کنٹرول میپنگ آپٹیمائزیشنز اور ڈائریکٹو کنٹرول کمپائلر آپٹیمائزیشنز۔ اس فرق کی وجہ سے، آپ کو سورس کوڈ میں ہدایات کی وضاحت کرنی ہوگی۔ یہ جدول ان طریقوں کی وضاحت کرتا ہے جو وصف اور ہدایتی وضاحتیں بنانے کے لیے دستیاب ہیں:
VHDL Verilog SCOPE ایڈیٹر کی پابندیاں File
اوصاف ہاں ہاں ہاں ہاں
ہدایات ہاں ہاں نہیں نہیں۔
SCOPE ایڈیٹر یا رکاوٹوں میں اوصاف کی وضاحت کرنا بہتر ہے۔ file، کیونکہ آپ کو پہلے ڈیزائن کو دوبارہ مرتب کرنے کی ضرورت نہیں ہے۔ ہدایات کے لیے، آپ کو ان کے مؤثر ہونے کے لیے ڈیزائن مرتب کرنا چاہیے۔
اگر SCOPE/ رکاوٹیں ہیں۔ file اور HDL سورس کوڈ کو ڈیزائن کے لیے مخصوص کیا گیا ہے، جب تنازعات ہوں تو رکاوٹوں کو ترجیح دی جاتی ہے۔
مزید تفصیلات کے لیے درج ذیل سے رجوع کریں:
صفحہ 91 پر VHDL میں صفات اور ہدایات کی وضاحت کرنا؛ صفحہ 92 پر Verilog میں صفات اور ہدایات کی وضاحت کرنا؛ صفحہ 93 پر SCOPE ایڈیٹر کے ساتھ صفات کی وضاحت کرنا؛ پابندیوں میں صفات کی وضاحت کرنا File، صفحہ 97 پر
© 2014 Synopsys, Inc. 90
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
صفات اور ہدایات کی وضاحت کرنا
باب 4: منطقی ترکیب کا منصوبہ ترتیب دینا
VHDL میں صفات اور ہدایات کی وضاحت کرنا
آپ آبجیکٹ میں صفات شامل کرنے کے لیے دوسرے طریقے استعمال کر سکتے ہیں، جیسا کہ صفحہ 90 پر مخصوص صفات اور ہدایات میں درج ہے۔ تاہم، آپ صرف سورس کوڈ میں ہدایات کی وضاحت کر سکتے ہیں۔ VHDL میں صفات اور ہدایات کی وضاحت کے دو طریقے ہیں:
· پہلے سے طے شدہ اوصاف پیکیج کا استعمال
· ہر بار استعمال ہونے پر وصف کا اعلان کرنا
VHDL انتساب نحو کی تفصیلات کے لیے، حوالہ دستی میں صفحہ 561 پر VHDL انتساب اور ہدایتی نحو کو دیکھیں۔
پہلے سے طے شدہ VHDL انتساب پیکیج کا استعمال
ایڈوانtage پہلے سے طے شدہ پیکج کو استعمال کرنے کا مطلب یہ ہے کہ آپ جب بھی صفات اور ہدایات کو سورس کوڈ میں شامل کرتے ہیں ان کی دوبارہ وضاحت کرنے سے گریز کریں۔ نقصانtage یہ ہے کہ آپ کا سورس کوڈ کم پورٹیبل ہے۔ اوصاف پیکیج installDirectory/lib/vhd/synattr.vhd میں واقع ہے۔
1. سافٹ ویئر لائبریری میں شامل پہلے سے طے شدہ اوصاف کے پیکیج کو استعمال کرنے کے لیے، ان سطروں کو نحو میں شامل کریں:
لائبریری synplify؛ synplify.attributes.all استعمال کریں؛
2. وہ وصف یا ہدایت شامل کریں جو آپ ڈیزائن یونٹ کے اعلان کے بعد چاہتے ہیں۔
اعلانات آبجیکٹ نام کا attribute attribute_name : objectType قدر ہے ;
سابق کے لیےampلی:
entity simpledff پورٹ ہے (q: out bit_vector(7 downto 0); d : in bit_vector(7 downto 0); clk : in bit)
clk کا وصف syn_noclockbuf : سگنل سچ ہے؛
نحوی کنونشنز کی تفصیلات کے لیے، حوالہ دستی میں صفحہ 561 پر VHDL انتساب اور ہدایتی نحو دیکھیں۔
3. ماخذ شامل کریں۔ file منصوبے کو.
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 91
باب 4: منطقی ترکیب کا منصوبہ ترتیب دینا
صفات اور ہدایات کی وضاحت کرنا
VHDL صفات اور ہدایات کا اعلان کرنا
اگر آپ انتساب پیکج کا استعمال نہیں کرتے ہیں، تو آپ کو ہر بار صفات کو سورس کوڈ میں شامل کرنے پر ان کی دوبارہ وضاحت کرنی ہوگی۔
1. جب بھی آپ کسی وصف یا ہدایت کا استعمال کرتے ہیں، اس کی وضاحت ڈیزائن یونٹ کے اعلانات کے فوراً بعد درج ذیل نحو کا استعمال کرتے ہوئے کریں:
ڈیزائن_یونٹ_ڈیکلریشن ؛ attribute attributeName : dataType ; آبجیکٹ نام کا attribute attributeName : ObjectType قدر ہے ;
سابق کے لیےampلی:
entity simpledff پورٹ ہے (q: out bit_vector(7 downto 0); d : in bit_vector(7 downto 0); clk : in bit)
وصف syn_noclockbuf : بولین؛ clk کی صفت syn_noclockbuf : سگنل سچ ہے؛
2. ماخذ شامل کریں۔ file منصوبے کو.
Verilog میں اوصاف اور ہدایات کی وضاحت کرنا
آپ اشیاء میں صفات شامل کرنے کے لیے دوسرے طریقے استعمال کر سکتے ہیں، جیسا کہ صفحہ 90 پر مخصوص خصوصیات اور ہدایات میں بیان کیا گیا ہے۔ تاہم، آپ صرف ماخذ کوڈ میں ہدایات کی وضاحت کر سکتے ہیں۔
Verilog میں پہلے سے طے شدہ ترکیب کی خصوصیات اور ہدایات نہیں ہیں، لہذا آپ کو انہیں تبصروں کے طور پر شامل کرنا چاہیے۔ وصف یا ہدایت نام سے پہلے مطلوبہ الفاظ کی ترکیب ہے۔ ویریلوگ files کیس حساس ہیں، اس لیے اوصاف اور ہدایات کو بالکل اسی طرح بیان کیا جانا چاہیے جیسا کہ ان کی نحوی وضاحت میں پیش کیا گیا ہے۔ نحو کی تفصیلات کے لیے، حوالہ دستی میں صفحہ 363 پر Verilog Attribute اور Directive Syntax دیکھیں۔
1. ویریلوگ میں کوئی وصف یا ہدایت شامل کرنے کے لیے، ڈیزائن آبجیکٹ کے بعد ویریلوگ لائن یا بلاک کمنٹ (سی طرز) نحو کا استعمال کریں۔ بلاک کمنٹس کو سیمی کالون سے پہلے ہونا چاہیے، اگر کوئی ہے تو۔
LO
© 2014 Synopsys, Inc. 92
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
صفات اور ہدایات کی وضاحت کرنا
باب 4: منطقی ترکیب کا منصوبہ ترتیب دینا
ویریلوگ بلاک کمنٹ سنٹیکس
/* ترکیب وصف کا نام = قدر */ /* ترکیب ڈائریکٹری نام = قدر */
Verilog لائن تبصرہ نحو
// synthesis attributeName = value // synthesis directoryName = قدر
نحوی قواعد کی تفصیلات کے لیے، حوالہ دستی میں صفحہ 363 پر Verilog Attribute اور Directive Syntax دیکھیں۔ مندرجہ ذیل سابق ہیں۔amples:
ماڈیول fifo(out, in) /* synthesis syn_hier = "مشکل" */;
2. ایک ہی شے سے متعدد اوصاف یا ہدایتیں منسلک کرنے کے لیے، صفات کو سفید خالی جگہوں سے الگ کریں، لیکن ترکیب کلیدی لفظ کو نہ دہرائیں۔ کوما استعمال نہ کریں۔ سابق کے لیےampلی:
کیس اسٹیٹ /* ترکیب مکمل_ کیس متوازی_ کیس */؛
3. اگر ایک سے زیادہ رجسٹروں کی تعریف ایک ہی Verilog reg سٹیٹمنٹ کا استعمال کرتے ہوئے کی جاتی ہے اور ان پر ایک انتساب لاگو ہوتا ہے، تو سنتھیسس سافٹ ویئر صرف reg سٹیٹمنٹ میں آخری اعلان شدہ رجسٹر کا اطلاق کرتا ہے۔ سابق کے لیےampلی:
reg [5:0] q, q_a, q_b, q_c, q_d /* synthesis syn_preserve=1 */;
syn_preserve وصف صرف q_d پر لاگو ہوتا ہے۔ یہ ترکیب کے اوزار کے لیے متوقع رویہ ہے۔ اس وصف کو تمام رجسٹروں پر لاگو کرنے کے لیے، آپ کو ہر رجسٹر کے لیے علیحدہ ویریلوگ ریگ اسٹیٹمنٹ استعمال کرنا چاہیے اور اس وصف کو لاگو کرنا چاہیے۔
SCOPE ایڈیٹر کا استعمال کرتے ہوئے اوصاف کی وضاحت کرنا
SCOPE ونڈو کسی بھی وصف کو شامل کرنے کے لیے استعمال میں آسان انٹرفیس فراہم کرتی ہے۔ آپ اسے ہدایات شامل کرنے کے لیے استعمال نہیں کر سکتے، کیونکہ انہیں ماخذ میں شامل کرنا ضروری ہے۔ files (صفحہ 91 پر VHDL میں صفات اور ہدایات کی وضاحت یا Verilog میں صفات اور ہدایات کی وضاحت، صفحہ 92 پر دیکھیں)۔ مندرجہ ذیل طریقہ کار دکھاتا ہے کہ کس طرح براہ راست SCOPE ونڈو میں ایک وصف شامل کرنا ہے۔
1. مرتب کردہ ڈیزائن کے ساتھ شروع کریں اور SCOPE ونڈو کھولیں۔ صفات کو موجودہ رکاوٹ میں شامل کرنے کے لیے fileموجودہ پر کلک کر کے SCOPE ونڈو کو کھولیں۔ file پروجیکٹ میں view. صفات کو نئے میں شامل کرنے کے لیے fileSCOPE آئیکن پر کلک کریں اور SCOPE ونڈو کو کھولنے کے لیے Initialize پر کلک کریں۔
2. SCOPE ونڈو کے نیچے موجود انتسابات کے ٹیب پر کلک کریں۔
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 93
باب 4: منطقی ترکیب کا منصوبہ ترتیب دینا
صفات اور ہدایات کی وضاحت کرنا
آپ یا تو سب سے پہلے آبجیکٹ (مرحلہ 3) یا انتساب پہلے (مرحلہ 4) کو منتخب کر سکتے ہیں۔
3. آبجیکٹ کی وضاحت کرنے کے لیے، آبجیکٹ کالم میں درج ذیل میں سے ایک کریں۔ اگر آپ نے پہلے ہی انتساب کی وضاحت کر دی ہے، تو آبجیکٹ کالم اس وصف کے لیے صرف درست آبجیکٹ کے انتخاب کی فہرست دیتا ہے۔
آبجیکٹ فلٹر کالم میں آبجیکٹ کی قسم منتخب کریں، اور پھر ایک کو منتخب کریں۔
آبجیکٹ کالم میں انتخاب کی فہرست سے آبجیکٹ۔ یہ یقینی بنانے کا بہترین طریقہ ہے کہ آپ صحیح نحو کے ساتھ مناسب آبجیکٹ کی وضاحت کر رہے ہیں۔
© 2014 Synopsys, Inc. 94
LO
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
صفات اور ہدایات کی وضاحت کرنا
باب 4: منطقی ترکیب کا منصوبہ ترتیب دینا
اس آبجیکٹ کو گھسیٹیں جس سے آپ انتساب منسلک کرنا چاہتے ہیں۔
RTL یا ٹیکنالوجی viewSCOPE ونڈو میں آبجیکٹ کالم پر s۔ کچھ اوصاف کے لیے، ہو سکتا ہے گھسیٹنے اور چھوڑنے سے صحیح چیز کا انتخاب نہ ہو۔ سابق کے لیےample، اگر آپ syn_hier کو کسی ماڈیول یا ہستی جیسے an and gate پر سیٹ کرنا چاہتے ہیں تو آپ کو اسے سیٹ کرنا ہوگا view اس ماڈیول کے لیے۔ آبجیکٹ کا یہ نحو ہوگا: ویریلوگ میں v:moduleName، یا VHDL میں v:library.moduleName، جہاں آپ کے پاس متعدد لائبریریاں ہوسکتی ہیں۔
آبجیکٹ کالم میں آبجیکٹ کا نام ٹائپ کریں۔ اگر تم نہیں جانتے
نام، فائنڈ کمانڈ یا آبجیکٹ فلٹر کالم کا استعمال کریں۔ اس بات کو یقینی بنائیں کہ جس چیز کی ضرورت ہو اس کے لیے مناسب سابقہ ٹائپ کریں۔ سابق کے لیےample، a پر ایک وصف قائم کرنے کے لیے view، آپ کو ماڈیول یا ہستی کے نام میں v: سابقہ شامل کرنا ہوگا۔ VHDL کے لیے، آپ کو لائبریری کے ساتھ ساتھ ماڈیول کا نام بھی بتانا پڑ سکتا ہے۔
4. اگر آپ نے سب سے پہلے آبجیکٹ کی وضاحت کی ہے، تو اب آپ انتساب کی وضاحت کر سکتے ہیں۔ فہرست آپ کے منتخب کردہ آبجیکٹ کی قسم کے لیے صرف درست صفات دکھاتی ہے۔ انتساب کالم میں ماؤس کے بٹن کو دبا کر اور فہرست میں سے ایک انتساب کو منتخب کر کے انتساب کی وضاحت کریں۔
اگر آپ نے سب سے پہلے آبجیکٹ کو منتخب کیا ہے، تو دستیاب انتخاب کا تعین منتخب کردہ آبجیکٹ اور آپ کی استعمال کردہ ٹیکنالوجی سے ہوتا ہے۔ اگر آپ نے سب سے پہلے انتساب کا انتخاب کیا ہے، تو دستیاب انتخاب کا تعین ٹیکنالوجی کے ذریعے کیا جاتا ہے۔
جب آپ کسی وصف کو منتخب کرتے ہیں، تو SCOPE ونڈو آپ کو بتاتی ہے کہ آپ کو اس وصف کے لیے کس قسم کی قدر درج کرنی چاہیے اور اس وصف کی مختصر وضاحت فراہم کرتی ہے۔ اگر آپ نے سب سے پہلے انتساب کا انتخاب کیا ہے، تو یقینی بنائیں کہ واپس جائیں اور آبجیکٹ کی وضاحت کریں۔
5. قدر کو پُر کریں۔ ویلیو کالم میں ماؤس کے بٹن کو دبائے رکھیں، اور فہرست سے منتخب کریں۔ آپ قدر بھی ٹائپ کر سکتے ہیں۔
Synplify Pro برائے مائیکروسیمی ایڈیشن یوزر گائیڈ اکتوبر 2014
© 2014 Synopsys, Inc. 95
باب 4: ایک منطق Sy ترتیب دینا
دستاویزات / وسائل
![]() |
SYnOPSYS FPGA Synthesis Synplify Pro for Microsemi Edition [پی ڈی ایف] یوزر گائیڈ FPGA Synthesis Synplify Pro for Microsemi Edition, Synthesis Synplify Pro for Microsemi Edition, Synplify Pro for Microsemi Edition, Pro for Microsemi Edition, Microsemi Edition, Edition |