ለማይክሮሴሚ እትም የFPGA Synthesis Synplify Pro
ዝርዝሮች
- ምርት፡ ሲኖፕሲዎች FPGA Synthesis – Pro for Microsemi ማመሳሰል
እትም - የተጠቃሚ መመሪያ፡ ኦክቶበር 2014
- የቅጂ መብት፡ Synopsys, Inc.
- ቋንቋ: እንግሊዝኛ
- የትውልድ ሀገር፡ ዩናይትድ ስቴትስ ኦፍ አሜሪካ
የምርት መረጃ
ሲኖፕሲው FPGA Synthesis - ለማይክሮሴሚ እትም ማመሳሰል
ከተለያዩ ጋር ለ FPGA ትግበራ አጠቃላይ መሳሪያ ነው።
በሎጂክ ውህደት እና ዲዛይን ውስጥ ተጠቃሚዎችን ለመርዳት የተነደፉ ባህሪያት
ፍሰቶች.
የምርት አጠቃቀም መመሪያዎች
ምዕራፍ 1፡ መግቢያ
ይህ ምዕራፍ ተጨማሪ ያቀርባልview የሲኖፕሲዎች FPGA እና
የፕሮቶታይፕ ምርቶች፣ FPGA የማስፈጸሚያ መሳሪያዎች እና ሲኖፕሲዎች FPGA
የመሳሪያ ባህሪያት.
የሰነዱ ወሰን
የሰነዱ ስብስብ በምርቱ ባህሪያት ላይ መረጃን ያካትታል
እና ለ FPGA ውህደት እና ዲዛይን ፍላጎት ላላቸው ተጠቃሚዎች የታሰበ ነው።
ፍሰቶች.
እንደ መጀመር
ሶፍትዌሩን መጠቀም ለመጀመር የቀረበውን ተከትሎ ያስጀምሩት።
መመሪያዎችን እና ለእርዳታ የተጠቃሚውን መመሪያ ይመልከቱ.
የተጠቃሚ በይነገጽ አልፏልview
በብቃት እራስዎን ከተጠቃሚ በይነገጽ ጋር ይተዋወቁ
በሶፍትዌር ባህሪያት ውስጥ ያስሱ.
ምዕራፍ 2፡ የ FPGA ውህደት ንድፍ ፍሰቶች
ይህ ምእራፍ ለ FPGA የሎጂክ ሲንተሲስ ንድፍ ፍሰት በዝርዝር ይዘረዝራል።
ውህደት.
ምዕራፍ 3፡ ግቤቱን በማዘጋጀት ላይ
የተቀላቀለ ቋንቋ ምንጭ እንዴት መጠቀም እንደሚችሉ ይወቁ Files እና ጭማሪ
ለተቀላጠፈ የግብአት ዝግጅት ማጠናከሪያ።
ማስታወሻ፡- ተያያዥ ማናቸውንም ገደቦች ይወቁ
ጭማሪ ማጠናከሪያን በመጠቀም።
የሚጠየቁ ጥያቄዎች
ጥ፡ የሰነዶቹን ቅጂ መስራት እችላለሁ?
መ: አዎ፣ የፍቃድ ስምምነቱ ለውስጣዊ ቅጂዎችን ለመስራት ይፈቅዳል
በተገቢው ባህሪ ብቻ ይጠቀሙ.
ጥ፡ ሶፍትዌሩን እንዴት እጀምራለሁ?
መ: በምዕራፍ 1 ውስጥ ያለውን "መጀመር" የሚለውን ክፍል ተመልከት
ሶፍትዌሩን ለመጀመር ዝርዝር መመሪያዎችን ለማግኘት የተጠቃሚ መመሪያ።
ጥ፡ ለዚህ የተጠቃሚ መመሪያ የታሰበው ታዳሚ ምንድን ነው?
መ: የተጠቃሚ መመሪያው FPGA ላይ ፍላጎት ያላቸውን ግለሰቦች ላይ ያነጣጠረ ነው።
ውህደት እና የንድፍ ፍሰቶች.
ሲኖፕሲዎች የ FPGA ውህደት
ለማይክሮሴሚ እትም ፕሮ ማመሳሰል
የተጠቃሚ መመሪያ
ኦክቶበር 2014
የቅጂ መብት ማስታወቂያ እና የባለቤትነት መረጃ
የቅጂ መብት © 2014 Synopsys, Inc. መብቱ በህግ የተጠበቀ ነው። ይህ ሶፍትዌር እና ሰነድ የሲኖፕሲ, Inc. ንብረት የሆነ ሚስጥራዊ እና የባለቤትነት መረጃን ይዟል። ሶፍትዌሩ እና ሰነዶቹ በፈቃድ ውል መሰረት የተዘጋጁ እና ጥቅም ላይ ሊውሉ ወይም ሊገለበጡ የሚችሉት በፍቃድ ስምምነቱ ውል መሰረት ብቻ ነው። የሶፍትዌሩ እና የሰነዱ ክፍል በማንኛውም መልኩ ወይም በማናቸውም መንገድ በኤሌክትሮኒክስ፣ ሜካኒካል፣ ማንዋል፣ ኦፕቲካል ወይም በሌላ መልኩ ከሲኖፕሲዎች፣ Inc. የጽሁፍ ፈቃድ ውጭ ወይም በፍቃድ ስምምነቱ በግልፅ እንደተገለጸው ሊባዛ፣ ሊተላለፍ ወይም ሊተረጎም አይችልም።
ሰነዶችን የመቅዳት መብት
ከሲኖፕሲዎች ጋር ያለው የፈቃድ ስምምነት ፈቃድ ሰጪው የሰነዶቹን ቅጂ ለውስጣዊ ጥቅም ብቻ እንዲሰራ ይፈቅድለታል።
እያንዳንዱ ቅጂ ሁሉንም የቅጂ መብቶች፣ የንግድ ምልክቶች፣ የአገልግሎት ምልክቶች እና የባለቤትነት መብት ማስታወቂያዎችን ማካተት አለበት። ፈቃድ ሰጪው ተከታታይ ቁጥሮች ለሁሉም ቅጂዎች መስጠት አለበት። እነዚህ ቅጂዎች በሽፋን ገጹ ላይ የሚከተለውን አፈ ታሪክ ይይዛሉ፡-
"ይህ ሰነድ የተባዛው በሲኖፕሲ, ኢንክ., ለ______________________________________________ እና ለሰራተኞቹ ብቻ ጥቅም ላይ እንዲውል ነው። ይህ ቅጂ ቁጥር __________ ነው።"
የመድረሻ መቆጣጠሪያ መግለጫ
በዚህ ህትመት ውስጥ የተካተቱት ሁሉም ቴክኒካዊ መረጃዎች ለዩናይትድ ስቴትስ ኦፍ አሜሪካ የኤክስፖርት ቁጥጥር ህጎች ተገዢ ናቸው። የዩናይትድ ስቴትስ ህግን የሚጻረር የሌላ ሀገር ዜጎችን ማሳወቅ የተከለከለ ነው። ተፈፃሚነት ያላቸውን ደንቦች የመወሰን እና እነሱን ማክበር የአንባቢው ኃላፊነት ነው።
LO
© 2014 Synopsys, Inc. 2
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
ማስተባበያ
ሲኖፕሲዎች፣ ኢንክ. እና ፍቃድ ሰጪዎቹ ከዚህ ቁሳቁስ ጋር በተያያዘ ምንም አይነት፣ የተገለፀም ሆነ የተዘዋዋሪ፣ ምንም አይነት ዋስትና አይሰጡም
የተመዘገቡ የንግድ ምልክቶች (®)
ሲኖፕሲዎች፣ AEON፣ AMPኤስ፣ አስትሮ፣ ባህሪ የማውጣት ውህድ ቴክኖሎጂ፣ ካዳብራ፣ CATS፣ ሰርተፍኬት፣ CHIPit፣ CoMET፣ CODE V፣ Design Compiler፣ DesignWare፣ EMBED-IT!፣ ፎርማሊቲ፣ ጋላክሲ ብጁ ዲዛይነር፣ ግሎባል ሲንተሲስ፣ ሃፕስ፣ ሃፕትራክ፣ ኤችዲኤል ተንታኝ፣ HSIM፣ HSPICE፣ መለየት፣ ሜዴል መሳሪያ፣ LeToolsda ናኖሲም፣ NOVeA፣ OpenVera፣ ORA፣ PathMill፣ Physical Compiler፣ PrimeTime፣ SCOPE፣ በቀላሉ የተሻሉ ውጤቶች፣ SiVL፣ SNUG፣ SolvNet፣ Sonic Focus፣ STAR Memory System፣ Syndicated፣ Synplicity፣ Synplicity Logo፣ Synplify፣ Synplify Pro, Optiment Enstraint, Teng Max VCS፣ Vera እና YIELDirector የተመዘገቡ የሲኖፕሲዎች፣ Inc. የንግድ ምልክቶች ናቸው።
የንግድ ምልክቶች (TM)
AFGen, Apollo, ARC, ASAP, Astro-Rail, Astro-Xtalk, Aurora, AvanWaves, BEST, Columbia, Columbia-CE, Cosmos, CosmosLE, CosmosScope, CRITIC, CustomExplorer, CustomSim, DC Expert, DC Professional, DC Professional, DC Ultra, Design Analyzer, DesignMax, DesignPower, DirectPower, ዲዛይነር ዲዛይነር, ዳይሬክት ኤችዲ, ዲዛይነር ዲዛይነር, ዳይሬክት ኤችዲ, ዲዛይነር ዲዛይነር, ዲዛይነር ዲዛይነር, ዳይሬክት ኤችዲ, ዲዛይነር ዲዛይነር ግኝት፣ ግርዶሽ፣ ኢንኮር፣ ኢፒአይሲ፣ ጋላክሲ፣ HANEX፣ HDL ኮምፕሌተር፣ ሄርኩለስ፣ ተዋረዳዊ ማሻሻያ ቴክኖሎጂ፣ ከፍተኛ አፈጻጸም ያለው ASIC ፕሮቶታይፕ ሲስተም፣ HSIMplus፣ i-Virtual Stepper፣ IICE፣ in-Sync፣ iN-ታንደም፣ ኢንቴልሊ፣ ጁፒተር፣ ጁፒተር-ዲፒ፣ ጁፒተር-ዲፒ፣ ጁፒተር-ዲፒ Libra-Passport፣ Library Compiler፣ Macro-PLUS፣ Magellan፣ Mars፣ Mars-Rail፣ Mars-Xtalk፣ Milkyway፣ ModelSource፣ Module Compiler፣ MultiPoint፣ ORA ኢንጂነሪንግ፣ አካላዊ ተንታኝ፣ ፕላኔት፣ ፕላኔት-PL፣ ፖላሪስ፣ ፓወር አቀናባሪ፣ ራፋኤል፣ ሳክኮሪክስ SiWare፣ Star-RCXT፣ Star-SimXT፣ StarRC፣ System Compiler፣ System Designer፣ Taurus፣ TotalRecall፣ TSUPREM-4፣ VCSI፣ VHDL Compiler፣ VMC እና Worksheet Buffer የሲኖፕሲዎች፣ Inc. የንግድ ምልክቶች ናቸው።
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 3
የአገልግሎት ምልክቶች (ኤስኤምኤስ)
MAP-in፣ SVP Café እና TAP-in የሲኖፕሲዎች የአገልግሎት ምልክቶች ናቸው፣ Inc. SystemC የ Open SystemC Initiative የንግድ ምልክት ነው እና በፍቃድ ስር ጥቅም ላይ ይውላል። ARM እና AMBA የተመዘገቡ የARM ሊሚትድ የንግድ ምልክቶች ናቸው። ሳበር የሳብርማርክ ሊሚትድ ሽርክና የተመዘገበ የንግድ ምልክት ነው እና በፍቃድ ስር ጥቅም ላይ ይውላል። ሁሉም ሌሎች ምርቶች ወይም የኩባንያ ስሞች የየባለቤቶቻቸው የንግድ ምልክቶች ሊሆኑ ይችላሉ።
በዩኤስኤ ኦክቶበር 2014 ታትሟል
© 2014 Synopsys, Inc. 4
LO
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
ይዘቶች
ምዕራፍ 1፡ መግቢያ
ሲኖፕሲዎች FPGA እና የፕሮቶታይፕ ምርቶች። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 የ FPGA ማስፈጸሚያ መሳሪያዎች። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 ሲኖፕሲዎች የFPGA መሣሪያ ባህሪዎች። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
የሰነዱ ወሰን። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 የሰነዱ ስብስብ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 ታዳሚዎች . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
እንደ መጀመር ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 ሶፍትዌሩን መጀመር. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 እርዳታ ማግኘት . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
የተጠቃሚ በይነገጽ አልፏልview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24
ምዕራፍ 2፡ የ FPGA ውህደት ንድፍ ፍሰቶች
የሎጂክ ውህደት ንድፍ ፍሰት . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26
ምዕራፍ 3፡ ግቤቱን በማዘጋጀት ላይ
HDL ምንጭ በማዘጋጀት ላይ Fileኤስ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30 HDL ምንጭ መፍጠር Fileኤስ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30 የአውድ እገዛ አርታዒን በመጠቀም። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32 HDL ምንጭን በመፈተሽ ላይ Fileኤስ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34 HDL ምንጭ ማረም Fileአብሮ በተሰራው ጽሑፍ አርታዒ ጋር s . . . . . . . . . . . . . . . . . . . . 35 የመስኮት ምርጫዎችን ማስተካከል። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39 የውጭ ጽሑፍ አርታዒን በመጠቀም። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41 የቤተ መፃህፍት ቅጥያዎችን ለVerilog Library መጠቀም Fileኤስ. . . . . . . . . . . . . . . . . . . . . . . 42
የተቀላቀለ ቋንቋ ምንጭ መጠቀም Fileኤስ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44
ተጨማሪ ማጠናከሪያውን በመጠቀም . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49 ገደቦች. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50
መዋቅራዊ የቬሪሎግ ፍሰትን መጠቀም . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51 ገደቦች. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 5
ከግዳጅ ጋር በመስራት ላይ Fileኤስ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53 ገደብ መቼ መጠቀም እንዳለበት Fileከምንጭ ኮድ በላይ . . . . . . . . . . . . . . . . . . . . . . . . 53 ለመገደብ የጽሑፍ አርታዒን መጠቀም Files (ውርስ) . . . . . . . . . . . . . . . . . . . . . . . . 54 Tcl የአገባብ መመሪያዎች ለገደብ Fileኤስ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55 ገደብ መፈተሽ Fileኤስ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56
ምዕራፍ 4፡ የሎጂክ ሲንተሲስ ፕሮጀክት ማቋቋም
ፕሮጀክት ማዋቀር Fileኤስ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58 ፕሮጀክት መፍጠር File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58 ነባር ፕሮጀክት መክፈት File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61 በፕሮጀክት ላይ ለውጦችን ማድረግ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62 የማቀናበር ፕሮጀክት View የማሳያ ምርጫዎች . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63 የቬሪሎግ ማዘመን በአሮጌ ፕሮጀክት ውስጥ መንገዶችን ያካትታል Fileኤስ. . . . . . . . . . . . . . . . . . . . 65
ፕሮጀክት ማስተዳደር File ተዋረድ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66 ብጁ አቃፊዎችን መፍጠር. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66 ብጁ የፕሮጀክት አቃፊዎችን ማቀናበር። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69 ብጁ ማዛባት Fileኤስ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70
አተገባበርን ማዋቀር . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72 ከብዙ አተገባበር ጋር መስራት። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72
አመክንዮአዊ ውህደትን ማቀናበር የትግበራ አማራጮች . . . . . . . . . . . . . . . . . . . . . . . . . . . 75 የመሣሪያ አማራጮችን በማዘጋጀት ላይ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75 የማመቻቸት አማራጮችን በማቀናበር ላይ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78 ዓለም አቀፋዊ ድግግሞሽ እና ገደቦችን መግለጽ Fileኤስ. . . . . . . . . . . . . . . . . . . . . . 80 የውጤት አማራጮችን መግለጽ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82 የጊዜ አጠባበቅ ሪፖርት ውጤትን በመግለጽ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84 Verilog እና VHDL አማራጮችን በማዘጋጀት ላይ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84
ባህሪያትን እና መመሪያዎችን መግለጽ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90 ባህሪያትን እና መመሪያዎችን በVHDL ውስጥ መግለጽ። . . . . . . . . . . . . . . . . . . . . . . . . . 91 ባህሪያትን እና መመሪያዎችን በቬሪሎግ መግለጽ። . . . . . . . . . . . . . . . . . . . . . . . . . 92 የ SCOPE አርታዒን በመጠቀም ባህሪያትን መግለጽ. . . . . . . . . . . . . . . . . . . . . . . . . 93 በገደቦች ውስጥ ባህሪያትን መግለጽ File . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97
መፈለግ Fileኤስ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98 መለየት Fileለመፈለግ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99 በማጣራት ላይ Fileለመፈለግ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99 ፍለጋውን መጀመር. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100 የፍለጋ ውጤቶች. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100 ሎ
በማህደር ማስቀመጥ Files እና ፕሮጀክቶች . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101 አንድ ፕሮጀክት በማህደር ያስቀምጡ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101 ፕሮጀክትን ከማህደር አንሳ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104
© 2014 Synopsys, Inc. 6
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
ፕሮጀክት ቅዳ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107
ምዕራፍ 5፡ ገደቦችን መግለጽ
የ SCOPE አርታዒን በመጠቀም። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112 በ SCOPE አርታኢ ውስጥ ገደቦችን መፍጠር። . . . . . . . . . . . . . . . . . . . . . . . . . . . 112 ከኤፍዲሲ አብነት ትዕዛዝ ጋር ገደቦችን መፍጠር። . . . . . . . . . . . . . . . 116
የ SCOPE ገደቦችን መግለጽ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119 የወሰን ገደቦችን ማስገባት እና ማስተካከል። . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119 የሰዓት እና የመንገድ ገደቦችን ማቀናበር። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121 የግብአት እና የውጤት ገደቦችን መግለጽ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122 መደበኛ I/O ፓድ ዓይነቶችን በመግለጽ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124 TCL በመጠቀም View የ SCOPE GUI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ገደቦችን ለማስገባት እና ለማስተካከል 124 መመሪያዎች። . . . . . . . . . . . . . . . . . . . . . . . 127
የጊዜ ልዩነትን መግለጽ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130 ለየት ያሉ የጊዜ አጠባበቅ ነጥቦችን ከ/ወደ/ በኩል በመግለጽ። . . . . . . . . . . . . . . . . 130 የብዝሃ-ሳይክል መንገዶችን መግለጽ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134 የውሸት መንገዶችን መግለጽ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135
ነገሮችን በTcl መፈለግ እና ማስፋፋት። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136 ለ Tcl ፍለጋ የፍለጋ ንድፎችን በመግለጽ ላይ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136 Tcl በማጣራት ላይ ውጤቶችን አግኝ በ -filter። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136 ስብስቦችን ለመወሰን Tcl ትእዛዝን ፈልግ። . . . . . . . . . . . . . . . . . . . . 138 ስብስቦችን ለመወሰን Tcl ትዕዛዙን ዘርጋ። . . . . . . . . . . . . . . . . . 140 Tcl በመፈተሽ ላይ ውጤቶችን አግኝ እና ዘርጋ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141 Tcl ን በመጠቀም በባች ሞድ ይፈልጉ እና ያስፋፉ። . . . . . . . . . . . . . . . . . . . . . . . . . . . 142
ስብስቦችን መጠቀም . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144 ስብስቦችን ለመወሰን ዘዴዎችን ማወዳደር. . . . . . . . . . . . . . . . . . . . . . . 144 SCOPE ስብስቦችን መፍጠር እና መጠቀም። . . . . . . . . . . . . . . . . . . . . . . . . . . . . Tcl ትዕዛዞችን በመጠቀም 145 ስብስቦችን መፍጠር። . . . . . . . . . . . . . . . . . . . . . . . . . . 147 Viewስብስቦችን በTcl ትዕዛዞች ማቀናበር እና ማቀናበር። . . . . . . . . . . . . . . 150
SDC ወደ FDC በመቀየር ላይ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 154
የ SCOPE አርታዒ (ሌጋሲ) በመጠቀም። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155 የ SCOPE ገደቦች (ውርስ) ማስገባት እና ማስተካከል። . . . . . . . . . . . . . . . . . . . . 157 SCOPE የጊዜ ገደቦችን በመግለጽ (ውርስ)። . . . . . . . . . . . . . . . . . . . . . . 159 ወደ ነባሪ ገደቦች መግባት። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159 የሰዓት እና የመንገድ ገደቦችን ማቀናበር። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159 ሰዓቶችን መግለጽ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 162 የግብአት እና የውጤት ገደቦችን (ውርስ) መግለፅ። . . . . . . . . . . . . . . . . . . . . . . 169 የውሸት መንገዶችን (ውርስ) መግለጽ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 7
ምዕራፍ 6፡ ውጤቶቹን ማቀናጀት እና መተንተን
የእርስዎን ንድፍ በማዋሃድ ላይ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174 የሩጫ ሎጂክ ውህደት . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174 ለስራ አስተዳደር ወቅታዊ ምርመራን መጠቀም። . . . . . . . . . . . . . . . . . . . . . 174
ምዝግብ ማስታወሻን በመፈተሽ ላይ File ውጤቶች. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179 Viewከሎግ ጋር መሥራት እና መሥራት File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179 የተወሰኑ ሪፖርቶችን በፍጥነት መድረስ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183 ውጤቶችን በርቀት መድረስ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 185 ሎግ በመጠቀም ውጤቶችን መተንተን File ሪፖርቶች. . . . . . . . . . . . . . . . . . . . . . . . . 189 የመመልከቻ መስኮቱን በመጠቀም። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190 የሀብት አጠቃቀምን በመፈተሽ ላይ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 191
መልእክቶችን ማስተናገድ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193 በመልእክቱ ውስጥ ውጤቶችን መፈተሽ Viewኧረ . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193 በመልእክቱ ውስጥ መልዕክቶችን ማጣራት። Viewኧረ . . . . . . . . . . . . . . . . . . . . . . . . . . . 195 የማጣራት መልእክቶች ከትዕዛዝ መስመሩ። . . . . . . . . . . . . . . . . . . . . . . . . . 197 አውቶማቲክ መልእክት በTcl ስክሪፕት ማጣራት። . . . . . . . . . . . . . . . . . . . . . . . 198 መዝገብ File የመልእክት መቆጣጠሪያዎች . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 200 አያያዝ ማስጠንቀቂያዎች . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203
በስህተት ቀጥልን መጠቀም። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203 በስህተት ቀጥልን በመጠቀም ነጥብ ማጠናቀር። . . . . . . . . . . . . . . . . . . 203
ምዕራፍ 7፡ ከኤችዲኤል ተንታኝ እና FSM ጋር መተንተን Viewer
በ Schematic ውስጥ በመስራት ላይ Viewኤስ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208 በ HDL ተንታኝ መካከል ልዩነት Viewኤስ. . . . . . . . . . . . . . . . . . . . . . . . 209 በመክፈት ላይ Viewኤስ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 209 Viewየነገር ባህሪያት . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 210 ነገሮች በ RTL/ቴክኖሎጂ ውስጥ መምረጥ Viewኤስ. . . . . . . . . . . . . . . . . . . . . . . 215 ከብዙ ሉህ ሼማቲክስ ጋር በመስራት ላይ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 217 መካከል መንቀሳቀስ Views በ Schematic መስኮት . . . . . . . . . . . . . . . . . . . . . . . 218 ማዋቀር መርሐግብር View ምርጫዎች . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 219 ዊንዶውስ ማስተዳደር. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 221
የንድፍ ተዋረድን ማሰስ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 222 ተዘዋዋሪ የንድፍ ተዋረድ ከተዋረድ አሳሽ ጋር። . . . . . . . . . . . . . . . 222 የነገር ተዋረድን በመግፋት/በማጣራት። . . . . . . . . . . . . . . . . . . . . . . 223 ግልጽ የሆኑ ሁኔታዎችን የነገር ተዋረድ ማሰስ። . . . . . . . . . . . . . . . . . . 228
ዕቃዎችን መፈለግ . . . . . . . . . . . . .ሎ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . በኤችዲኤል ተንታኝ ውስጥ ነገሮችን ለማግኘት 230 አሰሳ Viewኤስ. . . . . . . . . . . . . . . . . . . . . . . 230 ፍለጋን ለተዋረድ እና ለተገደቡ ፍለጋዎች መጠቀም። . . . . . . . . . . . . . . . . . . . 232 ዋይልድ ካርዶችን በማግኘት ትዕዛዝ በመጠቀም። . . . . . . . . . . . . . . . . . . . . . . . . . . . 235
© 2014 Synopsys, Inc. 8
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
ፍለጋዎችን ለማጣራት ፍለጋን ከማጣራት ጋር በማጣመር. . . . . . . . . . . . . . . . . . . . . . 240 የውጤት መረብ ዝርዝሩን ለመፈለግ ፍለጋን በመጠቀም። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 240
መሻገር . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 243 በ RTL/ቴክኖሎጂ ውስጥ መሻገር View . . . . . . . . . . . . . . . . . . . . . . . . . 243 ከ RTL/ቴክኖሎጂ መሻገር View . . . . . . . . . . . . . . . . . . . . . . . . . 244 ከጽሑፍ አርታኢ መስኮት መሻገር። . . . . . . . . . . . . . . . . . . . . . . . . . . 246 ከTcl ስክሪፕት መስኮት መሻገር። . . . . . . . . . . . . . . . . . . . . . . . . . . . 249 ከኤፍ.ኤስ.ኤም Viewኧረ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 250
በኤችዲኤል ተንታኝ መሣሪያ መተንተን። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 251 Viewing ንድፍ ተዋረድ እና አውድ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 252 የማጣራት መርሃግብሮች . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 255 ፒን እና የተጣራ ሎጂክን ማስፋፋት. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 257 እየሰፋ እና Viewግንኙነቶች . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 261 ጠፍጣፋ የመርሃግብር ተዋረድ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ንድፎችን በመተንተን ጊዜ 263 የማህደረ ትውስታ አጠቃቀምን መቀነስ። . . . . . . . . . . . . . . . . . . 267
ኤፍኤስኤምን በመጠቀም Viewኧረ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 267
ምዕራፍ 8፡ ጊዜን መተንተን
ጊዜን በ Schematic ውስጥ መተንተን Viewኤስ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 274 Viewየጊዜ መረጃ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 274 የጊዜ አጠባበቅ መረጃን በመርሃግብሩ ውስጥ ማብራራት Viewኤስ. . . . . . . . . . . . . . . . . . 275 በ RTL ውስጥ የሰዓት ዛፎችን መተንተን View . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 277 Viewወሳኝ መንገዶች . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 277 አሉታዊ ድክመትን ማስተናገድ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 280
ብጁ የጊዜ ሪፖርቶችን በ STA ማመንጨት። . . . . . . . . . . . . . . . . . . . . . . . . . . . 281
የመተንተን ንድፍ ገደቦችን መጠቀም . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 284 የትንታኔ ንድፍ ገደቦችን ለመጠቀም ሁኔታዎች. . . . . . . . . . . . . . . . . . . . . . 285 ADC መፍጠር File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 286 የነገር ስሞችን በ adc ውስጥ በትክክል መጠቀም File . . . . . . . . . . . . . . . . . . . . . . . . . 290
ራስ-ሰር ገደቦችን መጠቀም . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 291 የመኪና ገደቦች ውጤቶች። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 293
ምዕራፍ 9፡ ከፍተኛ ደረጃ ያላቸውን ነገሮች ማገናዘብ
ለሥነ-ተዋሕዶ ጥቁር ሳጥኖችን መግለጽ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 298 ፈጣን ጥቁር ሳጥኖች እና አይ/ኦስ በቬሪሎግ። . . . . . . . . . . . . . . . . . . . . . . . . . 298 ፈጣን ጥቁር ሳጥኖች እና አይ/ኦስ በVHDL። . . . . . . . . . . . . . . . . . . . . . . . . . 300 የጥቁር ሣጥን የጊዜ ገደቦች መጨመር። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 302 ሌሎች የጥቁር ሳጥን ባህሪያት መጨመር. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 306
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 9
የስቴት ማሽኖችን ለግንኙነት መግለጽ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 307 በ Verilog ውስጥ የስቴት ማሽኖችን መግለጽ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 307 በ VHDL ውስጥ የስቴት ማሽኖችን መግለጽ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 308 FSMs ከባህሪያት እና መመሪያ ጋር መግለጽ። . . . . . . . . . . . . . . . . . . . . . . . 309
ደህንነቱ የተጠበቀ ኤፍ.ኤስ.ኤም.ኤስ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 311
ራስ-ሰር ራም ኢንፈረንስ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 314 አግድ RAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 314 ራም ባህሪያት. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 315 ማገጃ RAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 317
RAMs በማስጀመር ላይ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 323 RAMsን በቬሪሎግ በማስጀመር ላይ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 323 ራም በVHDL ውስጥ ማስጀመር። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 324
ምዕራፍ 10፡ የንድፍ-ደረጃ ማሻሻያዎችን መግለጽ
ለማመቻቸት ጠቃሚ ምክሮች . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 330 አጠቃላይ የማመቻቸት ምክሮች. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 330 ለአካባቢ ማመቻቸት. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 331 ለጊዜ አጠባበቅ ማመቻቸት. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 332
በጡረታ ላይ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 334 ጡረታን መቆጣጠር. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 334 ጡረታ የሚወጣ Exampለ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 336 የጡረታ ጊዜ ሪፖርት. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 338 ጡረታ እንዴት እንደሚሰራ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 338
ነገሮች እንዳይመቻቹ መጠበቅ . . . . . . . . . . . . . . . . . . . . . . . . . . 342 ሲንኪፕን ለመጠበቅ ወይም ለማባዛት መጠቀም። . . . . . . . . . . . . . . . . . . . . . . 343 የቁጥጥር ተዋረድ ጠፍጣፋ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 346 ተዋረድን በመጠበቅ ላይ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 346
Fanout ማመቻቸት። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 348 የአድናቂዎች ገደቦችን በማዘጋጀት ላይ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 348 ማቋት እና ማባዛትን መቆጣጠር። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 350
ምንጮችን መጋራት . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 352
I/Osን በማስገባት ላይ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 353
የስቴት ማሽኖችን ማመቻቸት . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 354 የስቴት ማሽኖችን መቼ እንደሚያሻሽሉ መወሰን. . . . . . . . . . . . . . . . . . . . . . . . . . . 354 FSM Compiler LO ን በማሄድ ላይ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 355 FSM Explorerን በማሄድ ላይ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 359
መመርመሪያዎችን ማስገባት . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 362
© 2014 Synopsys, Inc. 10
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
በምንጭ ኮድ ውስጥ ምርመራዎችን መግለጽ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 362 የመመርመሪያ ባህሪያትን በይነተገናኝ መጨመር። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 363
ምዕራፍ 11፡ ከስብስብ ነጥቦች ጋር መሥራት
የማጠናቀር ነጥብ መሰረታዊ ነገሮች . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 366 አድቫንtages of Compile Point Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 366 በእጅ የተጠናቀሩ ነጥቦች. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 368 የጎጆ የተጠናከረ ነጥቦች። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 369 የማጠናቀር ነጥብ አይነቶች. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 370
የነጥብ ውህደት መሰረታዊ ነገሮች . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 375 የማጠናቀር ነጥብ ገደብ Fileኤስ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 375 የበይነገጽ ሎጂክ ሞዴሎች. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 378 ነጥቦችን ለማጠናቀር የበይነገጽ ጊዜ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 378 የማጠናቀር ነጥብ ውህደት። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 381 የመጨመሪያ የማጠናቀር ነጥብ ውህደት። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 383 የማጠናቀር ነጥብ የጊዜ ገደቦችን ወደፊት-ማብራሪያ። . . . . . . . . . . . . . . . 384
የማጠናቀር ነጥቦች . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 384 መመሪያው የተጠናቀረ ነጥብ ፍሰት። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 385 ከፍተኛ ደረጃ ገደቦችን መፍጠር File ለማጠናቀር ነጥቦች . . . . . . . . . . . . . . . . 388 የእጅ ማጠናቀር ነጥቦችን መወሰን። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 389 በማጠናቀር ነጥብ ደረጃ ላይ ገደቦችን ማቀናበር። . . . . . . . . . . . . . . . . . . . . . . . 391 የተጠናቀረ ነጥብ ውጤቶችን በመተንተን ላይ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 393
የማጠናቀር ነጥቦችን ከሌሎች ባህሪያት ጋር መጠቀም . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 396 የተጠናቀሩ ነጥቦችን ከብዙ ፕሮሰሲንግ ጋር በማጣመር። . . . . . . . . . . . . . . . . . . . . . . 396
እንደገና ማቀናበር እየጨመረ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 397 የተጠናቀሩ ነጥቦችን ከጊዜ ወደ ጊዜ እንደገና በማዋሃድ ላይ። . . . . . . . . . . . . . . . . . . . . . . . . 397
ምዕራፍ 12፡ ከአይፒ ግብዓት ጋር መስራት
በSYNCore አይፒን በማመንጨት ላይ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 402 FIFOsን ከ SYNCore ጋር በመግለጽ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 402 ራም ከ SYNCore ጋር መግለጽ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 408 ባይት አንቃ ራሞችን ከSYNCore ጋር በመግለጽ። . . . . . . . . . . . . . . . . . . . . . . . . 416 ROMs ከ SYNCore ጋር በመጥቀስ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 422 Adder/ Subtractors ከ SYNCore ጋር መግለጽ። . . . . . . . . . . . . . . . . . . . . . . . . . 427 ከ SYNCore ጋር ቆጣሪዎችን መግለጽ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 434
ሲኖፕሲዎቹ FPGA IP ምስጠራ ፍሰት። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 441 በላይview የሳይኖፕሲዎች FPGA IP ፍሰት . . . . . . . . . . . . . . . . . . . . . . . . . . . . 441 ምስጠራ እና መፍታት። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 442
ከተመሰጠረ አይፒ ጋር በመስራት ላይ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 446
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 11
የእርስዎን አይፒ በማመስጠር ላይ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 446 አይፒን በ encryptP1735.pl ስክሪፕት ማመስጠር። . . . . . . . . . . . . . . . . . . . . . . . . 448 አይፒን ከኢንክሪፕትፕ ስክሪፕት ጋር ማመስጠር። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 453 የስክሪፕት የውጤት ዘዴን መግለጽ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 455 የአይፒ ፓኬጁን በማዘጋጀት ላይ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 456
ሃይፐር ምንጭን መጠቀም . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 460 ሃይፐር ምንጭን ለፕሮቶታይፕ መጠቀም። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 460 ለአይ ፒ ዲዛይኖች ሃይፐር ምንጭ መጠቀም። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 460 የክርክር ምልክቶች በአይፒ ዲዛይን ተዋረድ። . . . . . . . . . . . . . . 461
ምዕራፍ 13፡ ለምርታማነት ሂደቶችን ማመቻቸት
ባች ሁነታን መጠቀም . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 466 በፕሮጀክት ላይ ባች ሁነታን ማስኬድ File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 466 ባች ሁነታን በTcl Script . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 467 የወረፋ ፈቃድ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 469
ከ Tcl ስክሪፕቶች እና ትዕዛዞች ጋር በመስራት ላይ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 472 Tcl ትዕዛዞችን እና ስክሪፕቶችን በመጠቀም። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 472 የስራ ስክሪፕት መፍጠር። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 473 ትይዩ ስራዎች ብዛት ቅንብር. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 473 የTcl ሲንተሲስ ስክሪፕት መፍጠር። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 475 የተለያዩ የሰዓት ድግግሞሾችን ለመሞከር Tcl ተለዋዋጮችን በመጠቀም። . . . . . . . . . . . . . . . . . 476 ብዙ የዒላማ ቴክኖሎጂዎችን ለመሞከር Tcl ተለዋዋጮችን በመጠቀም። . . . . . . . . . . . . . . . . 478 ከስክሪፕት ጋር የታች-ላይ ውህደት። . . . . . . . . . . . . . . . . . . . . . . . . . . 479
በ synhooks.tcl ፍሰቶችን በራስ ሰር ማድረግ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 479
ምዕራፍ 14፡ ባለብዙ ፕሮሰሲንግ መጠቀም
ከስብስብ ነጥቦች ጋር ብዙ ሂደት . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 484 ከፍተኛ ትይዩ ስራዎችን ማቀናበር። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 484 የፍቃድ አጠቃቀም. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 485
ምዕራፍ 15: ለማይክሮሴሚ ዲዛይኖች ማመቻቸት
የማይክሮሴሚ ንድፎችን ማመቻቸት . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 488 አስቀድሞ የተገለጹ የማይክሮሴሚ ጥቁር ሳጥኖችን በመጠቀም። . . . . . . . . . . . . . . . . . . . . . . . . . . . 488 ስማርትገን ማክሮዎችን በመጠቀም። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 489 ከራዳርድ ዲዛይኖች ጋር በመስራት ላይ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 489 syn_radhardlevelን በምንጭ ኮድ ውስጥ በመጥቀስ። . . . . . . . . . . . . . . . . . . . . . . 490 እ.ኤ.አ
ምዕራፍ 16፡ ከተዋሃድ ውፅዓት ጋር መስራት
መረጃን ወደ P&R መሳሪያዎች ማስተላለፍ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 494
© 2014 Synopsys, Inc. 12
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
የፒን ቦታዎችን መግለጽ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 494 ለማይክሮሴሚ አውቶቡስ ወደቦች ቦታዎችን መግለጽ። . . . . . . . . . . . . . . . . . . . . . . . . 495 ማክሮን በመግለጽ እና ምደባን ይመዝገቡ። . . . . . . . . . . . . . . . . . . . . . . . . . . 495
አቅራቢ-ተኮር ውፅዓት በማመንጨት ላይ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 496 ወደ ሻጭዎ ውፅዓት ማነጣጠር። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 496 የተጣራ ዝርዝር ቅርጸቶችን በማበጀት ላይ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 497
ምእራፍ 17፡ የድህረ-ሲንተሲስ ስራዎችን ማካሄድ
P&Rን ከውህደት በኋላ በራስ ሰር በማሄድ ላይ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 500
ከመሳሪያዎቹ ጋር በመስራት ላይ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 501 ከ Synplify Pro Tool በመጀመር ላይ። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 501 በማስጀመር ላይ ችግሮችን ማስተናገድ መለየት። . . . . . . . . . . . . . . . . . . . . . . . . . . 503 የመለያ መሳሪያውን በመጠቀም። . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 504 ነጥቦችን በማጠናቀር በመሳሪያው በመጠቀም። . . . . . . . . . . . . . . . . . . . . . . . . . . 506
በቪሲኤስ መሣሪያ ማስመሰል . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 508
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 13
© 2014 Synopsys, Inc. 14
LO
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
ምዕራፍ 1
መግቢያ
ይህ የ Synplify Pro® ሶፍትዌር መግቢያ የሚከተሉትን ይገልጻል፡-
· ሲኖፕሲዎች FPGA እና የፕሮቶታይፕ ምርቶች፣ በገጽ 16 · የሰነዱ ወሰን፣ በገጽ 21 ላይ · መጀመር፣ በገጽ 22 · የተጠቃሚ በይነገጽ በላይview፣ በገጽ 24 ላይ
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 15
ምዕራፍ 1፡ መግቢያ
ሲኖፕሲዎች FPGA እና የፕሮቶታይፕ ምርቶች
ሲኖፕሲዎች FPGA እና የፕሮቶታይፕ ምርቶች
የሚከተለው ምስል ሲኖፕሲዎችን FPGA እና የፕሮቶታይፕ ቤተሰብን ያሳያል።
© 2014 Synopsys, Inc. 16
LO
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
ሲኖፕሲዎች FPGA እና የፕሮቶታይፕ ምርቶች
ምዕራፍ 1፡ መግቢያ
የ FPGA ትግበራ መሳሪያዎች
የ Synplify Pro እና Synplify Premier ምርቶች በተለይ ለ FPGAs (የመስክ ፕሮግራም በር ድርድር) እና CPLD ዎች (ውስብስብ ፕሮግራሜሚ ሎጂክ መሳሪያዎች) የተነደፉ የRTL ውህደት መሳሪያዎች ናቸው።
Pro Synthesis ሶፍትዌርን ያመሳስሉ
የ Synplify Pro FPGA ውህድ ሶፍትዌር ከፍተኛ አፈጻጸም ያለው፣ ወጪ ቆጣቢ FPGA ንድፎችን ለማምረት የዴክታ ኢንዱስትሪ መስፈርት ነው። ልዩ ነው።
ባህሪ የማውጣት Synthesis Technology® (BEST) አልጎሪዝም፣ ያከናውኑ
የ RTL ኮድን ወደ ልዩ የ FPGA አመክንዮ ከማዋሃድዎ በፊት ከፍተኛ ደረጃ ማሻሻያዎች። ይህ አካሄድ በFPGA ላይ የላቀ ማመቻቸትን፣ ፈጣን የሩጫ ጊዜዎችን እና በጣም ትልቅ ንድፎችን የማስተናገድ ችሎታ እንዲኖር ያስችላል። የሲንፕሊፋይ ፕሮ ሶፍትዌር ሲስተምVerilog እና VHDL 2008ን ጨምሮ የቅርብ ጊዜውን የVHDL እና Verilog ቋንቋ ግንባታዎችን ይደግፋል። መሳሪያው በ FPGA መሳሪያዎች እና በነጠላ የንድፍ ፕሮጄክት አቅራቢዎች መካከል ፈጣን እና ቀላል መልሶ ማቋቋም የሚያስችል ቴክኖሎጂ ነፃ ነው።
የፕሪሚየር ሲንተሲስ ሶፍትዌርን ማመሳሰል
የሲንፕሊፋይ ፕሪሚየር ተግባር የመጨረሻውን የFPGA ትግበራ እና የማረም አካባቢን የሚያቀርብ የSynplify Pro መሣሪያ ስብስብ ነው። ለላቁ የFPGA ዲዛይነሮች አጠቃላይ የመሳሪያዎች ስብስብ እና ቴክኖሎጂዎችን ያካትታል፣ እና እንዲሁም ነጠላ FPGA ላይ የተመሰረቱ ፕሮቶታይፖችን የሚያነጣጥሩ የ ASIC ፕሮቶታይፖች የማዋሃድ ሞተር ሆኖ ያገለግላል።
የሲንፕሊፋይ ፕሪሚየር ምርት ሁለቱንም የFPGA ዲዛይነሮች እና ASIC ፕሮቶታይፖችን ነጠላ FPGAዎችን እጅግ ቀልጣፋ በሆነው የንድፍ አተገባበር እና ማረም ያቀርባል። በንድፍ አተገባበር በኩል፣ የጊዜ መዘጋት፣ የሎጂክ ማረጋገጫ፣ የአይፒ አጠቃቀም፣ ASIC ተኳኋኝነት እና DSP ትግበራን እንዲሁም ከ FPGA አቅራቢ የኋላ-መጨረሻ መሳሪያዎች ጋር ጥብቅ ውህደትን ያካትታል። በማረሚያው በኩል፣ የ FPGAsን የውስጠ-ስርዓት ማረጋገጥን ያቀርባል ይህም የማረም ሂደቱን በሚያስደንቅ ሁኔታ ያፋጥናል፣ እና እንዲሁም ፈጣን እና ተጨማሪ የዲዛይን ችግሮችን ለማግኘት የሚረዳ ዘዴን ያካትታል።
ሲኖፕሲዎች የFPGA መሣሪያ ባህሪዎች
ይህ ሰንጠረዥ በ Synplify Pro, Synplify, Synplify Premier እና Synplify Premier በዲዛይን እቅድ አውጪ ምርቶች መካከል ያሉትን ዋና ተግባራት ይለያል።
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 17
ምዕራፍ 1፡ መግቢያ
ሲኖፕሲዎች FPGA እና የፕሮቶታይፕ ምርቶች
Synplify Pro
አፈጻጸም
ባህሪ የማውጣት ውህደት
x
x
ቴክኖሎጂ® (BESTTM)
በሻጭ የመነጨ ኮር/አይ.ፒ
x
ድጋፍ (የተወሰኑ ቴክኖሎጂዎች)
FSM ማጠናከሪያ
x
x
FSM አሳሽ
x
የታጠፈ የሰዓት ልወጣ
x
የቧንቧ ዝርግ ይመዝገቡ
x
ጡረታ መመዝገብ ይመዝገቡ
x
SCOPE® ገደብ መግባት
x
x
ከፍተኛ አስተማማኝነት ባህሪያት
x
የተቀናጀ ቦታ-እና-መንገድ
x
x
ትንተና
HDL Analyst®
አማራጭ
x
የጊዜ ተንታኝ
x
ነጥብ-ወደ-ነጥብ
ኤፍ.ኤስ.ኤም Viewer
x
መሻገር
x
የመመርመሪያ ነጥብ መፍጠር
x
Identify® ኢንስትራክተር
x
አራሚን ይለዩ
የኃይል ትንተና (SAIF)
አካላዊ ንድፍ
የንድፍ እቅድ File
LO
ለክልሎች አመክንዮ ምደባ
ፕሪሚየር ማመሳሰል
x
x
xxxxxxxx
xx
xxxxxx
ፕሪሚየር ዲፒን ማመሳሰል
x
x
xxxxxxxx
xx
xxxxxx
xx
© 2014 Synopsys, Inc. 18
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
ሲኖፕሲዎች FPGA እና የፕሮቶታይፕ ምርቶች
ምዕራፍ 1፡ መግቢያ
የአካባቢ ግምት እና የክልል አቅም የፒን ምደባ አካላዊ ማሻሻያዎች አካላዊ ውህደ አካላዊ ተንታኝ ሲኖፕሲዎች DesignWare® ፋውንዴሽን ቤተመፃህፍት የሂደት ጊዜ ተዋረዳዊ ንድፍ የተሻሻለ ማመቻቸት ፈጣን ውህደት ባለብዙ ሂደት ማጠናቀር በስህተት የቡድን ዲዛይን ድብልቅ ቋንቋ ንድፍ ነጥቦችን ያጠናቅራል ተዋረዳዊ ንድፍ እውነተኛ ባች ሞድ (የፍላጎት ሞድ ሞድ) P&R የP&R ውሂብ መደበኛ ማረጋገጫ የኋላ ማብራሪያ
Synplify Pro
x
xxxx
x
x
–
x
–
–
x
ውህደትን መለየት
የተወሰነ
x
ፕሪሚየር ማመሳሰል
xxx
xxxxx
xxxx
x
x የሎጂክ ውህደት ሁነታ x
ፕሪሚየር ዲፒን ማመሳሰል
x
xxxxx
xxxxx
xxxx
x
xx የሎጂክ ውህደት ሁነታ
x
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 19
ምዕራፍ 1፡ መግቢያ
ሲኖፕሲዎች FPGA እና የፕሮቶታይፕ ምርቶች
የP&R የውሂብ ዲዛይን የአካባቢ ጽሑፍ አርታኢ የኋላ ማብራሪያ View የመስኮት መልእክት መስኮትን ይመልከቱ Tcl መስኮት ብዙ ትግበራዎች የአቅራቢ ቴክኖሎጂ ድጋፍ የፕሮቶታይፕ ባህሪዎች የአሂድ ጊዜ ባህሪያት የማጠናቀር ነጥቦች የተከለለ የሰዓት ልወጣ በስህተት ላይ ማጠናቀር
Synplify Pro
x
x
x
x
x
x
x
x
x
ፕሪሚየር ማመሳሰል
xxxxx ተመርጧል
xxxx
ፕሪሚየር ዲፒን ማመሳሰል
x
xxxxx ተመርጧል
xxxx
© 2014 Synopsys, Inc. 20
LO
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
የሰነዱ ወሰን
ምዕራፍ 1፡ መግቢያ
የሰነዱ ወሰን
የሚከተለው የዚህን ሰነድ ስፋት እና የታሰበውን ታዳሚ ያብራራል።
የሰነድ ስብስብ
ይህ የተጠቃሚ መመሪያ የማጣቀሻ መመሪያ እና አጋዥ ስልጠናን ያካተተ የሰነድ ስብስብ አካል ነው። በስብስቡ ውስጥ ካሉ ሌሎች ሰነዶች ጋር ለመጠቀም የታሰበ ነው። የተለመዱ ተግባራትን ለማከናወን የSynopsis FPGA ሶፍትዌርን እንዴት መጠቀም እንደሚቻል በመግለጽ ላይ ያተኩራል። ይህ የሚከተሉትን ያመለክታል:
· የተጠቃሚ መመሪያው የተለመዱ ተግባራትን ለማከናወን የሚያስፈልጉትን አማራጮች ብቻ ያብራራል
በመመሪያው ውስጥ ተገልጿል. እያንዳንዱን ትዕዛዝ እና አማራጭ አይገልጽም. ስለ ሁሉም የትዕዛዝ አማራጮች እና አገባብ ሙሉ መግለጫዎች የተጠቃሚ በይነገጽን ይመልከቱview በሲኖፕሲው ውስጥ ምዕራፍ FPGA Synthesis ማጣቀሻ መመሪያ.
· የተጠቃሚ መመሪያው ተግባር ላይ የተመሰረተ መረጃ ይዟል። ለብልሽት
መረጃ እንዴት እንደሚደራጅ፣ እርዳታ ማግኘትን በገጽ 22 ላይ ተመልከት።
ታዳሚዎች
የ Synplify Pro ሶፍትዌር መሳሪያ በFPGA ስርዓት ገንቢ ላይ ያነጣጠረ ነው። ስለሚከተሉት ነገሮች እውቀት እንዳለህ ይገመታል፡-
· የንድፍ ውህደት · RTL · FPGAs · Verilog/VHDL
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 21
ምዕራፍ 1፡ መግቢያ
እንደ መጀመር
እንደ መጀመር
ይህ ክፍል በSynopsis FPGA ውህደት ሶፍትዌር እንዴት እንደሚጀመር ያሳየዎታል። የሚከተሉትን ርዕሶች ይገልፃል፣ ነገር ግን ስለ ፍቃድ አሰጣጥ እና ጭነት መጫኛ መመሪያዎች ውስጥ ያለውን መረጃ አይተካም
· ሶፍትዌሩን መጀመር፣ በገጽ 22 · እርዳታ ማግኘት፣ በገጽ 22 ላይ
ሶፍትዌሩን በመጀመር ላይ
1. እስካሁን ካላደረጉት, በመጫኛ መመሪያው መሰረት የሲኖፕሲ FPGA synthesis ሶፍትዌርን ይጫኑ.
2. ሶፍትዌሩን ይጀምሩ.
በዊንዶውስ መድረክ ላይ እየሰሩ ከሆነ, ይምረጡ
Programs-> Synopsys->የምርት ሥሪት ከጀምር አዝራሩ።
በ UNIX መድረክ ላይ እየሰሩ ከሆነ, ተገቢውን ይተይቡ
በትእዛዝ መስመር ላይ ማዘዝ:
ማመሳሰል_ፕሮ
· ትዕዛዙ የማዋሃድ መሳሪያውን ይጀምራል, እና የፕሮጀክት መስኮቱን ይከፍታል. ከሆነ
ሶፍትዌሩን ከዚህ በፊት አከናውነዋል, መስኮቱ የቀድሞውን ፕሮጀክት ያሳያል. ስለበይነገጽ ተጨማሪ መረጃ ለማግኘት የተጠቃሚ በይነገጽን ይመልከቱview የማጣቀሻ መመሪያው ምዕራፍ.
እርዳታ በማግኘት ላይ
የሲኖፕሲ ድጋፍን ከመደወልዎ በፊት፣ በሰነድ የተቀመጡ መረጃዎችን ይመልከቱ። መረጃውን በመስመር ላይ ከእገዛ ምናሌው ማግኘት ይችላሉ ወይም የፒዲኤፍ ሥሪቱን ይመልከቱ። የሚከተለው ሠንጠረዥ መረጃው እንዴት እንደሚደራጅ ያሳየዎታል።
LO
© 2014 Synopsys, Inc. 22
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
እንደ መጀመር
ለእርዳታ በ… የሶፍትዌር ባህሪያትን መጠቀም እንዴት…
ፍሰት መረጃ
የስህተት መልዕክቶች የፍቃድ ባህሪያት እና መመሪያዎች የውህደት ባህሪያት ቋንቋ እና አገባብ Tcl አገባብ Tcl ውህደት የምርት ዝማኔዎችን ያዛል
ምዕራፍ 1፡ መግቢያ
ወደ… ማጠቃለያ የFPGA Synthesis የተጠቃሚ መመሪያ ማጠቃለያ የFPGA ውህደት የተጠቃሚ መመሪያን፣ በድጋፍ ላይ ያሉ የመተግበሪያ ማስታወሻዎች web የጣቢያ ማጠቃለያ FPGA Synthesis የተጠቃሚ መመሪያ፣ የድጋፍ ማስታወሻዎች web ጣቢያ የመስመር ላይ እገዛ (የእገዛ-> የስህተት መልዕክቶችን ይምረጡ) Synopsys SolvNet Webየጣቢያ ማጠቃለያ የ FPGA ውህደት ማመሳከሪያ ማኑዋል ሲኖፕሲ FPGA ውህደት ማጣቀሻ መመሪያ የመስመር ላይ እገዛ (እገዛ->Tcl እገዛን ምረጥ) ሲኖፕሲ FPGA ሲንቴሲስ ማጣቀሻ ማኑዋል ሲኖፕሲ FPGA ውህደት ማጣቀሻ መመሪያWeb ምናሌ ትዕዛዞች)
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 23
ምዕራፍ 1፡ መግቢያ
የተጠቃሚ በይነገጽ አልፏልview
የተጠቃሚ በይነገጽ አልፏልview
የተጠቃሚ በይነገጽ (UI) ፕሮጀክት ተብሎ የሚጠራውን ዋና መስኮት ያካትታል view, እና ልዩ መስኮቶች ወይም views ለተለያዩ ተግባራት. ስለ እያንዳንዱ ባህሪያቱ ዝርዝሮች፣ ምዕራፍ 2፣ የተጠቃሚ በይነገጽ በላይ ይመልከቱview የሲኖፕሲው FPGA ሲንቴሲስ ማመሳከሪያ መመሪያ።
የፕሮ በይነገጽ ማመሳሰል
የአዝራር ፓነል
የመሳሪያ አሞሌዎች ፕሮጀክት view
ሁኔታ
የትግበራ ውጤቶች view
ለመድረስ ትሮች views
Tcl Script/Messages መስኮት LO
መስኮት ይመልከቱ
© 2014 Synopsys, Inc. 24
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
ምዕራፍ 2
የ FPGA ውህደት ንድፍ ፍሰቶች
ይህ ምዕራፍ በገጽ 26 ላይ ያለውን የሎጂክ ሲንተሲስ ንድፍ ፍሰት ይገልጻል።
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 25
ምዕራፍ 2፡ የ FPGA ውህደት ንድፍ ፍሰቶች
የሎጂክ ሲንቴሲስ ንድፍ ፍሰት
የሎጂክ ሲንቴሲስ ንድፍ ፍሰት
የSynopsis FPGA መሳሪያዎች መጀመሪያ የ RTL ምንጭን ከቴክኖሎጂ ነፃ የሆኑ አመክንዮአዊ መዋቅሮች ውስጥ በማቀናጀት እና በመቀጠል አመክንዮውን በቴክኖሎጂ-ተኮር ግብዓቶች በማሻሻል እና በማሳየት አመክንዮዎችን ያዋህዳሉ። ከአመክንዮ ውህደት በኋላ መሳሪያው በሻጭ ላይ የተወሰነ የተጣራ ዝርዝር እና ገደብ ይፈጥራል file ለቦታ-እና-መንገድ (P&R) መሳሪያ እንደ ግብአት ሊጠቀሙበት የሚችሉት።
የሚከተለው ምስል ምዕራፎችን እና ለሎጂክ ውህደት ጥቅም ላይ የዋሉ መሳሪያዎችን እና አንዳንድ ዋና ዋና ግብአቶችን እና ውጤቶችን ያሳያል። ለዚህ ፍሰት Synplify Pro synthesis ሶፍትዌር መጠቀም ይችላሉ። በይነተገናኝ የጊዜ ትንተና አማራጭ ነው። ምንም እንኳን ፍሰቱ የሻጩን ውስንነት ያሳያል fileለ P&R መሣሪያ ቀጥተኛ ግብዓቶች፣ እነዚህን ማከል አለቦት files ወደ ውህደት ፕሮጀክት ጊዜ ጥቁር ሳጥኖች.
ሲኖፕሲዎች FPGA መሣሪያ
RTL
RTL ማጠናቀር
FDC
አመክንዮአዊ ውህደት
የተዋሃደ የተጣራ ዝርዝር ውህድ የአቅራቢ ገደቦችን ይገድባል
የአቅራቢ መሣሪያ
ቦታ እና መስመር
የሎጂክ ውህደት ሂደት
በተወሰነ ንድፍ ላይ የተመሰረተ ደረጃ-በ-ደረጃ መመሪያ ላለው የንድፍ ፍሰት
ዳታ፣ አጋዥ ስልጠናውን ከ webጣቢያ. የሚከተሉት እርምጃዎች ማጠቃለያ
ንድፉን የማዋሃድ ሂደት, እሱም እንዲሁ በ ውስጥ ተገልጿል
የሚከተለው ምስል.
LO
1. ፕሮጀክት ይፍጠሩ.
2. ምንጩን ይጨምሩ files ወደ ፕሮጀክቱ.
© 2014 Synopsys, Inc. 26
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
የሎጂክ ሲንቴሲስ ንድፍ ፍሰት
ምዕራፍ 2፡ የ FPGA ውህደት ንድፍ ፍሰቶች
3. ለዲዛይኑ ባህሪያትን እና ገደቦችን ያዘጋጁ.
4. በአተገባበር አማራጮች የንግግር ሳጥን ውስጥ ለትግበራው አማራጮችን ያዘጋጁ.
5. አመክንዮአዊ ውህደትን ለማስኬድ ሩጫን ጠቅ ያድርጉ።
6. እንደ ሎግ ያሉ መሳሪያዎችን በመጠቀም ውጤቱን ይተንትኑ file, የ HDL ተንታኝ ንድፍ viewዎች፣ የመልእክት መስኮቱ እና የመመልከቻው መስኮት።
ንድፉን ከጨረሱ በኋላ ውጤቱን መጠቀም ይችላሉ files ቦታ-እና-መንገድን ከአቅራቢው መሳሪያ ጋር ለማስኬድ እና FPGAን ተግባራዊ ለማድረግ።
የሚከተለው ምስል የፍሰቱን ዋና ደረጃዎች ይዘረዝራል-
ፕሮጀክት ፍጠር
ምንጭ አክል Files
ገደቦችን አዘጋጅ
አማራጮችን አዘጋጅ
ሶፍትዌሩን ያሂዱ
ምንም ግቦች አልተገኙም?
አዎ ቦታ እና መስመር
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 27
ምዕራፍ 2፡ የ FPGA ውህደት ንድፍ ፍሰቶች
የሎጂክ ሲንቴሲስ ንድፍ ፍሰት
© 2014 Synopsys, Inc. 28
LO
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
ምዕራፍ 3
ግቤትን በማዘጋጀት ላይ
ንድፍ ሲፈጥሩ ሁለት ዓይነት ዓይነቶችን ማዘጋጀት ያስፈልግዎታል files: HDL fileየእርስዎን ንድፍ እና ፕሮጀክት የሚገልጹ ናቸው። files ንድፍ ለማስተዳደር. ይህ ምዕራፍ እነዚህን የማዋቀር ሂደቶችን ይገልጻል files እና ፕሮጀክቱ. እሱም የሚከተሉትን ያጠቃልላል.
· HDL ምንጭን በማቀናበር ላይ Files፣ በገጽ 30 · ቅይጥ ቋንቋ ምንጭን መጠቀም Fileዎች፣ በገጽ 44 · ጭማሪ ማጠናቀቂያን በመጠቀም፣ በገጽ 49 Fileዎች፣ በገጽ 53 ላይ
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 29
ምዕራፍ 3፡ ግቤቱን በማዘጋጀት ላይ
HDL ምንጭ በማዘጋጀት ላይ Files
HDL ምንጭ በማዘጋጀት ላይ Files
ይህ ክፍል የእርስዎን ምንጭ እንዴት ማዋቀር እንደሚቻል ይገልጻል files; ፕሮጀክት file ማዋቀር በፕሮጀክት ማዋቀር ውስጥ ተገልጿል Files, በገጽ 58. ምንጭ files Verilog ወይም VHDL ውስጥ ሊሆን ይችላል። ስለ ማዋቀር መረጃ ለማግኘት files ለማዋሃድ፣ የማጣቀሻ መመሪያውን ይመልከቱ። ይህ ክፍል የሚከተሉትን ርዕሶች ያብራራል።
· HDL ምንጭ መፍጠር Fileዎች፣ በገጽ 30 ላይ · የአውድ እገዛ አርታዒን በመጠቀም፣ በገጽ 32 ላይ · HDL ምንጭን በመፈተሽ ላይ Files፣ በገጽ 34 ላይ · HDL ምንጭን ማስተካከል Fileአብሮ በተሰራው የጽሑፍ አርታኢ፣ በገጽ 35 · የውጭ ጽሑፍ አርታዒን በመጠቀም፣ በገጽ 41 Fileዎች፣ በገጽ 42 ላይ
HDL ምንጭ መፍጠር Files
ይህ ክፍል ምንጩን ለመፍጠር አብሮ የተሰራውን የጽሑፍ አርታዒ እንዴት መጠቀም እንደሚቻል ያብራራል። files፣ ነገር ግን ወደ ምን እንደሆነ በዝርዝር አልገባም። files ይዟል። ሊያካትቱ ስለሚችሉት እና ለማይችሉት እንዲሁም ስለ ሻጭ ልዩ መረጃ የማጣቀሻ መመሪያውን ይመልከቱ። አስቀድመው ምንጭ ካለዎት files፣ አገባቡን ለመፈተሽ ወይም ለማርትዕ የጽሑፍ አርታዒውን መጠቀም ይችላሉ። file (የ HDL ምንጭን መፈተሽ ይመልከቱ Fileዎች፣ በገጽ 34 እና HDL ምንጭ ማረም Fileአብሮ በተሰራው የጽሑፍ አርታኢ፣ በገጽ 35)።
ለእርስዎ ምንጭ Verilog ወይም VHDL መጠቀም ይችላሉ። fileኤስ. የ files v (Verilog) ወይም vhd (VHDL) አላቸው file ማራዘሚያዎች, በቅደም ተከተል. Verilog እና VHDL መጠቀም ይችላሉ። fileበተመሳሳይ ንድፍ ውስጥ s. የVerilog እና VHDL ግቤት ድብልቅን ስለመጠቀም መረጃ ለማግኘት fileዎች፣ የተቀላቀለ ቋንቋ ምንጭ በመጠቀም ተመልከት Fileኤስ፣ ገጽ 44 ላይ።
1. አዲስ ምንጭ ለመፍጠር file ወይ HDL ን ጠቅ ያድርጉ file አዶ () ወይም የሚከተሉትን ያድርጉ
ይምረጡ File-> አዲስ ወይም Ctrl-n ን ይጫኑ።
በአዲስ የንግግር ሳጥን ውስጥ የምንጩን አይነት ይምረጡ file መፍጠር ይፈልጋሉ ፣
Verilog ወይም VHDL. ኖትሌኦት የSystemVerilog ግንባታዎችን በምንጭ ውስጥ የያዙ የVerilog ንድፎችን የአውድ እገዛ አርታዒን መጠቀም ይችላሉ።
© 2014 Synopsys, Inc. 30
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
HDL ምንጭ በማዘጋጀት ላይ Files
ምዕራፍ 3፡ ግቤቱን በማዘጋጀት ላይ
file. ለበለጠ መረጃ የዐውድ እገዛ አርታዒን በመጠቀም በገጽ 32 ላይ የሚገኘውን ይመልከቱ።
Verilog 2001 format ወይም SystemVerilog እየተጠቀሙ ከሆነ synthesis (Project->Implementation Options->Verilog tab) ከማሄድዎ በፊት የVerilog 2001 ወይም System Verilog አማራጩን ማንቃትዎን ያረጋግጡ። ነባሪው Verilog file የአዳዲስ ፕሮጀክቶች ቅርጸት SystemVerilog ነው።
ስም እና ቦታ ይተይቡ file እና እሺን ጠቅ ያድርጉ። ባዶ አርትዖት
መስኮቱ በግራ መስመር ቁጥሮች ይከፈታል.
2. በመስኮቱ ውስጥ የምንጭ መረጃውን ይተይቡ, ወይም ይቁረጡ እና ይለጥፉ. የ HDL ምንጭን ማስተካከል ይመልከቱ Fileበአርትዖት መስኮቱ ውስጥ ስለመሥራት ተጨማሪ መረጃ ለማግኘት አብሮ በተሰራው ጽሑፍ አርታዒ ገጽ 35 ላይ።
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 31
ምዕራፍ 3፡ ግቤቱን በማዘጋጀት ላይ
HDL ምንጭ በማዘጋጀት ላይ Files
ለተሻለ የውህደት ውጤት የማጣቀሻ መመሪያውን ይመልከቱ እና ያሉትን ግንባታዎች እና አቅራቢ-ተኮር ባህሪያትን እና መመሪያዎችን በብቃት እየተጠቀሙ መሆንዎን ያረጋግጡ።
3. አስቀምጥ file በመምረጥ File-> አስቀምጥ ወይም አስቀምጥ አዶ ().
አንዴ ምንጭ ከፈጠሩ fileHDL ምንጭን በማጣራት ላይ እንደተገለጸው ትክክለኛው አገባብ እንዳለዎት ማረጋገጥ ይችላሉ። Fileኤስ፣ ገጽ 34 ላይ።
የአውድ እገዛ አርታዒን በመጠቀም
የ Verilog ንድፍ ሲፈጥሩ ወይም ሲከፍቱ fileበምንጩ ውስጥ በVerilog/SystemVerilog ገንቢዎች ኮድ እንዲሰጡዎት በመስኮቱ ግርጌ ላይ የሚታየውን የአውድ እገዛ ቁልፍ ይጠቀሙ። file ወይም Tcl ገደብ ትዕዛዞች ወደ የእርስዎ Tcl file.
የአውድ እገዛ አርታዒን ለመጠቀም፡-
1. ይህንን የጽሑፍ አርታኢ ለማሳየት የዐውደ-ጽሑፍ እገዛ ቁልፍን ጠቅ ያድርጉ።
© 2014 Synopsys, Inc. 32
LO
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
HDL ምንጭ በማዘጋጀት ላይ Files
ምዕራፍ 3፡ ግቤቱን በማዘጋጀት ላይ
2. በመስኮቱ በግራ በኩል አንድ ግንባታ ሲመርጡ ለግንባታው የመስመር ላይ እገዛ መግለጫ ይታያል. የተመረጠው ግንባታ ይህ ባህሪ የነቃ ከሆነ፣ የመስመር ላይ እገዛ ርዕስ በመስኮቱ አናት ላይ ይታያል እና ለዚያ ግንባታ አጠቃላይ ኮድ ወይም የትዕዛዝ አብነት ከታች ይታያል።
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 33
ምዕራፍ 3፡ ግቤቱን በማዘጋጀት ላይ
HDL ምንጭ በማዘጋጀት ላይ Files
3. የአብነት አስገባ አዝራር እንዲሁ ነቅቷል። የአብነት አስገባ አዝራሩን ጠቅ ሲያደርጉ በአብነት መስኮቱ ላይ የሚታየው ኮድ ወይም ትዕዛዝ በእርስዎ ውስጥ ገብቷል። file ጠቋሚው በሚገኝበት ቦታ ላይ. ይህ በቀላሉ ኮዱን ወይም ትዕዛዙን እንዲያስገቡ እና ለምትሰራው ንድፍ እንዲቀይሩት ያስችልዎታል።
4. የአብነት ክፍሎችን ብቻ መቅዳት ከፈለጉ ለማስገባት የሚፈልጉትን ኮድ ወይም ትዕዛዝ ይምረጡ እና ኮፒን ጠቅ ያድርጉ። ከዚያ ወደ እርስዎ መለጠፍ ይችላሉ። file.
HDL ምንጭን በመፈተሽ ላይ Files
ሶፍትዌሩ የ HDL ምንጭዎን በራስ-ሰር ይፈትሻል fileእነሱን ሲያጠናቅቅ ፣ ግን ከመዋሃዱ በፊት የምንጭ ኮድዎን ማረጋገጥ ከፈለጉ ፣ የሚከተለውን አሰራር ይጠቀሙ። በሲንተሲስ ሶፍትዌር ውስጥ የምታደርጓቸው ሁለት አይነት ቼኮች አሉ፡ አገባብ እና ሲንተሲስ።
1. ምንጩን ይምረጡ fileማረጋገጥ ይፈልጋሉ።
ሁሉንም ምንጭ ለማጣራት fileበፕሮጀክት ውስጥ ነው፣ ሁሉንም አይምረጡ fileውስጥ s
የፕሮጀክት ዝርዝር ፣ እና አንዳቸውም እንደሌለ ያረጋግጡ files ንቁ በሆነ መስኮት ውስጥ ተከፍተዋል። ንቁ ምንጭ ካለዎት fileሶፍትዌሩ ገባሪውን ብቻ ይፈትሻል file.
ነጠላ ለመፈተሽ file, ክፈት file ጋር File-> ክፈት ወይም ሁለቴ ጠቅ ያድርጉ
file በፕሮጀክት መስኮት ውስጥ. ከአንድ በላይ ካለዎት file ይክፈቱ እና ከመካከላቸው አንዱን ብቻ ለመፈተሽ ከፈለጉ ጠቋሚዎን በተገቢው ውስጥ ያስቀምጡት file የነቃው መስኮት መሆኑን ለማረጋገጥ መስኮት።
2. አገባብ ለመፈተሽ Run->Syntax Check የሚለውን ይምረጡ ወይም Shift+F7ን ይጫኑ።
ሶፍትዌሩ እንደ የተሳሳቱ ቁልፍ ቃላቶች እና ሥርዓተ-ነጥብ ያሉ የአገባብ ስህተቶችን ያገኛል እና ማናቸውንም ስህተቶች በተለየ መዝገብ ውስጥ ሪፖርት ያደርጋል file (syntax.log)። ምንም ስህተቶች ካልተገኙ, የተሳካ የአገባብ ፍተሻ በዚህ ግርጌ ላይ ሪፖርት ተደርጓል file.
3. የሲንቴሲስ ቼክን ለማሄድ Run->Synthesis Check የሚለውን ይምረጡ ወይም Shift+F8ን ይጫኑ።
ሶፍትዌሩ ከሃርድዌር ጋር የተገናኙ ስህተቶችን ለምሳሌ በስህተት ኮድ ፈልጎ ያገኛል
በተለየ ምዝግብ ማስታወሻ ውስጥ ማናቸውንም ስህተቶች ይግለጡ እና ሪፖርት ያድርጉ file (syntax.log)። ካለ
ምንም ስህተት የለም፣ የተሳካ የአገባብ ቼክ ከዚህ በታች ሪፖርት ተደርጓል
file.
LO
4. ሪview ስህተቶቹን syntax.log በመክፈት file ሲጠየቁ እና የስህተት መልዕክቱን ለማግኘት ፈልግን ይጠቀሙ (@E ን ይፈልጉ)። በ ላይ ሁለቴ ጠቅ ያድርጉ
© 2014 Synopsys, Inc. 34
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
HDL ምንጭ በማዘጋጀት ላይ Files
ምዕራፍ 3፡ ግቤቱን በማዘጋጀት ላይ
ባለ 5 ቁምፊዎች የስህተት ኮድ ወይም የመልእክት ጽሁፍ ላይ ጠቅ ያድርጉ እና በመስመር ላይ የስህተት መልእክት እገዛን ለማሳየት F1 ን ይጫኑ።
5. በ syntax.log ውስጥ የመልእክት ጽሁፍ ላይ ሁለቴ ጠቅ በማድረግ ለስህተቱ ተጠያቂ የሆነውን የኮድ ክፍል ያግኙ። file. የጽሑፍ አርታኢ መስኮቱ ተገቢውን ምንጭ ይከፍታል። file እና ስህተቱን ያስከተለውን ኮድ ያደምቃል.
6. ሁሉም የአገባብ እና የአገባብ ስህተቶች እስኪስተካከሉ ድረስ ደረጃ 4 እና 5 ን ይድገሙ።
መልዕክቶች እንደ ስህተቶች፣ ማስጠንቀቂያዎች ወይም ማስታወሻዎች ሊመደቡ ይችላሉ። ድጋሚview ሁሉንም መልዕክቶች እና ማንኛውንም ስህተቶች መፍታት. ማስጠንቀቂያዎች ከስህተቶች ያነሱ ናቸው፣ ነገር ግን ሁሉንም መፍታት ባይችሉም ማንበብ እና መረዳት አለብዎት። ማስታወሻዎች መረጃ ሰጪ ናቸው እና መፍትሄ አያስፈልጋቸውም።
HDL ምንጭ ማረም Fileአብሮ በተሰራው የጽሑፍ አርታኢ ጋር
አብሮ የተሰራው የጽሑፍ አርታዒ የእርስዎን HDL ምንጭ ኮድ መፍጠር ቀላል ያደርገዋል። view ስህተቶችን ማስተካከል ሲፈልጉ ያርትዑት ወይም ያርትዑት። ውጫዊ የጽሑፍ አርታዒን ለመጠቀም ከፈለጉ፣ ውጫዊ ጽሑፍን በመጠቀም በገጽ 41 ላይ ይመልከቱ።
1. ምንጭ ለመክፈት ከሚከተሉት አንዱን ያድርጉ file ለ viewማረም ወይም ማረም;
የመጀመሪያውን በራስ ሰር ለመክፈት file በዝርዝሩ ውስጥ ከስህተቶች ጋር, F5 ን ይጫኑ.
የተወሰነ ለመክፈት file፣ ሁለቴ ጠቅ ያድርጉ file በፕሮጀክት መስኮት ወይም
መጠቀም File-> ክፈት (Ctrl-o) እና ምንጩን ይግለጹ file.
የጽሑፍ አርታኢ መስኮት ይከፈታል እና ምንጩን ያሳያል file. መስመሮች ተቆጥረዋል. ቁልፍ ቃላቶች በሰማያዊ ፣ አስተያየቶች በአረንጓዴ ናቸው። የሕብረቁምፊ እሴቶች በቀይ ናቸው። እነዚህን ቀለሞች መቀየር ከፈለጉ፣ የመስኮት ምርጫዎችን ማቀናበር በገጽ 39 ላይ ይመልከቱ።
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 35
ምዕራፍ 3፡ ግቤቱን በማዘጋጀት ላይ
HDL ምንጭ በማዘጋጀት ላይ Files
2. ለማረም ሀ file, በመስኮቱ ውስጥ በቀጥታ ይተይቡ.
ይህ ሰንጠረዥ ሊጠቀሙባቸው የሚችሉትን የተለመዱ የአርትዖት ስራዎችን ያጠቃልላል። እንዲሁም ከትእዛዞች ይልቅ የቁልፍ ሰሌዳ አቋራጮችን መጠቀም ይችላሉ.
ወደ…
መ ስ ራ ት…
ይቁረጡ, ይቅዱ እና ይለጥፉ; በብቅ ባዩ ውስጥ ትዕዛዙን ይምረጡ (መቀልበስን ተጭነው ወይም አንድ ድርጊት የቀኝ መዳፊት ቁልፍን ይድገሙት) ወይም ሜኑ ያርትዑ።
ወደ አንድ የተወሰነ መስመር ይሂዱ
Ctrl-gን ይጫኑ ወይም Edit->Go To የሚለውን ይምረጡ፣ የመስመር ቁጥሩን ይተይቡ እና እሺን ጠቅ ያድርጉ።
ጽሑፍ አግኝ
Ctrl-f ን ይጫኑ ወይም አርትዕ -> አግኝ የሚለውን ይምረጡ። ለማግኘት የሚፈልጉትን ጽሑፍ ይተይቡ እና እሺን ጠቅ ያድርጉ።
ጽሑፍ ተካ
Ctrl-h ን ይጫኑ ወይም Edit->ተካን ይምረጡ። ለማግኘት የሚፈልጉትን ጽሑፍ, እና እሱን ለመተካት የሚፈልጉትን ጽሑፍ ይተይቡ. እሺን ጠቅ ያድርጉ።
ቁልፍ ቃል ይሙሉ
ቁልፍ ቃሉን በተለየ ሁኔታ ለመለየት በቂ ቁምፊዎችን ይተይቡ እና Esc ን ይጫኑ።
ጽሑፍ ወደ ቀኝ አስገባ እገዳውን ምረጥ እና ትርን ተጫን። ጽሑፍ ወደ ግራ አስገባ LSO ብሎክውን ምረጥ እና Shift-Tabን ተጫን።
ወደ አቢይ ሆሄ ይቀይሩ ጽሑፉን ይምረጡ እና ከዚያ Edit-> የላቀ -> አቢይ ሆሄ የሚለውን ይምረጡ ወይም Ctrl-Shift-uን ይጫኑ።
© 2014 Synopsys, Inc. 36
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
HDL ምንጭ በማዘጋጀት ላይ Files
ምዕራፍ 3፡ ግቤቱን በማዘጋጀት ላይ
ወደ… ወደ ትንሽ ፊደል ቀይር አስተያየቶችን አግድ
ዓምዶችን ያርትዑ
መ ስ ራ ት…
ጽሑፉን ይምረጡ እና ከዚያ Edit-> የላቀ -> ዝቅተኛ ፊደልን ይምረጡ ወይም Ctrl-u ን ይጫኑ።
ጠቋሚውን በአስተያየቱ መጀመሪያ ላይ ያስቀምጡ እና Edit-> የላቀ->የአስተያየት ኮድን ይምረጡ ወይም Alt-c ን ይጫኑ።
Alt ን ይጫኑ እና አምዱን ለመምረጥ የግራውን መዳፊት ይጠቀሙ። በአንዳንድ መድረኮች ላይ እንደ ሜታ ወይም አልማዝ ቁልፍ የ Alt ተግባር የሚቀረፅበትን ቁልፍ መጠቀም አለቦት።
3. የፒዲኤፍ ሰነድን ክፍል ለመቁረጥ እና ለመለጠፍ ቲ-ቅርጽ ያለው የፅሁፍ ምረጥ አዶን ይምረጡ እና የሚፈልጉትን ጽሑፍ ያደምቁ እና ይቅዱ እና ወደ እርስዎ ይለጥፉ። file. የጽሑፍ ምረጥ አዶ የሰነዱን ክፍሎች እንዲመርጡ ያስችልዎታል።
4. በእርስዎ ውስጥ ካሉ ዕልባቶች ጋር ለመፍጠር እና ለመስራት file, የሚከተለውን ሰንጠረዥ ይመልከቱ.
ዕልባቶች ረጅም ለማሰስ አመቺ መንገድ ናቸው። files ወይም ብዙ ጊዜ ወደሚያመለክተው ኮድ ውስጥ ወደ ነጥቦች ለመዝለል። ለእነዚህ ክንውኖች በመሳሪያ አሞሌው ውስጥ ያሉትን አዶዎች መጠቀም ይችላሉ። በመስኮትዎ በቀኝ በኩል ያለውን የአርትዕ መሣሪያ አሞሌ ማየት ካልቻሉ፣ሌሎቹን የመሳሪያ አሞሌዎች መጠን ያስተካክሉ።
ወደ… ዕልባት አስገባ
ዕልባት ሰርዝ
ሁሉንም ዕልባቶችን ሰርዝ
መ ስ ራ ት…
ዕልባት ለማድረግ በሚፈልጉት መስመር ውስጥ የትኛውም ቦታ ላይ ጠቅ ያድርጉ። Edit->ዕልባቶችን ቀያይር የሚለውን ይምረጡ፣ Ctrl-F2 ን ይጫኑ ወይም በመሳሪያ አሞሌው ውስጥ የመጀመሪያውን አዶ ይምረጡ። በመስመሩ መጀመሪያ ላይ ዕልባት እንዳለ ለማመልከት የመስመር ቁጥሩ ጎልቶ ይታያል።
ዕልባት ባለው መስመር ውስጥ የትኛውም ቦታ ላይ ጠቅ ያድርጉ። Edit->ዕልባቶችን ቀያይር የሚለውን ይምረጡ፣ Ctrl-F2 ን ይጫኑ ወይም በመሳሪያ አሞሌው ውስጥ የመጀመሪያውን አዶ ይምረጡ። ዕልባቱ ከተሰረዘ በኋላ የመስመሩ ቁጥሩ ደመቀ አይደረግም።
Edit->ሁሉንም ዕልባቶች ሰርዝ፣ Ctrl-Shift-F2 ን ይጫኑ ወይም በመሳሪያ አሞሌው ውስጥ ያለውን የመጨረሻውን ምልክት ይምረጡ። ዕልባቶቹ ከተሰረዙ በኋላ የመስመሩ ቁጥሮች ማድመቂያዎች አይደሉም።
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 37
ምዕራፍ 3፡ ግቤቱን በማዘጋጀት ላይ
HDL ምንጭ በማዘጋጀት ላይ Files
ወደ…
አስስ ሀ file ዕልባቶችን በመጠቀም
መ ስ ራ ት…
የሚቀጥለውን ዕልባት (F2) እና የቀደመ ዕልባት (Shift-F2) ትዕዛዞችን ከአርትዕ ሜኑ ወይም ከመሳሪያ አሞሌው ያሉትን ተዛማጅ አዶዎች ወደሚፈልጉት ዕልባት ለማሰስ ይጠቀሙ።
5. ስህተቶችን ለማስተካከል ወይም እንደገናview በመነሻ ኮድ ውስጥ ያሉ ማስጠንቀቂያዎች የሚከተሉትን ያድርጉ
HDL ን ይክፈቱ file ከስህተት ወይም ማስጠንቀቂያ ጋር ሁለቴ ጠቅ በማድረግ file
በፕሮጀክቱ ዝርዝር ውስጥ.
በ ውስጥ ወደ መጀመሪያው ስህተት፣ ማስጠንቀቂያ ወይም ማስታወሻ ለመሄድ F5 ን ይጫኑ file. በ
በአርትዖት መስኮቱ ግርጌ, የመልዕክት ጽሁፍን ታያለህ.
ወደ ቀጣዩ ስህተት፣ ማስጠንቀቂያ ወይም ማስታወሻ ለመሄድ Run->ቀጣይ ስህተት/ማስጠንቀቂያ የሚለውን ይምረጡ
ወይም F5 ን ይጫኑ. በ ውስጥ ምንም ተጨማሪ መልዕክቶች ከሌሉ file, በአርትዖት መስኮቱ ግርጌ ላይ "ምንም ተጨማሪ ስህተቶች / ማስጠንቀቂያዎች / ማስታወሻዎች" የሚለውን መልእክት ታያለህ. አሂድ->ቀጣይ ስህተት/ማስጠንቀቂያ የሚለውን ይምረጡ ወይም በሚቀጥለው ጊዜ ወደ ስህተቱ፣ ማስጠንቀቂያ ወይም ማስታወሻ ለመሄድ F5 ን ይጫኑ። file.
ወደ ቀድሞ ስህተት፣ ማስጠንቀቂያ ወይም ማስታወሻ ለመመለስ ይምረጡ
አሂድ->የቀድሞ ስህተት/ማስጠንቀቂያ ወይም Shift-F5ን ተጫን።
6. ስለ ስህተቱ፣ ማስጠንቀቂያው ወይም ማስታወሻው ሙሉ መግለጫ የስህተት መልእክት እገዛን ለማምጣት፡-
የጽሑፍ ቅርጸት ምዝግብ ማስታወሻን ይክፈቱ file (ጠቅ ያድርጉ View Log) እና ወይ ላይ ሁለቴ ጠቅ ያድርጉ
ባለ 5-ቁምፊ የስህተት ኮድ ወይም የመልዕክት ጽሁፍ ላይ ጠቅ ያድርጉ እና F1 ን ይጫኑ.
የኤችቲኤምኤል መዝገብን ይክፈቱ file እና ባለ 5-ቁምፊ የስህተት ኮድ ላይ ጠቅ ያድርጉ።
በTcl መስኮት ውስጥ የመልእክቶች ትሩን ጠቅ ያድርጉ እና ባለ 5 ቁምፊዎችን ጠቅ ያድርጉ
በመታወቂያው አምድ ውስጥ የስህተት ኮድ።
7. ከምንጩ ኮድ መስኮት ወደ ሌላ ለመሻገር views, ክፈት view እና የኮዱን ቁራጭ ይምረጡ። ለዝርዝር መረጃ ከጽሑፍ አርታኢ መስኮት በገጽ 246 ላይ ክሮስፕሮቢንግን ይመልከቱ።
8. ሁሉንም ስህተቶች ሲያስተካክሉ, ይምረጡ File-> አስቀምጥ ወይም ለማስቀመጥ አዶውን ጠቅ ያድርጉ file.
LO
© 2014 Synopsys, Inc. 38
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
HDL ምንጭ በማዘጋጀት ላይ Files
ምዕራፍ 3፡ ግቤቱን በማዘጋጀት ላይ
የአርትዖት መስኮት ምርጫዎችን በማቀናበር ላይ
በጽሑፍ አርትዖት መስኮት ውስጥ ጥቅም ላይ የዋሉትን ቅርጸ ቁምፊዎች እና ቀለሞች ማበጀት ይችላሉ.
1. Options->Editor Options የሚለውን ይምረጡ እና ሲኖፕሲዎች አርታዒ ወይም ውጫዊ አርታዒን ይምረጡ። ስለ ውጫዊው አርታኢ ተጨማሪ መረጃ ለማግኘት የውጭ ጽሑፍ አርታዒን በመጠቀም በገጽ 41 ላይ ይመልከቱ።
2. ከዚያም እንደ አይነት ይወሰናል file ከጽሑፍ አርታዒው ጋር ለመጠቀም ዳራውን ፣ የአገባብ ቀለም እና የቅርጸ-ቁምፊ ምርጫዎችን ማዘጋጀት ይችላሉ ።
ማሳሰቢያ፡ ከዚያ በኋላ ለዚህ ያዘጋጀሃቸው የጽሑፍ አርትዖት ምርጫዎች file ለሁሉም ተግባራዊ ይሆናል። files የዚህ file ዓይነት.
የጽሑፍ አርትዖት መስኮቱ የፕሮጀክት ምርጫዎችን ለማዘጋጀት ጥቅም ላይ ሊውል ይችላል። files, ምንጭ files (Verilog/VHDL)፣ ሎግ files፣ Tcl files፣ ገደብ files፣ ወይም ሌላ ነባሪ files ከአርታዒ አማራጮች የንግግር ሳጥን.
3. ለአንዳንድ የተለመዱ የአገባብ አማራጮች እንደ ቁልፍ ቃላት፣ ሕብረቁምፊዎች እና አስተያየቶች የአገባብ ቀለሞችን ማዘጋጀት ይችላሉ። ለ example በምዝግብ ማስታወሻው ውስጥ file, ማስጠንቀቂያዎች እና ስህተቶች በቀላሉ ለመለየት በቀለም ኮድ ሊደረጉ ይችላሉ.
የቀለም ቤተ-ስዕልን ለማሳየት በአገባብ ማቅለሚያ መስክ ውስጥ ላለው ተዛማጅ ነገር የፊት ወይም የጀርባ መስክ ላይ ጠቅ ያድርጉ።
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 39
ምዕራፍ 3፡ ግቤቱን በማዘጋጀት ላይ
HDL ምንጭ በማዘጋጀት ላይ Files
መሰረታዊ ቀለሞችን መምረጥ ወይም ብጁ ቀለሞችን መግለፅ እና ወደ ብጁ የቀለም ቤተ-ስዕልዎ ማከል ይችላሉ። የሚፈልጉትን ቀለም ለመምረጥ እሺን ጠቅ ያድርጉ።
4. ለጽሑፍ አርታዒው የቅርጸ-ቁምፊ እና የቅርጸ-ቁምፊ መጠን ለማዘጋጀት ተጎታች ምናሌዎችን ይጠቀሙ።
5. የትር ቅንጅቶችን ለማንቃት Keep Tabsን ፈትሽ እና ከዚያ የላይ ወይም ታች ቀስት ለታብ መጠን በመጠቀም የትር ክፍተትን ያስተካክሉ።
LO 6. በአርታዒ አማራጮች ቅጽ ላይ እሺን ጠቅ ያድርጉ።
© 2014 Synopsys, Inc. 40
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
HDL ምንጭ በማዘጋጀት ላይ Files
ምዕራፍ 3፡ ግቤቱን በማዘጋጀት ላይ
የውጭ ጽሑፍ አርታዒን በመጠቀም
አብሮ በተሰራው የጽሑፍ አርታዒ ምትክ እንደ vi ወይም emacs ያለ ውጫዊ የጽሑፍ አርታዒ መጠቀም ይችላሉ። የውጭ ጽሑፍ አርታዒን ለማንቃት የሚከተሉትን ያድርጉ። አብሮ የተሰራውን የጽሑፍ አርታዒ ስለመጠቀም መረጃ ለማግኘት የHDL ምንጭን ማረም የሚለውን ይመልከቱ Fileአብሮገነብ ጽሑፍ አርታኢ ጋር፣ በገጽ 35 ላይ።
1. Options->Editor Options የሚለውን ይምረጡ እና የውጭ አርታዒ ምርጫን ያብሩ።
2. ለኦፕሬቲንግ ሲስተምዎ ተስማሚ የሆነውን ዘዴ በመጠቀም የውጭውን አርታኢ ይምረጡ።
በዊንዶውስ መድረክ ላይ እየሰሩ ከሆነ…(አስስ) የሚለውን ቁልፍ ጠቅ ያድርጉ
እና ውጫዊ የጽሑፍ አርታዒውን executable ይምረጡ።
የራሱን ለሚፈጥረው የጽሑፍ አርታኢ ከ UNIX ወይም Linux መድረክ
መስኮቱን… አስስ ቁልፍን ጠቅ ያድርጉ እና የሚተገበር ውጫዊ የጽሑፍ አርታኢን ይምረጡ።
ከ UNIX መድረክ ለጽሑፍ አርታዒ የራሱን የማይፈጥር
መስኮት፣… አስስ የሚለውን ቁልፍ አይጠቀሙ። በምትኩ xterm-e editor ይተይቡ። የሚከተለው ምስል VI እንደ ውጫዊ አርታኢ የተገለጸውን ያሳያል።
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 41
ምዕራፍ 3፡ ግቤቱን በማዘጋጀት ላይ
HDL ምንጭ በማዘጋጀት ላይ Files
ከሊኑክስ መድረክ, ለጽሑፍ አርታዒ የራሱን ላልተፈጠረ
መስኮት፣… አስስ የሚለውን ቁልፍ አይጠቀሙ። በምትኩ፣ gnome-terminal -x editor ይተይቡ። ለ ex. emacs ለመጠቀምample፣ gnome-terminal -x emacs ይተይቡ።
ሶፍትዌሩ በ emacs እና vi text editors ተፈትኗል።
3. እሺን ጠቅ ያድርጉ.
የቤተ መፃህፍት ቅጥያዎችን ለVerilog Library መጠቀም Files
የቤተ መፃህፍት ቅጥያዎች ወደ Verilog ቤተ-መጽሐፍት ሊታከሉ ይችላሉ። fileለፕሮጀክቱ ንድፍዎ ውስጥ ተካትቷል. የቬሪሎግ ቤተ-መጽሐፍትን ወደያዙት ማውጫዎች የፍለጋ መንገዶችን ሲያቀርቡ fileዎች፣ እነዚህን አዲስ የቤተ-መጻህፍት ቅጥያዎች እንዲሁም Verilog እና SystemVerilog (.v እና .sv) መግለጽ ትችላለህ። file ማራዘሚያዎች.
ይህንን ለማድረግ፡-
1. የአተገባበር አማራጮች ፓነል የ Verilog ትርን ይምረጡ።
2. ለቬሪሎግ ቤተ መፃህፍት የቤተ መፃህፍት ማውጫዎች የሚገኙበትን ቦታ ይግለጹ fileለፕሮጀክቱ በንድፍዎ ውስጥ እንዲካተት።
3. የቤተ መፃህፍት ቅጥያዎችን ይግለጹ.
እንደ .av፣ .bv፣ .cv፣ .xxx፣ .va፣ .vas (የተለየ የቤተ መፃህፍት ቅጥያዎች ከቦታ ጋር) ያሉ ማንኛውም የቤተ-መጻህፍት ቅጥያዎች ሊገለጹ ይችላሉ።
የሚከተለው ምስል በንግግር ሳጥኑ ላይ የላይብረሪውን ቅጥያዎች የት እንደሚገቡ ያሳየዎታል።
© 2014 Synopsys, Inc. 42
LO
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
HDL ምንጭ በማዘጋጀት ላይ Files
ምዕራፍ 3፡ ግቤቱን በማዘጋጀት ላይ
ለዚህ የቀድሞ የTcl አቻample የሚከተለው ትዕዛዝ ነው:
set_option -libext .av .bv .cv .dv .ev
ለዝርዝር መረጃ፣ በትእዛዝ ማጣቀሻ ውስጥ በገጽ 57 ላይ ሊክስክስን ይመልከቱ።
4. ንድፉን ካጠናቀሩ በኋላ, በምዝግብ ማስታወሻው ውስጥ ማረጋገጥ ይችላሉ file ቤተ መጻሕፍት መሆኑን files ከእነዚህ ቅጥያዎች ጋር ተጭነዋል እና ተነበቡ። ለ exampላይ:
@N: Verilog Compiler በ SystemVerilog mode @I በማሄድ ላይ::"C:dirtop.v" @N: CG1180 :"C:dirtop.v":8:0:8:3|በመጫን ላይ file C:dirlib1sub1.av ከተጠቀሰው የቤተ-መጽሐፍት ማውጫ C:dirlib1 @I::"C:dirlib1sub1.av" @N: CG1180 :"C:dirtop.v":10:0:10:3|በመጫን ላይ file C:dirlib2sub2.bv ከተጠቀሰው የቤተ-መጽሐፍት ማውጫ C:dirlib2 @I::"C:dirlib2sub2.bv" @N: CG1180 :"C:dirtop.v":12:0:12:3|በመጫን ላይ file
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 43
ምዕራፍ 3፡ ግቤቱን በማዘጋጀት ላይ
የተቀላቀለ ቋንቋ ምንጭ መጠቀም Files
C:dirlib3sub3.cv ከተጠቀሰው የላይብረሪ ማውጫ C:dirlib3 @I::"C:dirlib3sub3.cv" @N: CG1180 :"C:dirtop.v":14:0:14:3|በመጫን ላይ file C:dirlib4sub4.dv ከተጠቀሰው የላይብረሪ ማውጫ C:dirlib4 @I::"C:dirlib4sub4.dv" @N: CG1180 :"C:dirtop.v":16:0:16:3|በመጫን ላይ file C:dirlib5sub5.ev ከተጠቀሰው የቤተመፃህፍት ማውጫ C:dirlib5 @I::"C:dirlib5sub5.ev"Verilog syntax check ተሳክቷል!
የተቀላቀለ ቋንቋ ምንጭ መጠቀም Files
በ Synplify Pro ሶፍትዌር፣ የVHDL እና Verilog ግብዓት ድብልቅን መጠቀም ይችላሉ። fileበእርስዎ ፕሮጀክት ውስጥ s. ለ exampየ VHDL እና Verilog fileዎች፣ የማጣቀሻ መመሪያውን ይመልከቱ።
1. ያስታውሱ ቬሪሎግ ያልተገደቡ የVHDL ወደቦችን እንደማይደግፍ እና የተደባለቀ ቋንቋ ንድፍ አዘጋጅቷል. fileበዚህ መሠረት.
2. ቬሪሎግ እና ቪኤችዲኤልን ማደራጀት ከፈለጉ files በተለያዩ ማህደሮች ውስጥ፣ Options->Project የሚለውን ይምረጡ View አማራጮች እና በ ላይ መቀያየር View ፕሮጀክት Fileዎች በአቃፊዎች አማራጭ ውስጥ።
ሲጨምሩት። fileወደ ፕሮጀክቱ፣ ቬሪሎግ እና ቪኤችዲኤል files በፕሮጀክቱ ውስጥ በተለየ አቃፊዎች ውስጥ ይገኛሉ view.
3. ፕሮጀክት ሲከፍቱ ወይም አዲስ ሲፈጥሩ ቬሪሎግ እና ቪኤችዲኤልን ይጨምሩ files እንደሚከተለው
ፕሮጀክቱን ይምረጡ->ምንጭ ያክሉ File ማዘዝ ወይም አክል የሚለውን ጠቅ ያድርጉ File አዝራር። በቅጹ ላይ, አዘጋጅ Files of Type to HDL Files (*.vhd፣ *.vhdl፣ *.v)። Verilog እና VHDL ን ይምረጡ fileእንደፈለጉት እና ወደ እርስዎ ያክሏቸው
ፕሮጀክት. እሺን ጠቅ ያድርጉ። ስለ መጨመር ዝርዝሮች fileበፕሮጀክት ላይ ለውጥ ማድረግ በገጽ 62 ላይ ይመልከቱ።
LO
© 2014 Synopsys, Inc. 44
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
የተቀላቀለ ቋንቋ ምንጭ መጠቀም Files
ምዕራፍ 3፡ ግቤቱን በማዘጋጀት ላይ
የ fileያከሉዋቸው በፕሮጀክቱ ውስጥ ይታያሉ view. ይህ አኃዝ ያሳያል fileበተለየ አቃፊዎች ውስጥ ተዘጋጅቷል.
4. የመሳሪያ አማራጮችን (የአተገባበር አማራጮች አዝራር) ሲያዘጋጁ, ከፍተኛ ደረጃ ሞጁሉን ይግለጹ. የመሣሪያ አማራጮችን ስለማዘጋጀት ተጨማሪ መረጃ ለማግኘት የሎጂክ ሲንተሲስ አተገባበር አማራጮችን ማቀናበር በገጽ 75 ላይ ይመልከቱ።
ከፍተኛ-ደረጃ ሞጁል Verilog ከሆነ, Verilog ትርን ጠቅ ያድርጉ እና ይተይቡ
የከፍተኛ ደረጃ ሞጁል ስም.
የከፍተኛ ደረጃ ሞጁል VHDL ከሆነ፣ የVHDL ትሩን ጠቅ ያድርጉ እና ስሙን ይተይቡ
የከፍተኛ ደረጃ አካል. የከፍተኛ ደረጃ ሞጁል በነባሪ የሥራ ቤተ-መጽሐፍት ውስጥ ካልሆነ, አቀናባሪው ሞጁሉን የሚያገኝበትን ቤተ-መጽሐፍት መግለጽ አለብዎት. ይህንን እንዴት ማድረግ እንደሚቻል መረጃ ለማግኘት በገጽ 200 ላይ VHDL Panel የሚለውን ይመልከቱ።
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 45
ምዕራፍ 3፡ ግቤቱን በማዘጋጀት ላይ
የተቀላቀለ ቋንቋ ምንጭ መጠቀም Files
የከፍተኛ ደረጃ ሞጁሉን በግልፅ መግለጽ አለቦት፣ ምክንያቱም ካርታው የተዋሃደ የተጣራ ዝርዝር የሚያመነጭበት መነሻ ነው።
5. በተመሳሳዩ ቅጽ ላይ የትግበራ ውጤቶችን ትር ይምረጡ እና ለውጤቱ አንድ የውጤት HDL ቅርጸት ይምረጡ fileበሶፍትዌሩ የተፈጠረ። የመሣሪያ አማራጮችን ስለማዘጋጀት ተጨማሪ መረጃ ለማግኘት የሎጂክ ሲንተሲስ አተገባበር አማራጮችን ማቀናበር በገጽ 75 ላይ ይመልከቱ።
ለVerilog ውጽዓት መረብ ዝርዝር፡ ጻፍ Verilog Netlist የሚለውን ይምረጡ። ለVHDL የውጤት መረብ ዝርዝር፣ VHDL Netlist ፃፍ የሚለውን ይምረጡ። ማንኛውንም ሌላ የመሳሪያ አማራጮችን ያዘጋጁ እና እሺን ጠቅ ያድርጉ።
አሁን የእርስዎን ንድፍ ማቀናጀት ይችላሉ. ሶፍትዌሩ የሚነበበው በምንጩ ቅይጥ ቅርጸቶች ነው። files እና ነጠላ srs ያመነጫል። file ለማዋሃድ የሚያገለግል።
6. ችግር ካጋጠመህ፣ድብልቅ ቋንቋ ንድፎችን መላ መፈለግ፣ለተጨማሪ መረጃ እና ጠቃሚ ምክሮችን በገጽ 47 ተመልከት።
LO
© 2014 Synopsys, Inc. 46
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
የተቀላቀለ ቋንቋ ምንጭ መጠቀም Files
ምዕራፍ 3፡ ግቤቱን በማዘጋጀት ላይ
የተቀላቀሉ ቋንቋ ንድፎችን መላ መፈለግ
ይህ ክፍል ከተደባለቀ የቋንቋ ንድፎች ጋር ሊመጡ የሚችሉ ልዩ ሁኔታዎችን ስለመቆጣጠር ጠቃሚ ምክሮችን ይሰጣል።
ቪኤችዲኤል File ማዘዝ
ለVHDL-ብቻ ዲዛይኖች ወይም የተቀላቀሉ ዲዛይኖች ከፍተኛው ደረጃ ያልተገለፀ የ FPGA ውህደት መሳሪያዎች በራስ-ሰር VHDL ን እንደገና ያዘጋጃሉ fileየ VHDL ጥቅሎች በትክክለኛው ቅደም ተከተል እንዲሰበሰቡ።
ነገር ግን፣ ከፍተኛውን ደረጃ የገለጽክበት ቅይጥ ቋንቋ ንድፍ ካለህ፣ VHDL ን መግለጽ አለብህ። file ለመሳሪያው ማዘዝ. ይህንን ማድረግ ያለብዎት አንድ ጊዜ ብቻ ነው፣ Run->VHDLን አዘጋጁ files ትዕዛዝ. ይህን ካላደረጉ የስህተት መልእክት ይደርስዎታል።
VHDL ዓለም አቀፍ ምልክቶች
በአሁኑ ጊዜ በድብልቅ ቋንቋ ዲዛይኖች ውስጥ የVHDL አለምአቀፍ ምልክቶች ሊኖሩዎት አይችሉም፣ ምክንያቱም መሳሪያው እነዚህን ምልክቶች በVHDL-ብቻ ዲዛይኖች ውስጥ ብቻ ነው የሚተገበረው።
VHDL Boolean Generics ወደ Verilog Parameters በማለፍ ላይ
መሣሪያው ለVHDL አካል ከቦሊያን ጀነሬክቶች ጋር ጥቁር ሣጥን ይሰጣል፣ ያ አካል በቬሪሎግ ንድፍ ውስጥ ከታየ። ይህ የሆነበት ምክንያት ቬሪሎግ የቦሊያን ውሂብ አይነቶችን ስለማያውቅ የቦሊያን ዋጋ በትክክል መወከል አለበት። የVHDL ቡሊያን አጠቃላይ ዋጋ እውነት ከሆነ እና የቬሪሎግ ቃል በቃል በ1 ከተወከለ፣ የቬሪሎግ አቀናባሪ ይህንን እንደ ጥቁር ሳጥን ይተረጉመዋል።
ጥቁር ሳጥንን ላለማስገባት፣ የVHDL ቡሊያን አጠቃላይ ወደ TRUE የሚለው የቨርሪሎግ ቃል በቃል 1'b1 እንጂ 1 መሆን የለበትም።በተመሳሳይ የVHDL Boolean አጠቃላይ ሐሰት ከሆነ፣ተዛማጁ የቬሪሎግ ቃል በቃል 1'b0 እንጂ 0 መሆን የለበትም።የሚቀጥለው የቀድሞample የቦሊያን ጄኔቲክስን እንዴት እንደሚወክሉ ያሳያል ስለዚህም የVHDL-Verilog ወሰን በትክክል እንዲያልፉ፣ ጥቁር ሣጥን ሳያስገቡ።
የVHDL አካል መግለጫ
Verilog Instantiation
አካል አቢሲ አጠቃላይ ነው (
የቁጥር_ቢት ክፍፍል_ቢት );
ኢንቲጀር: ቡሊያን
: = 0; := ሐሰት;
abc #( .ቁጥር_ቢት (16)፣ .ክፍል_ቢት (1'b0)
)
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 47
ምዕራፍ 3፡ ግቤቱን በማዘጋጀት ላይ
የተቀላቀለ ቋንቋ ምንጭ መጠቀም Files
ጥቁር ሣጥን ሳያስገቡ የVHDL ጄነሮችን ማለፍ
የVerilog አካል መመዘኛ ባለበት ሁኔታ (ለምሳሌample [0:0] RSR = 1'b0) ከተዛማጅ VHDL አካል አጠቃላይ (RSR: ኢንቲጀር: = 0) መጠን ጋር አይዛመድም, መሳሪያው ጥቁር ሳጥንን ያሳያል.
በቬሪሎግ ውስጥ ያለውን የ [0:0] የአውቶቡስ ስፋት ምልክት በማንሳት በዚህ ዙሪያ መስራት ይችላሉ። fileኤስ. የVHDL አጠቃላይ የአይነት ኢንቲጀር መጠቀም እንዳለቦት ልብ ይበሉ ምክንያቱም ሌሎቹ ዓይነቶች የVerilog ክፍልን በትክክል ለማያያዝ አይፈቅዱም።
© 2014 Synopsys, Inc. 48
LO
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
ተጨማሪ ማጠናከሪያን በመጠቀም
ምዕራፍ 3፡ ግቤቱን በማዘጋጀት ላይ
ተጨማሪ ማጠናከሪያን በመጠቀም
ለትላልቅ ዲዛይኖች የማጠናከሪያ ጊዜን በከፍተኛ ሁኔታ ለመቀነስ የ Increamental Compiler ፍሰትን ይጠቀሙ። ሶፍትዌሩ አግባብነት ያለው ብቻ ነው የሚሰበስበው fileየንድፍ ለውጥ ሲደረግ እና የአቀናባሪውን ዳታቤዝ እንደገና ሲጠቀም። አቀናባሪው SRS ን ያድሳል file ለተጎዳው ሞጁል እና ወዲያውኑ የወላጅ ሞጁል ብቻ.
ይህንን ፍሰት ለማስኬድ የሚከተሉትን ያድርጉ
1. Verilog ወይም VHDL ያክሉ files ለንድፍ.
2. የመጨመሪያ ማጠናቀር አማራጩን ከVerilog ወይም VHDL ትር ከትግበራ አማራጮች ፓነል አንቃ።
ኤስአርኤስ file በ synwork ማውጫ ውስጥ ለእያንዳንዱ የንድፍ ሞጁል ተፈጥሯል.
3. ማጠናከሪያውን ለመጀመሪያ ጊዜ ያሂዱ.
4. የንድፍ ለውጥ ከተደረገ, ማጠናከሪያውን እንደገና ያሂዱ.
አቀናባሪው የመረጃ ቋቱን ይመረምራል እና SRS መሆኑን ይወስናል files ወቅታዊ ናቸው፣ ከዚያ የተቀየሩ ሞጁሎች ብቻ እና የቅርብ ወላጅ ሞጁሎች እንደገና ይታደሳሉ። ይህ የዲዛይን ጊዜውን ለማሻሻል ይረዳል.
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 49
ምዕራፍ 3፡ ግቤቱን በማዘጋጀት ላይ
ተጨማሪ ማጠናከሪያን በመጠቀም
ገደቦች
ተጨማሪ ማጠናከሪያው አይደግፍም፡-
· ማዋቀር fileበ Verilog ወይም VHDL ፍሰት ውስጥ የተካተቱት · የተቀላቀለ HDL ፍሰቶች · ዲዛይኖች ከመስቀል ሞጁል ማጣቀሻ (XMR) ጋር
© 2014 Synopsys, Inc. 50
LO
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
መዋቅራዊ የቬሪሎግ ፍሰትን መጠቀም
ምዕራፍ 3፡ ግቤቱን በማዘጋጀት ላይ
መዋቅራዊ የቬሪሎግ ፍሰትን መጠቀም
የማዋሃድ መሳሪያው መዋቅራዊ ቬሪሎግን ይቀበላል fileለዲዛይን ፕሮጀክትዎ እንደ ግብአት። መዋቅራዊው የቬሪሎግ አቀናባሪ የሩጫ ጊዜን ለማሻሻል ቀላል ክብደት ያለውን ተንታኝ በመጠቀም የአገባብ የፍተሻ ፍተሻዎችን ያከናውናል። ይህ ኮምፕሌተር ውስብስብ የሃርድዌር ማውጣት ወይም የ RTL ማሻሻያ ስራዎችን አይሰራም፣ ስለዚህ ሶፍትዌሩ የቬሪሎግ መዋቅራዊ ጥንቅርን በፍጥነት ይሰራል። fileኤስ. ሶፍትዌሩ እነዚህን የመነጨ መዋቅራዊ Verilog ማንበብ ይችላል። fileዎች፣ ከያዙ፡-
· የቴክኖሎጂ ፕሪሚየርስ አነሳሶች
· ቀላል ምደባ መግለጫዎች
· በVerilog 2001 እና ከዚያ በላይ በሆኑ ቅርጸቶች የተገለጹ ባህርያት
· ከባህሪያት በስተቀር ሁሉም ግንባታዎች በVerilog 95 ቅርጸት መገለጽ አለባቸው
መዋቅራዊ የVerilog ግብዓት ለመጠቀም files:
1. መዋቅራዊውን ቬሪሎግ መግለጽ አለቦት fileበንድፍዎ ውስጥ ለማካተት። ይህንን ለማድረግ, ያክሉት file ከሚከተሉት ዘዴዎች ውስጥ አንዱን በመጠቀም ወደ ፕሮጀክቱ ይሂዱ.
ፕሮጀክት->ምንጭ ጨምር File ወይም አክል File በፕሮጀክቱ ውስጥ ያለው አዝራር view Tcl ትዕዛዝ፡ add_file - structver fileስም
ይህ ፍሰት መዋቅራዊ Verilog ብቻ ሊይዝ ይችላል። files ወይም ቅልቅል HDL files (Verilog/VHDL/EDF/SRS) ከመዋቅር Verilog የተጣራ ዝርዝር ጋር fileኤስ. ሆኖም፣ የVerilog/VHDL/EDF/SRS ምሳሌዎች በመዋቅራዊ Verilog ሞጁል ውስጥ አይደገፉም።
2. መዋቅራዊው Verilog files በፕሮጀክቱ ውስጥ ወደ መዋቅራዊ Verilog አቃፊ ተጨምረዋል። view. በተጨማሪም ማከል ይችላሉ fileወደዚህ ማውጫ፣ የሚከተሉትን ሲያደርጉ፡-
መዋቅራዊውን Verilog ይምረጡ file. በቀኝ ጠቅ ያድርጉ እና ይምረጡ File አማራጮች። ከ የመዋቅር Verilog ን ይምረጡ File ተቆልቋይ ምናሌን ይተይቡ።
3. አሂድ ውህደት.
የማዋሃድ መሳሪያው vm ወይም edf netlist ያመነጫል። file በተጠቀሰው ቴክኖሎጂ መሰረት. ይህ ሂደት ከነባሪው ውህደት ፍሰት ጋር ተመሳሳይ ነው።
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 51
ምዕራፍ 3፡ ግቤቱን በማዘጋጀት ላይ
መዋቅራዊ የቬሪሎግ ፍሰትን መጠቀም
ገደቦች
የመዋቅራዊው የቬሪሎግ ፍሰት ገደቦች የሚከተሉትን አይደግፉም።
· ለማንኛውም የ RTL ምሳሌዎች file ዓይነቶች · ተዋረዳዊ የፕሮጀክት አስተዳደር (HPM) ፍሰቶች · ውስብስብ ስራዎች · ማጠናቀር-ተኮር ሁነታዎች እና ማብሪያ / ማጥፊያዎች
© 2014 Synopsys, Inc. 52
LO
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
ከግዳጅ ጋር በመስራት ላይ Files
ምዕራፍ 3፡ ግቤቱን በማዘጋጀት ላይ
ከግዳጅ ጋር በመስራት ላይ Files
ገደብ files ጽሑፍ ናቸው። fileበ SCOPE በይነገጽ በራስ-ሰር የሚመነጩ (የ SCOPE ገደቦችን በገጽ 119 ላይ ይመልከቱ) ወይም በጽሑፍ አርታኢ እራስዎ የፈጠሩት። ውህደቱን የሚገድቡ የTcl ትዕዛዞችን ወይም ባህሪያትን ይይዛሉ። በአማራጭ, በምንጭ ኮድ ውስጥ ገደቦችን ማዘጋጀት ይችላሉ, ግን ይህ ተመራጭ ዘዴ አይደለም.
ይህ ክፍል ስለ መረጃ ይዟል
· ገደብ መቼ መጠቀም እንዳለበት Files በላይ ምንጭ ኮድ፣ ገጽ 53 ላይ
· ለመገደብ የጽሑፍ አርታዒን መጠቀም Files (Legacy)፣ በገጽ 54 ላይ
· Tcl የአገባብ መመሪያዎች ለገደብ Fileዎች፣ በገጽ 55 ላይ
· ገደብን መፈተሽ Fileዎች፣ በገጽ 56 ላይ
· በዚህ ሪፖርት ላይ ዝርዝሮችን ለማግኘት፣ የግዳጅ ማረጋገጫ ሪፖርትን፣ ላይ ይመልከቱ
ገጽ 270. የማጣቀሻ መመሪያ፣ በገጽ 56 ላይ
ገደብ መቼ መጠቀም እንዳለበት Fileከምንጭ ኮድ በላይ
በእገዳው ውስጥ ገደቦችን ማከል ይችላሉ files (በ SCOPE በይነገጽ የተፈጠረ ወይም በጽሑፍ አርታኢ ውስጥ የገባ) ወይም በምንጭ ኮድ ውስጥ። በአጠቃላይ እገዳን መጠቀም የተሻለ ነው files፣ ምክንያቱም እገዳዎቹ ተግባራዊ እንዲሆኑ እንደገና ማጠናቀር አያስፈልግዎትም። እንዲሁም የምንጭ ኮድዎን የበለጠ ተንቀሳቃሽ ያደርገዋል። ለበለጠ መረጃ የ SCOPE Editorን በገጽ 112 ተመልከት።
ነገር ግን፣ እንደ syn_tco፣ syn_tpd እና syn_tsu ያሉ የጥቁር ቦክስ የጊዜ ገደቦች ካሉዎት በምንጭ ኮድ ውስጥ እንደ መመሪያ ማስገባት አለብዎት። እንደ ባህሪያቱ ሳይሆን መመሪያዎች ወደ ምንጭ ኮድ ብቻ ሊጨመሩ ይችላሉ, ለመገደብ አይደለም fileኤስ. መመሪያዎችን ወደ ምንጭ ኮድ ስለማከል ለበለጠ መረጃ በገጽ 90 ላይ ያሉትን ባህሪያት እና መመሪያዎችን ይመልከቱ።
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 53
ምዕራፍ 3፡ ግቤቱን በማዘጋጀት ላይ
ከግዳጅ ጋር በመስራት ላይ Files
ለግዳጅ የጽሑፍ አርታዒን መጠቀም Files (ውርስ)
ለኤስዲሲ ገደብ የLegacy SCOPE አርታዒን መጠቀም ይችላሉ። files ከመለቀቁ በፊት የተፈጠረ ስሪት G-2012.09. ሆኖም፣ የእርስዎን ኤስዲሲ እንዲተረጉሙ ይመከራል files ወደ FDC files የቅርብ ጊዜውን የ SCOPE አርታዒን ለማንቃት እና በመሳሪያው ውስጥ ያለውን የተሻሻለ የጊዜ ገደብ አያያዝን ለመጠቀም።
የቆየ SCOPE አርታዒን ለመጠቀም ከመረጡ፣ ይህ ክፍል እንዴት የTcl እገዳን እራስዎ መፍጠር እንደሚችሉ ያሳየዎታል። file. ሶፍትዌሩ በራስ-ሰር ይህን ይፈጥራል file ገደቦችን ለማስገባት የቆዩ SCOPE አርታዒን ከተጠቀሙ። የTcl ገደብ file አጠቃላይ የጊዜ ገደቦችን ብቻ ይዟል። የጥቁር ሳጥን ገደቦች በምንጭ ኮድ ውስጥ መግባት አለባቸው። ለተጨማሪ መረጃ ገደብ መቼ እንደሚጠቀሙ ይመልከቱ Files በላይ ምንጭ ኮድ፣ ገጽ 53 ላይ።
1. ክፈት ሀ file ለአርትዖት.
የ SCOPE መስኮቱን መዝጋትዎን ያረጋግጡ፣ አለበለዚያ ማድረግ ይችላሉ።
የቀደሙ ገደቦችን ይድገሙ።
አዲስ ለመፍጠር file፣ ይምረጡ File->አዲስ፣ እና እገዳውን ይምረጡ File
(SCOPE) አማራጭ። ስም ይተይቡ file እና እሺን ጠቅ ያድርጉ።
ነባርን ለማረም file፣ ይምረጡ File-> ክፈት, አዘጋጅ Fileየአይነት ማጣሪያ ወደ
ገደብ Files (sdc) እና ክፈት file ትፈልጋለህ።
2. በTcl አገባብ መመሪያዎች ውስጥ ያለውን የአገባብ መመሪያዎችን ይከተሉ Fileኤስ፣ ገጽ 55 ላይ።
3. የሚፈልጉትን የጊዜ ገደቦችን ያስገቡ። ለአገባቡ፣ የማጣቀሻ መመሪያውን ይመልከቱ። የጥቁር ሣጥን የጊዜ ገደቦች ካሉዎት በምንጭ ኮድ ውስጥ ማስገባት አለብዎት።
4. በእገዳው ውስጥ አቅራቢ-ተኮር ባህሪያትን ማከልም ይችላሉ። file መግለፅ_ባህሪን በመጠቀም። በገደቦች ውስጥ ያሉትን ባህሪያት መግለጽ ይመልከቱ Fileለበለጠ መረጃ በገጽ 97 ላይ።
5. አስቀምጥ file.
6. አክል file በፕሮጀክት ላይ ለውጦችን ማድረግ በገጽ 62 ላይ እንደተገለጸው ለፕሮጀክቱ እና ውህደትን አሂድ።
LO
© 2014 Synopsys, Inc. 54
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
ከግዳጅ ጋር በመስራት ላይ Files
ምዕራፍ 3፡ ግቤቱን በማዘጋጀት ላይ
Tcl የአገባብ መመሪያዎች ለገደብ Files
ይህ ክፍል Tcl ን ለመገደብ ለመጠቀም አጠቃላይ መመሪያዎችን ይሸፍናል። files:
Tcl ለጉዳይ ስሜታዊ ነው።
· ዕቃዎችን ለመሰየም፡ የነገሩ ስም በኤችዲኤል ኮድ ውስጥ ካለው ስም ጋር መመሳሰል አለበት። በሐ ውስጥ የአብነት እና የወደብ ስሞችን አያይዝurly ቅንፎች {}. ክፍተቶችን በስም አይጠቀሙ። ተዋረዳዊ ስሞችን ለመለየት ነጥቡን (.) ይጠቀሙ። በVerilog ሞጁሎች ውስጥ የሚከተለውን አገባብ ለምሳሌ ወደብ እና
የተጣራ ስሞች:
v: ሕዋስ [ቅድመ ቅጥያ:]የነገር ስም
ሕዋስ የንድፍ አካል ስም በሆነበት፣ ቅድመ ቅጥያ ተመሳሳይ ስም ያላቸውን ነገሮች ለመለየት ቅድመ ቅጥያ ነው፣ objectName የነጥብ (.) መለያያ ያለው ምሳሌ መንገድ ነው። ቅድመ ቅጥያው ከሚከተሉት ውስጥ ማናቸውም ሊሆን ይችላል፡
ቅድመ ቅጥያ (ዝቅተኛ) i: p: b: n:
የነገር ምሳሌ ስሞች የወደብ ስሞች (ሙሉ ወደብ) የወደብ የተጣራ ስሞች ትንሽ ቁራጭ
በVHDL ሞጁሎች ውስጥ የሚከተለውን አገባብ ለምሳሌ ወደብ እና መረብ ይጠቀሙ
በVHDL ሞጁሎች ውስጥ ያሉ ስሞች
v: ሕዋስ [.view] [ቅድመ ቅጥያ፡]የነገር ስም
የት v: እንደ ሀ view ነገር ፣ ሊብ የቤተ-መጽሐፍት ስም ነው ፣ ሕዋስ የንድፍ አካል ስም ነው ፣ view የአርክቴክቸር ስም ነው፣ ቅድመ ቅጥያ ተመሳሳይ ስም ያላቸውን ነገሮች ለመለየት ቅድመ ቅጥያ ነው፣ እና objectName የነጥብ (.) መለያያ ያለው ምሳሌ መንገድ ነው። View ለዲዛይኑ ከአንድ በላይ አርክቴክቶች ካሉ ብቻ ያስፈልጋል. የነገሮችን ቅድመ ቅጥያ ለማግኘት ከላይ ያለውን ሰንጠረዥ ይመልከቱ።
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 55
ምዕራፍ 3፡ ግቤቱን በማዘጋጀት ላይ
ከግዳጅ ጋር በመስራት ላይ Files
· የስም ማዛመጃ ዱር ካርዶች * ናቸው (የኮከብ ምልክት ከማንኛውም ቁጥር ጋር ይዛመዳል
ቁምፊዎች) እና? (የጥያቄ ምልክት ከአንድ ነጠላ ቁምፊ ጋር ይዛመዳል)። እነዚህ ቁምፊዎች እንደ ተዋረድ መለያየት ጥቅም ላይ ከሚውሉ ነጥቦች ጋር አይዛመዱም። ለ example፣ የሚከተለው ሕብረቁምፊ በstatemod ሞዱል ውስጥ ያሉትን ሁሉንም የstatereg ምሳሌዎችን ይለያል፡
እኔ:statemod.statereg[*]
ገደብን በመፈተሽ ላይ Files
በእርስዎ ገደብ ላይ አገባብ እና ሌሎች ተዛማጅ መረጃዎችን ማረጋገጥ ይችላሉ። fileየ Constraint Check ትዕዛዝን በመጠቀም። የግዳጅ ሪፖርት ለማመንጨት የሚከተሉትን ያድርጉ
1. ገደብ ይፍጠሩ file እና ወደ ፕሮጀክትዎ ያክሉት።
2. Run->Constraint Check የሚለውን ይምረጡ።
ይህ ትእዛዝ በFPGA ውህደት ገደብ ውስጥ ያለውን የጊዜ ገደቦችን አገባብ እና ተፈጻሚነት የሚያረጋግጥ ሪፖርት ያመነጫል። fileለፕሮጀክትዎ. ሪፖርቱ የተፃፈው ለፕሮጀክትName_cck.rpt ነው። file እና የሚከተለውን መረጃ ይዘረዝራል.
ያልተተገበሩ ገደቦች ልክ የሆኑ እና በዲዛይኑ ላይ ተፈጻሚነት ያላቸው ገደቦች የዊልድካርድ መስፋፋት በእገዳዎቹ ላይ በሌሉ ነገሮች ላይ ገደቦች
በዚህ ሪፖርት ላይ ዝርዝር መረጃ ለማግኘት፣ የማጣቀሻ መመሪያውን በገጽ 270 ላይ የግዳጅ ማረጋገጫ ሪፖርትን ይመልከቱ
© 2014 Synopsys, Inc. 56
LO
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
ምዕራፍ 4
የሎጂክ ሲንቴሲስ ፕሮጀክት ማዘጋጀት
ንድፍን ከSynopsis FPGA ውህደት መሳሪያዎች ጋር ሲያዋህዱ ለንድፍዎ ፕሮጀክት ማዘጋጀት አለብዎት። የሚከተለው ለሎጂክ ውህደት ፕሮጀክት የማቋቋም ሂደቶችን ያብራራል-
· ፕሮጀክትን ማዋቀር Fileዎች፣ በገጽ 58 · ፕሮጀክትን ማስተዳደር File ተዋረድ፣ በገጽ 66 · አፈጻጸሞችን ማዋቀር፣ በገጽ 72 · የሎጂክ ሲንቴሲስ ትግበራ አማራጮችን ማቀናበር፣ በገጽ 75 · ባህሪያትን እና መመሪያዎችን መግለጽ፣ በገጽ 90 · ፍለጋ Files, በገጽ 98 · በማህደር ማስቀመጥ Files እና ፕሮጀክቶች፣ በገጽ 101 ላይ
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 57
ምዕራፍ 4፡ የሎጂክ ሲንተሲስ ፕሮጀክት ማቋቋም
ፕሮጀክት ማዋቀር Files
ፕሮጀክት ማዋቀር Files
ይህ ክፍል አንድን ፕሮጀክት እንዴት ማዋቀር እና ማስተዳደር እንደሚቻል መሰረታዊ ነገሮችን ይገልጻል file ለዲዛይንዎ የሚከተሉትን መረጃዎች ጨምሮ፡-
· ፕሮጀክት መፍጠር Fileበገጽ 58 ላይ · ነባር ፕሮጀክት መክፈት Fileበገጽ 61 ላይ · በፕሮጀክት ላይ ለውጦችን ማድረግ፣ በገጽ 62 · ፕሮጄክትን ማቀናበር View የማሳያ ምርጫዎች፣ በገጽ 63 ላይ · Verilogን ማዘመን በአሮጌ ፕሮጀክት ውስጥ መንገዶችን ያካትታል Fileዎች፣ በገጽ 65 ላይ
ለአንድ የተወሰነ የቀድሞampፕሮጀክት በማዘጋጀት ላይ file, እየተጠቀሙበት ላለው መሳሪያ አጋዥ ስልጠናውን ይመልከቱ።
ፕሮጀክት መፍጠር File
ፕሮጀክት ማዘጋጀት አለብህ file ለእያንዳንዱ ፕሮጀክት. አንድ ፕሮጀክት ለአንድ የተወሰነ ንድፍ የሚያስፈልገውን መረጃ ይዟል-የምንጩ ዝርዝር fileዎች፣ የጥምረቱ ውጤቶች file, እና የእርስዎ መሣሪያ አማራጭ ቅንብሮች. የሚከተለው አሰራር ፕሮጀክትን እንዴት እንደሚያዘጋጁ ያሳየዎታል file የግለሰብ ትዕዛዞችን በመጠቀም.
1. ከሚከተሉት አንዱን በመምረጥ ይጀምሩ፡- File-> የግንባታ ፕሮጀክት, File->ፕሮጄክትን ወይም የፒ አዶን ይክፈቱ። አዲስ ፕሮጀክትን ጠቅ ያድርጉ።
የፕሮጀክት መስኮቱ አዲስ ፕሮጀክት ያሳያል. አክል የሚለውን ጠቅ ያድርጉ File አዝራር፣ F4 ን ይጫኑ፣ ወይም ፕሮጀክት->ምንጭ አክል የሚለውን ይምረጡ File ትእዛዝ። አክል Files to Project የንግግር ሳጥን ይከፈታል።
2. ምንጩን ይጨምሩ files ወደ ፕሮጀክቱ.
በቅጹ ላይኛው ክፍል ላይ ያለው ምልክቱ ወደ ቀኝ እንደሚያመለክት ያረጋግጡ
ማውጫ. የ files በሳጥኑ ውስጥ ተዘርዝረዋል. ካላዩ files፣ መሆኑን ያረጋግጡ Files of Type field ትክክለኛውን ለማሳየት ተቀናብሯል። file ዓይነት. ድብልቅ ግብዓት ካለህ fileዎች፣ የተቀላቀለ ቋንቋ ምንጭን በመጠቀም የተገለጸውን አሰራር ይከተሉ Fileኤስ፣ ገጽ 44 ላይ።
LO
© 2014 Synopsys, Inc. 58
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
ፕሮጀክት ማዋቀር Files
ምዕራፍ 4፡ የሎጂክ ሲንተሲስ ፕሮጀክት ማቋቋም
ሁሉንም ለመጨመር fileበአንድ ጊዜ በማውጫው ውስጥ ፣ ሁሉንም አክል የሚለውን ቁልፍ ጠቅ ያድርጉ
የቅጹ በቀኝ በኩል. ለመጨመር fileዎች በተናጥል ፣ በ ላይ ጠቅ ያድርጉ file በዝርዝሩ ውስጥ እና ከዚያ አክል የሚለውን ቁልፍ ጠቅ ያድርጉ ወይም ደግሞ ሁለቴ ጠቅ ያድርጉ file ስም.
ሁሉንም ማከል ይችላሉ fileበማውጫው ውስጥ s ከዚያም የማያስፈልጓቸውን በአስወግድ ቁልፍ ያስወግዱ.
VHDL እያከሉ ከሆነ files፣ ከVHDL ቤተ መፃህፍት ብቅ ባይ ምናሌ ውስጥ ተገቢውን ቤተ-መጽሐፍት ይምረጡ። የመረጡት ቤተ-መጽሐፍት በሁሉም VHDL ላይ ይተገበራል። files በመገናኛ ሳጥኑ ውስጥ እሺን ጠቅ ሲያደርጉ።
የፕሮጀክትዎ መስኮት አዲስ ፕሮጀክት ያሳያል file. ከፕሮጀክቱ ቀጥሎ ያለውን የመደመር ምልክት ጠቅ ካደረጉ እና ካስፋፉት የሚከተለውን ይመለከታሉ።
ከምንጩ ጋር አቃፊ (ሁለት አቃፊዎች ለተደባለቀ የቋንቋ ንድፎች) files.
የእርስዎ ከሆነ files በፕሮጀክት ማውጫው ስር ባለው አቃፊ ውስጥ አይደሉም፣አማራጮች->ፕሮጀክትን በመምረጥ ይህንን ምርጫ ማቀናበር ይችላሉ View አማራጮች እና በመፈተሽ ላይ View ፕሮጀክት files በአቃፊዎች ሳጥን ውስጥ. ይህ አንዱን ዓይነት ይለያል file በፕሮጀክቱ ውስጥ ከሌላው view በተለየ አቃፊዎች ውስጥ በማስቀመጥ.
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 59
ምዕራፍ 4፡ የሎጂክ ሲንተሲስ ፕሮጀክት ማቋቋም
ፕሮጀክት ማዋቀር Files
በነባሪ rev_1 የተሰየመው ትግበራ። ትግበራዎች ናቸው።
የንድፍዎን ማሻሻያ በተቀናበረው ሶፍትዌር አውድ ውስጥ፣ እና የውጭ ምንጭ ኮድ መቆጣጠሪያ ሶፍትዌሮችን እና ሂደቶችን አይተኩ። በርካታ ትግበራዎች የንድፍ አማራጮችን ለማሰስ የመሣሪያ እና የቅንጅት አማራጮችን እንዲቀይሩ ያስችሉዎታል። በ Synplify Pro ውስጥ ብዙ ትግበራዎች ሊኖሩዎት ይችላሉ። እያንዳንዱ አተገባበር የራሱ የሆነ ውህደት እና የመሳሪያ አማራጮች እና ከፕሮጀክት ጋር የተያያዘ የራሱ አማራጮች አሉት files.
3. የቬሪሎግ ወይም ቪኤችዲኤልን ቤተ መፃህፍት ለመጨመር ባለፈው ደረጃ ላይ የተገለፀውን ዘዴ በመጠቀም የሚፈልጉትን ማንኛውንም ቤተ-መጻሕፍት ያክሉ። file.
ለሻጭ-ተኮር ቤተ-መጻሕፍት፣ ተገቢውን ቤተ-መጽሐፍት ያክሉ file ወደ
ፕሮጀክት. ለአንዳንድ ቤተሰቦች ቤተ-መጻሕፍቶቹ በራስ-ሰር እንደሚጫኑ እና ወደ ፕሮጀክቱ በግልጽ ማከል እንደማይፈልጉ ልብ ይበሉ file.
የሶስተኛ ወገን VHDL ጥቅል ቤተ-መጽሐፍትን ለመጨመር ተገቢውን ቪኤችዲ ያክሉ file ደረጃ 2 ላይ እንደተገለጸው ወደ ንድፍ, በቀኝ ጠቅ ያድርጉ file በፕሮጀክቱ ውስጥ view እና ይምረጡ File አማራጮች፣ ወይም ፕሮጀክት-> VHDL ቤተ-መጽሐፍትን አዘጋጅ የሚለውን ይምረጡ። ከአስመሳይዎቹ ጋር የሚስማማ የቤተ-መጽሐፍት ስም ይግለጹ። ለ exampሌ፣ MYLIB ይህ የጥቅል ቤተ-መጽሐፍት በዝርዝሩ ውስጥ ካለው ከፍተኛ ንድፍ በፊት መሆኑን ያረጋግጡ fileበፕሮጀክቱ ውስጥ s view.
ስለ Verilog እና VHDL ቅንብር መረጃ ለማግኘት file አማራጮችን ማቀናበር Verilog እና VHDL አማራጮችን በገጽ 84 ይመልከቱ። እነዚህንም ማዋቀር ይችላሉ። file ውህደቱን ከማስኬዱ በፊት አማራጮች በኋላ።
ለተጨማሪ አቅራቢ-ተኮር መረጃ የአቅራቢ ማክሮ ቤተ-መጻሕፍትን እና ጥቁር bLoOxesን ስለመጠቀም፣ ለማይክሮሴሚ ዲዛይኖች ማሻሻልን በገጽ 487 ይመልከቱ።
ለአጠቃላይ የቴክኖሎጂ ክፍሎች፣ ወይም ማከል ይችላሉ።
ከሶፍትዌሩ ጋር በቴክኖሎጂ-ገለልተኛ የቬሪሎግ ቤተ-መጽሐፍት የቀረበ
© 2014 Synopsys, Inc. 60
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
ፕሮጀክት ማዋቀር Files
ምዕራፍ 4፡ የሎጂክ ሲንተሲስ ፕሮጀክት ማቋቋም
(install_dir/lib/generic_ technology/gtech.v) ወደ ንድፍዎ፣ ወይም የራስዎን አጠቃላይ ክፍል ቤተ-መጽሐፍት ያክሉ። ግጭቶች ሊኖሩ ስለሚችሉ ሁለቱንም አንድ ላይ አይጠቀሙ.
4. ያረጋግጡ file በፕሮጀክቱ ውስጥ ቅደም ተከተል view. File ትዕዛዝ በተለይ ለ VHDL አስፈላጊ ነው files.
ለVHDL fileዎች፣ በራስ ሰር ማዘዝ ይችላሉ። files በ
አሂድ->VHDL አደራጅ የሚለውን በመምረጥ Fileኤስ. በአማራጭ ፣ በእጅ ማንቀሳቀስ fileበፕሮጀክቱ ውስጥ s view. ጥቅል files በዝርዝሩ ውስጥ የመጀመሪያው መሆን አለበት ምክንያቱም ከመጠቀማቸው በፊት የተጠናቀሩ ናቸው. ብዙ ላይ ተዘርግተው የንድፍ ብሎኮች ካሉዎት files፣ የሚከተለው እንዳለዎት ያረጋግጡ file ትዕዛዝ፡ የ file ህጋዊውን የያዘው መጀመሪያ መሆን አለበት, ከዚያም ስነ-ህንፃው ይከተላል file, እና በመጨረሻም file ከማዋቀሩ ጋር.
በፕሮጀክቱ ውስጥ viewየመጨረሻው መሆኑን ያረጋግጡ file በፕሮጀክቱ ውስጥ view የሚለው ነው።
ከፍተኛ-ደረጃ ምንጭ file. በአማራጭ, ከፍተኛ-ደረጃን መግለጽ ይችላሉ file የመሳሪያውን አማራጮች ሲያዘጋጁ.
5. ይምረጡ File->አስቀምጥ፣ የፕሮጀክቱን ስም ይፃፉ እና አስቀምጥን ጠቅ ያድርጉ። የፕሮጀክት መስኮቱ የእርስዎን ለውጦች ያንፀባርቃል።
6. አንድ ፕሮጀክት ለመዝጋት file, የፕሮጀክት ዝጋ አዝራሩን ይምረጡ ወይም File-> ፕሮጀክት ዝጋ።
ነባር ፕሮጀክት በመክፈት ላይ File
ፕሮጀክት ለመክፈት ሁለት መንገዶች አሉ። fileክፍት ፕሮጀክት እና አጠቃላይ File -> ክፈት ትዕዛዝ.
1. ለመክፈት የሚፈልጉት ፕሮጀክት በቅርብ ጊዜ የሰሩት ከሆነ በቀጥታ መምረጥ ይችላሉ፡- File-> የቅርብ ጊዜ ፕሮጀክቶች -> የፕሮጀክት ስም.
2. ማንኛውንም ፕሮጀክት ለመክፈት ከሚከተሉት ዘዴዎች ውስጥ አንዱን ይጠቀሙ file:
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 61
ምዕራፍ 4፡ የሎጂክ ሲንተሲስ ፕሮጀክት ማቋቋም
ፕሮጀክት ማዋቀር Files
የፕሮጀክት ትዕዛዝ ክፈት
File-> ትእዛዝ ክፈት
ይምረጡ File->ፕሮጀክት ክፈት፣ በፕሮጀክት መስኮቱ በግራ በኩል ያለውን የክፍት ፕሮጄክት ቁልፍን ጠቅ ያድርጉ ወይም የፒ አዶን ጠቅ ያድርጉ።
የቅርብ ጊዜ ፕሮጀክት ለመክፈት ከቅርብ ጊዜ ፕሮጀክቶች ዝርዝር ውስጥ ሁለቴ ጠቅ ያድርጉት።
ያለበለዚያ ክፍት የንግግር ሳጥኑን ለመክፈት እና ፕሮጀክቱን ለመምረጥ የፕሮጀክት ቁልፍን ጠቅ ያድርጉ።
ይምረጡ File-> ክፈት።
በ Look In: መስክ ውስጥ ትክክለኛውን ማውጫ ይግለጹ።
አዘጋጅ File የፕሮጀክት ዓይነት Files (*.prj)። ሳጥኑ ፕሮጀክቱን ይዘረዝራል files.
ለመክፈት በሚፈልጉት ፕሮጀክት ላይ ሁለቴ ጠቅ ያድርጉ.
ፕሮጀክቱ በፕሮጀክት መስኮት ውስጥ ይከፈታል.
በፕሮጀክት ላይ ለውጦችን ማድረግ
በተለምዶ፣ እርስዎ ያክላሉ፣ ይሰርዛሉ ወይም ይተካሉ files.
1. ምንጭ ወይም ገደብ ለመጨመር files ወደ ፕሮጀክት፣ አክል የሚለውን ይምረጡ Files button or Project->ምንጭ ጨምር File ምረጥን ለመክፈት Fileወደ ፕሮጀክት አክል የንግግር ሳጥን። ፕሮጀክት መፍጠርን ተመልከት Fileለዝርዝር መረጃ በገጽ 58 ላይ።
2. ለማጥፋት ሀ file ከፕሮጄክት ፣ ጠቅ ያድርጉ file በፕሮጀክት መስኮቱ ውስጥ, እና Delete የሚለውን ቁልፍ ይጫኑ.
3. ለመተካት ሀ file በአንድ ፕሮጀክት ውስጥ ፣
የሚለውን ይምረጡ file በፕሮጀክት መስኮት ውስጥ መለወጥ ይፈልጋሉ.
ለውጥን ጠቅ ያድርጉ File አዝራር፣ ወይም ፕሮጀክት-> ለውጥን ይምረጡ File.
ምንጭ ውስጥ File የሚከፈተው የንግግር ሳጥን፣ Look Inን ወደ ማውጫው ያቀናብሩ
የት አዲስ file ይገኛል። አዲሱ file ከ ጋር አንድ አይነት መሆን አለበት file መተካት ይፈልጋሉ።
የእርስዎን ካላዩ file ተዘርዝረዋል, የ አይነት ይምረጡ file ከ ያስፈልግዎታል
የ Fileዓይነት መስክ s.
የሚለውን ሁለቴ ጠቅ ያድርጉ file. አዲሱ file በፕሮጀክቱ ውስጥ አሮጌውን ይተካዋል
ዝርዝር. ሎ
4. እንዴት ፕሮጄክትን ለመጥቀስ fileዎች በፕሮጀክቱ ውስጥ ይቀመጣሉ ፣ በቀኝ ጠቅ ያድርጉ ሀ file በፕሮጀክቱ ውስጥ view እና ይምረጡ File አማራጮች። ማስቀመጫውን ያዘጋጁ File አማራጭ ከፕሮጀክት ወይም ከፍፁም ዱካ አንፃራዊ።
© 2014 Synopsys, Inc. 62
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
ፕሮጀክት ማዋቀር Files
ምዕራፍ 4፡ የሎጂክ ሲንተሲስ ፕሮጀክት ማቋቋም
5. ሰዓቱን ለማየትamp በ ሀ file, በ ላይ በቀኝ ጠቅ ያድርጉ file በፕሮጀክቱ ውስጥ view እና ይምረጡ File አማራጮች። ጊዜውን ያረጋግጡ file ለመጨረሻ ጊዜ የተሻሻለው. እሺን ጠቅ ያድርጉ።
ፕሮጀክት ማቀናበር View የማሳያ ምርጫዎች
የፕሮጀክቱን አደረጃጀት እና ማሳያ ማበጀት ይችላሉ fileኤስ. 1. አማራጮችን ይምረጡ->ፕሮጀክት View አማራጮች። ፕሮጀክቱ View የአማራጮች ቅፅ ይከፈታል።
2. የተለያዩ የግብአት ዓይነቶችን ለማደራጀት fileዎች በተለየ አቃፊዎች ውስጥ, ያረጋግጡ View ፕሮጀክት Fileዎች በአቃፊዎች ውስጥ።
ይህንን አማራጭ መፈተሽ በፕሮጀክቱ ውስጥ የተለየ አቃፊዎችን ይፈጥራል view ለግዳጅ files እና ምንጭ files.
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 63
ምዕራፍ 4፡ የሎጂክ ሲንተሲስ ፕሮጀክት ማቋቋም
ፕሮጀክት ማዋቀር Files
3. ቁጥጥር file ማሳያ ከሚከተሉት ጋር:
ሁሉንም በራስ-ሰር አሳይ fileዎች፣ ሾው ፕሮጀክት ላይብረሪ በማጣራት። ከሆነ
ይህ ያልተስተካከለ ነው፣ ፕሮጀክቱ view አይታይም። files የመደመር ምልክቱን እስክትጫኑ እና አስፋፉ files በአንድ አቃፊ ውስጥ.
በፕሮጀክቱ ውስጥ ካሉት ሳጥኖች ውስጥ አንዱን ምልክት ያድርጉ File ስም የማሳያ ክፍል የ
እንዴት እንደሆነ ለመወሰን ቅፅ fileስሞች ይታያሉ. ን ብቻ ማሳየት ይችላሉ። fileስም፣ አንጻራዊ መንገድ ወይም ፍጹም መንገድ።
4. ለ view ፕሮጀክት files በተበጁ ብጁ አቃፊዎች ውስጥ ፣ ያረጋግጡ View ፕሮጀክት Fileዎች በብጁ አቃፊዎች ውስጥ። ለበለጠ መረጃ ብጁ አቃፊዎችን መፍጠር በገጽ 66 ላይ ይመልከቱ። ማህደሮች አይነት በብጁ ማህደር ውስጥ ብዙ ዓይነቶች ካሉ ብቻ ነው የሚታዩት።
ብጁ አቃፊዎች
© 2014 Synopsys, Inc. 64
LO
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
ፕሮጀክት ማዋቀር Files
ምዕራፍ 4፡ የሎጂክ ሲንተሲስ ፕሮጀክት ማቋቋም
5. በተመሳሳይ ፕሮጀክት ውስጥ ከአንድ በላይ ትግበራ ለመክፈት view፣ በርካታ ፕሮጀክቶች እንዲከፈቱ ፍቀድ የሚለውን ያረጋግጡ።
ፕሮጀክት 1
ፕሮጀክት 2
6. ውጤቱን ይቆጣጠሩ file ማሳያ ከሚከተሉት ጋር:
ሁሉንም አሳይ ይመልከቱ Fileሁሉንም ውጤቶች ለማሳየት በውጤቶች ማውጫ ሳጥን ውስጥ
fileከተዋሃደ በኋላ የተፈጠረ.
ውፅኢት ቀይር file ከራስጌ አሞሌዎች አንዱን ጠቅ በማድረግ ድርጅት
በአፈፃፀም ውጤቶች ውስጥ view. ማቧደን ትችላለህ fileበመጨረሻው የተሻሻሉበት ቀን መሠረት በአይነት ወይም በመደርደር።
7. ለ view file መረጃ, ይምረጡ file በፕሮጀክቱ ውስጥ view, ቀኝ-ጠቅ ያድርጉ እና ይምረጡ File አማራጮች። ለ example, ቀኑን ማረጋገጥ ይችላሉ ሀ file ተሻሽሏል።
የቬሪሎግ ማዘመን በአሮጌ ፕሮጀክት ውስጥ መንገዶችን ያካትታል Files
ፕሮጀክት ካለህ file በአሮጌው የሶፍትዌር ስሪት የተፈጠረው (ከ8.1 በፊት)፣ ቬሪሎግ በዚህ ውስጥ መንገዶችን ያካትታል file ከውጤቶች ማውጫ ወይም ከምንጩ አንጻራዊ ናቸው። file መግለጫዎችን ከማካተት ጋር። ከ 8.1 በኋላ በተለቀቁት ውስጥ, ፕሮጀክቱ file ዱካዎች ከፕሮጀክቱ አንፃር ናቸው። file ብቻ። በቅርብ ጊዜ የተለቀቁት GUI የድሮውን prj በራስ ሰር አያሻሽለውም። fileከአዲሱ ደንቦች ጋር ለመስማማት. የድሮውን ፕሮጀክት ለማሻሻል እና ለመጠቀም file, ከሚከተሉት ውስጥ አንዱን ያድርጉ:
· prjን በእጅ ያርትዑ file በጽሑፍ አርታኢ ውስጥ እና የሚከተለውን በ
ከእያንዳንዱ set_አማራጭ በፊት መስመር -የማካተት_መንገድ፡
የቅንብር_አማራጭ -የፕሮጀክት_ዘመድ_ያጠቃልላል 1
· በአዲሱ የሶፍትዌር ስሪት አዲስ ፕሮጀክት ይጀምሩ እና ይሰርዙ
የድሮ ፕሮጀክት. ይህ አዲሱን prj ያደርገዋል file ከ prj ጋር የሚዛመዱትን የሚያካትት አዲሱን ህግ ያክብሩ file.
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 65
ምዕራፍ 4፡ የሎጂክ ሲንተሲስ ፕሮጀክት ማቋቋም
ፕሮጀክት ማስተዳደር File ተዋረድ
ፕሮጀክት ማስተዳደር File ተዋረድ
የሚከተሉት ክፍሎች ብጁ አቃፊዎችን እንዴት መፍጠር እና ማስተዳደር እንደሚችሉ ይገልፃሉ። fileበፕሮጀክቱ ውስጥ s view:
· ብጁ አቃፊዎችን መፍጠር · ብጁ የፕሮጀክት አቃፊዎችን ማቀናበር · ብጁን ማቀናበር Files
ብጁ አቃፊዎችን መፍጠር
ምክንያታዊ አቃፊዎችን መፍጠር እና ማበጀት ይችላሉ። fileበፕሮጀክትዎ ውስጥ በተለያዩ ተዋረድ ቡድኖች ውስጥ view. እነዚህ አቃፊዎች በማንኛውም ስም ወይም የሥልጣን ተዋረድ ሊገለጹ ይችላሉ። ለ example, በዘፈቀደ የእርስዎን ስርዓተ ክወና ማዛመድ ይችላሉ file መዋቅር ወይም HDL ሎጂክ ተዋረድ. ብጁ አቃፊዎች በሰማያዊ ቀለማቸው ተለይተዋል።
ብጁ አቃፊዎችን ለመፍጠር እና ከዚያ ለመጨመር ብዙ መንገዶች አሉ። fileበአንድ ፕሮጀክት ውስጥ ለእነሱ. ከሚከተሉት ዘዴዎች ውስጥ አንዱን ይጠቀሙ.
1. በአንድ ፕሮጀክት ላይ በቀኝ ጠቅ ያድርጉ file ወይም ሌላ ብጁ አቃፊ እና በብቅ ባዩ ምናሌ ውስጥ አቃፊ አክል የሚለውን ይምረጡ። ከዚያ ከሚከተሉት ውስጥ አንዱን ያከናውኑ file ተግባራት፡-
በቀኝ ጠቅ ያድርጉ ማሳያዎች እንዲሁ
በዛ ላይ
fyooleuoLcroOafnileesitahnedr
ምረጥ ምረጥ
በአቃፊ ውስጥ ያስቀምጡ. ንዑስ ምናሌ ነባር አቃፊ ወይም ፍጠር
a
አዲስ ማህደር.
© 2014 Synopsys, Inc. 66
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
ፕሮጀክት ማስተዳደር File ተዋረድ
ምዕራፍ 4፡ የሎጂክ ሲንተሲስ ፕሮጀክት ማቋቋም
ማህደሩን በዘፈቀደ መሰየም እንደሚችሉ ልብ ይበሉ፣ ነገር ግን ቁምፊውን (/) አይጠቀሙ ምክንያቱም ይህ የተዋረድ መለያ ምልክት ነው።
አቃፊን እንደገና ለመሰየም በአቃፊው ላይ በቀኝ ጠቅ ያድርጉ እና ዳግም ሰይምን ከ ይምረጡ
ብቅ ባይ ምናሌ. የአቃፊውን እንደገና ይሰይሙ የንግግር ሳጥን ይታያል; አዲስ ስም ይግለጹ.
2. አክሉን ተጠቀም Files ወደ ፕሮጄክት መገናኛ ሳጥን ውስጥ የአቃፊ ተዋረድ አጠቃላይ ይዘቶችን ለመጨመር እና እንደ አማራጭ ያስቀምጡ fileበንግግር ሳጥን ማሳያው ውስጥ ከተዘረዘሩት የስርዓተ ክወና አቃፊ ተዋረዶች ጋር የሚዛመዱ ብጁ አቃፊዎች ውስጥ መግባት።
ይህንን ለማድረግ አክል የሚለውን ይምረጡ File በፕሮጀክቱ ውስጥ ያለው አዝራር view.
እንደ dsp ያሉ ማንኛውንም የተጠየቁ አቃፊዎችን ከመገናኛ ሳጥን ውስጥ ይምረጡ፣ በመቀጠል
አክል የሚለውን ቁልፍ ጠቅ ያድርጉ። ይህ ሁሉንም ያስቀምጣል files ከዲኤስፒ ተዋረድ ወደ እርስዎ የፈጠሩት ብጁ አቃፊ።
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 67
ምዕራፍ 4፡ የሎጂክ ሲንተሲስ ፕሮጀክት ማቋቋም
ፕሮጀክት ማስተዳደር File ተዋረድ
በራስ-ሰር ለማስቀመጥ fileጋር የሚዛመዱ ብጁ አቃፊዎች ወደ
የስርዓተ ክወናው አቃፊ ተዋረድ፣ አክል የሚለውን አማራጭ ያረጋግጡ Files ወደ ብጁ አቃፊዎች የንግግር ሳጥን ላይ.
በነባሪ፣ ብጁ የአቃፊ ስም ከአቃፊው ጋር አንድ አይነት ነው።
የያዘ fileወደ ፕሮጀክቱ የሚታከል s ወይም አቃፊ። ነገር ግን፣ አቃፊዎች እንዴት እንደሚሰየሙ፣ የአቃፊዎች አማራጭ የሚለውን ቁልፍ ጠቅ በማድረግ ማስተካከል ይችላሉ። የሚከተለው የንግግር ሳጥን ይታያል.
ለመጠቀም፡-
የያዘው አቃፊ ብቻ files ለአቃፊው ስም፣ OSን ተጠቀም የሚለውን ጠቅ ያድርጉ
የአቃፊ ስም
ደረጃውን ለመወሰን ወደ የተመረጠው አቃፊ የዱካ ስም
ተዋረድ ለብጁ አቃፊ ዱካ ተንጸባርቋል።
© 2014 Synopsys, Inc. 68
LO
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
ፕሮጀክት ማስተዳደር File ተዋረድ
ምዕራፍ 4፡ የሎጂክ ሲንተሲስ ፕሮጀክት ማቋቋም
3. መጎተት እና መጣል ይችላሉ files እና ማህደሮች ከኦኤስ ኤክስፕሎረር መተግበሪያ ወደ ፕሮጄክቱ ውስጥ ይገባሉ። view. ይህ ባህሪ KDE በሚያሄዱ ዊንዶውስ እና ሊኑክስ ዴስክቶፖች ላይ ይገኛል።
ሲጎትቱ እና ሲጥሉ ሀ file, ወዲያውኑ ወደ ፕሮጀክቱ ይጨመራል.
ምንም ፕሮጀክት ካልተከፈተ, ሶፍትዌሩ ፕሮጀክት ይፈጥራል.
ሲጎትቱ እና ሲጥሉ ሀ file ከአንድ አቃፊ በላይ, በዚያ ውስጥ ይቀመጣል
አቃፊ. መጀመሪያ ላይ አክል Files to Project dialog box የሚለውን እንዲያረጋግጡ የሚጠይቅ ሳጥን ይታያል fileወደ ፕሮጀክቱ መጨመር s. ለመቀበል እሺን ጠቅ ማድረግ ይችላሉ። fileኤስ. ለውጦችን ማድረግ ከፈለጉ ሁሉንም አስወግድ የሚለውን ቁልፍ ጠቅ ያድርጉ እና አዲስ ማጣሪያ ወይም አማራጭ ይጥቀሱ።
ማስታወሻ፡ በፕሮጀክቱ ውስጥ ብጁ ማህደሮችን ለማሳየት view, አማራጮች->ፕሮጀክትን ይምረጡ View የአማራጮች ምናሌ፣ ከዚያ አመልካች ሳጥኑን አንቃ/አሰናክል View ፕሮጀክት Fileበመገናኛ ሳጥኑ ላይ በብጁ አቃፊዎች ውስጥ።
ብጁ የፕሮጀክት አቃፊዎችን ማቀናበር
የሚከተለው አሰራር እንዴት ማስወገድ እንደሚችሉ ይገልጻል files ከአቃፊዎች፣ ማህደሮችን ሰርዝ እና የአቃፊውን ተዋረድ ቀይር።
1. ለማስወገድ ሀ file ከብጁ አቃፊ፣ ወይም፡-
ይጎትቱት እና ወደ ሌላ አቃፊ ወይም ወደ ፕሮጀክቱ ይጣሉት. አድምቅ file, ቀኝ-ጠቅ ያድርጉ እና ከ አቃፊ አስወግድ የሚለውን ይምረጡ
ብቅ ባይ ምናሌ።
የ Delete (DEL) ቁልፍን አይጠቀሙ, ምክንያቱም ይህ ን ያስወግዳል file ከፕሮጀክቱ.
2. ብጁ ማህደርን ለመሰረዝ ያደምቁት ከዚያም ቀኝ-ጠቅ ያድርጉ እና ከ ብቅ ባይ ሜኑ ውስጥ Delete የሚለውን ይምረጡ ወይም የ DEL ቁልፍን ይጫኑ። አቃፊን ሲሰርዙ ከሚከተሉት ምርጫዎች አንዱን ያድርጉ።
አቃፊውን ለመሰረዝ አዎ የሚለውን ጠቅ ያድርጉ fileበአቃፊው ውስጥ የተካተቱት ከ
ፕሮጀክቱ.
ማህደሩን ለመሰረዝ ብቻ አይደለም የሚለውን ጠቅ ያድርጉ።
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 69
ምዕራፍ 4፡ የሎጂክ ሲንተሲስ ፕሮጀክት ማቋቋም
ፕሮጀክት ማስተዳደር File ተዋረድ
3. የብጁ አቃፊውን ተዋረድ ለመቀየር፡-
አቃፊውን ወደ ሌላ አቃፊ ይጎትቱትና ይጣሉት ይህም ንዑስ-
አቃፊ ወይም ከፕሮጀክቱ በላይ ወደ ከፍተኛ ደረጃ ለማንቀሳቀስ.
የብጁ አቃፊ ከፍተኛ-ደረጃ ተዋረድን ለማስወገድ ጎትት እና አኑር
በፕሮጀክቱ ላይ የሚፈለገውን ንዑስ ደረጃ ተዋረድ. ከዚያ ለአቃፊው ባዶውን የስር ማውጫ ይሰርዙ።
ለ exampአሁን ያለው ብጁ አቃፊ ማውጫ የሚከተለው ከሆነ፡-
/ ዘፀamples/Verilog/RTL
አንድ-ደረጃ RTL ተዋረድ ብቻ ፈልገህ እንበል፣ ከዚያ RTL ን ጎትተህ በፕሮጀክቱ ላይ ጣለው። ከዚያ በኋላ, / Examples/Verilog ማውጫ.
ብጁን ማቀናበር Files
በተጨማሪም, የሚከተሉትን አይነት ብጁ ማድረግ ይችላሉ file ተግባራት፡-
1. ማሳያውን ለማፈን fileዎች በዓይነት አቃፊዎች ውስጥ ፣ በፕሮጄክቱ ውስጥ በቀኝ ጠቅ ያድርጉ view እና ፕሮጀክት ይምረጡ View አማራጮች ወይም አማራጮች->ፕሮጀክትን ይምረጡ View አማራጮች። አማራጩን አሰናክል View ፕሮጀክት Fileበመገናኛ ሳጥኑ ላይ አቃፊዎችን ይተይቡ።
2. ለማሳየት fileከፕሮጀክት ቅደም ተከተል ይልቅ በፊደል ቅደም ተከተል፣ ደርድርን ያረጋግጡ Fileበፕሮጀክቱ ውስጥ ያለው አዝራር view የቁጥጥር ፓነል. የቁጥጥር ፓነልን ለማብራት እና ለማጥፋት በፓነሉ ታችኛው ግራ ጥግ ላይ ያለውን የታች ቀስት ቁልፍ ጠቅ ያድርጉ።
© 2014 Synopsys, Inc. 70
LO
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
ፕሮጀክት ማስተዳደር File ተዋረድ
ምዕራፍ 4፡ የሎጂክ ሲንተሲስ ፕሮጀክት ማቋቋም
የቁጥጥር ፓናል መቀያየር
3. ቅደም ተከተል ለመቀየር fileበፕሮጀክቱ ውስጥ:
ብጁ አቃፊዎችን ማሰናከል እና መደርደርዎን ያረጋግጡ fileኤስ. ጎትት እና ጣል ሀ file በዝርዝሩ ውስጥ ወደሚፈለገው ቦታ files.
4. ለመለወጥ file ይተይቡ፣ ይጎትቱት እና ወደ አዲሱ ዓይነት አቃፊ ይጣሉት። ሶፍትዌሩ ለማረጋገጥ ይጠይቅዎታል።
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 71
ምዕራፍ 4፡ የሎጂክ ሲንተሲስ ፕሮጀክት ማቋቋም
አተገባበርን ማዋቀር
አተገባበርን ማዋቀር
ትግበራ የፕሮጀክት ስሪት ነው, በተወሰኑ ገደቦች እና ሌሎች መቼቶች የተተገበረ. አንድ ፕሮጀክት በርካታ አተገባበርን ሊይዝ ይችላል፣ እያንዳንዱም የራሱ መቼት አለው።
ከበርካታ ትግበራዎች ጋር በመስራት ላይ
የ Synplify Pro መሳሪያ ተመሳሳይ ንድፍ ብዙ አተገባበርን እንዲፈጥሩ እና ውጤቶችን እንዲያወዳድሩ ያስችልዎታል። ይህ ለተመሳሳይ ንድፍ በተለያዩ ቅንብሮች እንዲሞክሩ ያስችልዎታል። ትግበራዎች የንድፍዎ ክለሳዎች በሲንተሲስ ሶፍትዌር አውድ ውስጥ ናቸው፣ እና የውጭ ምንጭ ኮድ መቆጣጠሪያ ሶፍትዌሮችን እና ሂደቶችን አይተኩም።
1. አክል ትግበራ የሚለውን ቁልፍ ጠቅ ያድርጉ ወይም ፕሮጀክት->አዲስ ትግበራን ይምረጡ እና አዲስ የመሳሪያ አማራጮችን ያዘጋጁ (የመሣሪያ ትር) ፣ አዲስ አማራጮች (አማራጮች ትር) ወይም አዲስ እገዳን ይምረጡ። file (ገደቦች ትር).
ሶፍትዌሩ በፕሮጀክቱ ውስጥ ሌላ ትግበራ ይፈጥራል view. አዲሱ አተገባበር ከቀዳሚው ጋር ተመሳሳይ ስም አለው, ግን የተለየ ቁጥር ቅጥያ አለው. የሚከተለው ምስል ሁለት አተገባበርን ያሳያል፣ rev1 እና rev2፣ አሁን ያለው (ገባሪ) ትግበራ ጎልቶ ይታያል።
አዲሱ ትግበራ ተመሳሳይ ምንጭ ኮድ ይጠቀማል fileዎች ፣ ግን የተለያዩ የመሳሪያ አማራጮች እና ገደቦች። የተወሰኑትን ይገለብጣል files ከቀደመው ትግበራ፡ tlg log file፣ የ srs RTL የተጣራ ዝርዝር file፣ እና ዲዛይን_fsm.sdc file በ FSM Explorer የተፈጠረ. ሶፍትዌሩ ሊደገም የሚችል የውህደት ታሪክ ያቆያል።
© 2014 Synopsys, Inc. 72
LO
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
አተገባበርን ማዋቀር
ምዕራፍ 4፡ የሎጂክ ሲንተሲስ ፕሮጀክት ማቋቋም
2. ውህደትን በአዲስ ቅንጅቶች እንደገና ያሂዱ።
የአሁኑን ትግበራ ብቻ ለማስኬድ፣ አሂድ የሚለውን ጠቅ ያድርጉ።
በፕሮጀክት ውስጥ ያሉትን ሁሉንም አተገባበር ለማስኬድ አሂድ->ሁሉንም አሂድ የሚለውን ይምረጡ
አተገባበር
የተለየ ክፍል ለመሞከር ወይም በተለያየ ድግግሞሽ ለመሞከር ብዙ አተገባበርን መጠቀም ትችላለህ። ስለ ማቀናበሪያ አማራጮች መረጃ ለማግኘት በገጽ 75 ላይ የአመክንዮ ውህደት ትግበራ አማራጮችን ማቀናበር ይመልከቱ።
ፕሮጀክቱ view ሁሉንም አተገባበር በነቃ አተገባበር ደመቀ እና ተዛማጅ ውጤት ያሳያል fileበአፈፃፀም ውጤቶች ውስጥ ለሚታየው ንቁ ትግበራ የተፈጠረ view በቀኝ በኩል; የነቃ አተገባበርን መለወጥ ውጤቱን ይለውጣል file ማሳያ. የሰዓት መስኮቱ የነቃ አተገባበሩን ይከታተላል። ሁሉንም አተገባበር ለመመልከት ይህን መስኮት ካዋቀሩት አዲሱ ትግበራ በመስኮቱ ውስጥ በራስ-ሰር ይዘምናል።
3. ውጤቱን ያወዳድሩ.
የተመረጡትን መመዘኛዎች ለማነጻጸር የምልከታ መስኮቱን ይጠቀሙ። ማቀናበሩን ያረጋግጡ
ከ Configure Watch ትእዛዝ ጋር ለማነጻጸር የሚፈልጓቸውን ትግበራዎች። ለዝርዝር መረጃ የመጠበቂያ መስኮቱን በገጽ 190 ላይ ተመልከት።
ዝርዝሮችን ለማነፃፀር ፣ ምዝግብ ማስታወሻውን ያወዳድሩ file ውጤቶች.
4. አተገባበርን እንደገና ለመሰየም በፕሮጀክቱ ውስጥ ባለው የአተገባበር ስም ላይ የቀኝ መዳፊት አዝራሩን ጠቅ ያድርጉ view, በብቅ ባዩ ምናሌ ውስጥ የትግበራ ስም ቀይር የሚለውን ይምረጡ እና አዲስ ስም ይተይቡ.
የአሁኑ UI አተገባበሩን እንደሚተካ ልብ ይበሉ; ከ9.0 በፊት የሚለቀቁት አተገባበሩን እንደገና እንዲሰየም ይጠብቃል።
5. አተገባበርን ለመቅዳት በፕሮጀክቱ ውስጥ ባለው የአተገባበር ስም ላይ የቀኝ መዳፊት አዝራሩን ጠቅ ያድርጉ view, በብቅ ባዩ ምናሌ ውስጥ ኮፒ ትግበራን ይምረጡ እና ለቅጂው አዲስ ስም ይተይቡ።
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 73
ምዕራፍ 4፡ የሎጂክ ሲንተሲስ ፕሮጀክት ማቋቋም
አተገባበርን ማዋቀር
6. አተገባበርን ለመሰረዝ በፕሮጀክቱ ውስጥ ባለው የአተገባበር ስም ላይ የቀኝ መዳፊት አዝራሩን ጠቅ ያድርጉ view, እና በብቅ ባዩ ምናሌ ውስጥ ትግበራን አስወግድ የሚለውን ይምረጡ.
© 2014 Synopsys, Inc. 74
LO
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
የሎጂክ ውህድ ትግበራ አማራጮችን ማቀናበር ምዕራፍ 4፡ የሎጂክ ሲንቴሲስ ፕሮጀክት ማቋቋም
የሎጂክ ውህድ ትግበራ አማራጮችን ማቀናበር
ለተቀነባበረ አተገባበርዎ ዓለም አቀፍ አማራጮችን ማዘጋጀት ይችላሉ፣ አንዳንዶቹ በቴክኖሎጂ የተመሰረቱ ናቸው። ይህ ክፍል እንደ መሳሪያ፣ ማመቻቸት እና የመሳሰሉ አለምአቀፍ አማራጮችን እንዴት ማቀናበር እንደሚቻል ይገልጻል file አማራጮች ከትግበራ አማራጮች ትእዛዝ ጋር። ለትግበራው ገደቦችን ስለማስቀመጥ መረጃ፣የ SCOPE ገደቦችን መግለፅ፣ገጽ 119 ይመልከቱ።አለምአቀፍ መቼቶችን በግል ባህሪያት ወይም መመሪያዎች ስለማስወገድ መረጃ ለማግኘት፣የመግለጫ ባህሪያትን እና መመሪያዎችን በገጽ 90 ላይ ይመልከቱ።
ይህ ክፍል የሚከተሉትን ርዕሶች ያብራራል።
· የመሣሪያ አማራጮችን ማቀናበር፣ በገጽ 75 · የማመቻቸት አማራጮችን ማቀናበር፣ በገጽ 78 · ዓለም አቀፍ ድግግሞሽ እና ገደቦችን መግለጽ Fileዎች፣ በገጽ 80 · የውጤት አማራጮችን መግለጽ፣ በገጽ 82 · የጊዜ ሪፖርት ውፅዓትን መግለጽ፣ በገጽ 84 · Verilog እና VHDL አማራጮችን ማቀናበር፣ በገጽ 84
የመሣሪያ አማራጮችን በማዘጋጀት ላይ
የመሣሪያ አማራጮች ለውህደቱ ማቀናበር የምትችላቸው የአለምአቀፍ አማራጮች አካል ናቸው። እነሱም የክፍል ምርጫን (ቴክኖሎጂ፣ ከፊል እና የፍጥነት ደረጃ) እና የአተገባበር አማራጮችን (I/O induction and fanouts) ያካትታሉ። የእነዚህ አማራጮች አማራጮች እና አተገባበር ከቴክኖሎጂ ወደ ቴክኖሎጂ ሊለያዩ ስለሚችሉ ስለ አቅራቢዎ አማራጮች መረጃ ለማግኘት የማጣቀሻ ማኑዋልን የአቅራቢውን ምዕራፎች ይመልከቱ።
1. የአተገባበር አማራጮችን ቁልፍ በመጫን ወይም Project->የትግበራ አማራጮችን በመምረጥ የመተግበሪያውን ቅጽ ይክፈቱ እና ካልተመረጠ ከላይ ያለውን የመሣሪያ ትርን ጠቅ ያድርጉ።
2. ቴክኖሎጂውን, ክፍልን, ጥቅልን እና ፍጥነትን ይምረጡ. በመረጡት ቴክኖሎጂ ላይ በመመስረት የሚገኙ አማራጮች ይለያያሉ።
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 75
ምዕራፍ 4፡ አመክንዮአዊ ሲንተሲስን ማዋቀር የፕሮጀክት ማቀናበሪያ አመክንዮ ውህደቱ የትግበራ አማራጮች
3. የመሳሪያውን የካርታ አማራጮችን ያዘጋጁ. በመረጡት ቴክኖሎጂ ላይ በመመስረት አማራጮቹ ይለያያሉ.
አንድ አማራጭ ምን ማለት እንደሆነ እርግጠኛ ካልሆኑ ለማየት አማራጩን ጠቅ ያድርጉ
ከታች ባለው ሳጥን ውስጥ መግለጫ. ስለ አማራጮቹ ሙሉ መግለጫዎች F1 ን ጠቅ ያድርጉ ወይም በማጣቀሻ መመሪያው ውስጥ ተገቢውን የአቅራቢ ክፍል ይመልከቱ።
አንድን አማራጭ ለማዘጋጀት እሴቱን ያስገቡ ወይም እሱን ለማንቃት ሳጥኑ ላይ ምልክት ያድርጉ።
የማራገቢያ ገደቦችን ስለማዘጋጀት እና ጡረታ ስለመውጣት የበለጠ መረጃ ለማግኘት የFanout Limitsን ማቀናበር በገጽ 348 እና ጡረታ ማውጣትን በገጽ 334 በቅደም ተከተል ይመልከቱ። ስለሌሎች ሻጭ-ተኮር አማራጮች ዝርዝሮችን ለማግኘት በማጣቀሻ መመሪያው ውስጥ ተገቢውን የአቅራቢ ምዕራፍ እና የቴክኖሎጂ ቤተሰብን ይመልከቱ።
© 2014 Synopsys, Inc. 76
LO
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
የሎጂክ ውህድ ትግበራ አማራጮችን ማቀናበር ምዕራፍ 4፡ የሎጂክ ሲንቴሲስ ፕሮጀክት ማቋቋም
4. እንደ አስፈላጊነቱ ሌሎች የማስፈጸሚያ አማራጮችን ያቀናብሩ (የምርጫ ዝርዝርን ለማግኘት በገጽ 75 ላይ ያለውን የሎጂክ ሲንተሲስ ትግበራ አማራጮችን ይመልከቱ)። እሺን ጠቅ ያድርጉ።
5. ንድፉን ለማዋሃድ የሩጫ ቁልፍን ጠቅ ያድርጉ። ሶፍትዌሩ እርስዎ ያዘጋጃቸውን አማራጮች በመጠቀም ንድፉን ያጠናቅራል እና ያዘጋጃል።
6. የመሳሪያ አማራጮችን በስክሪፕት ለማዘጋጀት፣ set_option Tcl የሚለውን ትዕዛዝ ይጠቀሙ። የሚከተለው ሠንጠረዥ በተመጣጣኝ Tcl ትዕዛዞች በተዘጋጀው የመሣሪያ ትር ላይ የመሳሪያ አማራጮች የፊደል ፊደል ዝርዝር ይዟል። አማራጮቹ በቴክኖሎጂ እና በቤተሰብ ላይ የተመሰረቱ በመሆናቸው በሰንጠረዡ ውስጥ የተዘረዘሩት አማራጮች በሙሉ በተመረጠው ቴክኖሎጂ ላይገኙ ይችላሉ። ሁሉም ትዕዛዞች በ set_option ይጀምራሉ፣ እንደሚታየው በአምዱ ውስጥ ያለው አገባብ ይከተላል። ለአቅራቢዎ በጣም አጠቃላይ የሆነውን ዝርዝር ለማግኘት የማጣቀሻ መመሪያውን ይመልከቱ።
የሚከተለው ሰንጠረዥ አብዛኛዎቹን የመሳሪያ አማራጮች ያሳያል።
አማራጭ የተብራራ ባሕሪያት ለተንታኝ የI/O ማስገቢያ የአድናቂዎች መመሪያን አሰናክል
Tcl ትዕዛዝ (የማዘጋጀት_አማራጭ…) -run_prop_extract {1|0} -io_insertionን አሰናክል {1|0} -fanout_limit fanout_value
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 77
ምዕራፍ 4፡ አመክንዮአዊ ሲንተሲስን ማዋቀር የፕሮጀክት ማቀናበሪያ አመክንዮ ውህደቱ የትግበራ አማራጮች
አማራጭ
Tcl ትዕዛዝ (የማዘጋጀት_አማራጭ…)
ጥቅል
- ጥቅል pkg_ስም
ክፍል
- ክፍል ክፍል_ስም
የተቀላቀሉ ነጂዎችን ይፍቱ
-ባለብዙ_ሹፌርን_መፍታት {1|0}
ፍጥነት
-የፍጥነት_ደረጃ የፍጥነት_ደረጃ
ቴክኖሎጂ
- የቴክኖሎጂ ቁልፍ ቃል
የነጥብ ጊዜ አጠባበቅ ውሂብን ያዘምኑ -update_models_cp {0|1}
HDL ተንታኝ የውሂብ ጎታ ትውልድ -hdl_qload {1|0}
የማመቻቸት አማራጮችን በማቀናበር ላይ
የማመቻቸት አማራጮች ለትግበራው ሊያዘጋጁዋቸው የሚችሉት የአለምአቀፍ አማራጮች አካል ናቸው። ይህ ክፍል እንደ ድግግሞሽ እና አለምአቀፍ የማመቻቸት አማራጮችን እንደ ሃብት መጋራት ያሉ አማራጮችን እንዴት እንደሚያዘጋጁ ይነግርዎታል። እንዲሁም ከእነዚህ አማራጮች ውስጥ አንዳንዶቹን በዩአይዩ ላይ በተገቢው አዝራሮች ማዘጋጀት ይችላሉ።
1. የማስፈጸሚያ አማራጮች የሚለውን ቅጽ በመክፈት ወይም ፕሮጄክት->የትግበራ አማራጮችን በመምረጥ ከላይ ያለውን የኦፕሽን ትሩን ጠቅ ያድርጉ።
2. በቅጹ ላይ ወይም በፕሮጀክቱ ውስጥ የሚፈልጉትን የማመቻቸት አማራጮችን ጠቅ ያድርጉ view. ምርጫዎ እንደ ቴክኖሎጂው ይለያያል። ለቴክኖሎጂዎ አንድ አማራጭ ከሌለ, ግራጫማ ነው. አማራጩን በአንድ ቦታ ማዋቀር በራስ-ሰር በሌላ ያዘምነዋል።
© 2014 Synopsys, Inc. 78
LO
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
የሎጂክ ውህድ ትግበራ አማራጮችን ማቀናበር ምዕራፍ 4፡ የሎጂክ ሲንቴሲስ ፕሮጀክት ማቋቋም
ፕሮጀክት View
የማመቻቸት አማራጮች የትግበራ አማራጮች -> አማራጮች
እነዚህን ማሻሻያዎች ስለመጠቀም ዝርዝሮችን ለማግኘት የሚከተሉትን ክፍሎች ይመልከቱ፡-
FSM ማጠናከሪያ FSM አሳሽ
የንብረት መጋራት ጡረታ ማውጣት
የስቴት ማሽኖችን ማሻሻል፣ በገጽ 354 ላይ
FSM Explorerን በማስኬድ ላይ፣ በገጽ 359 ማስታወሻ፡ የFSM Explorer አማራጭን የሚደግፉት የማይክሮሴሚ ቴክኖሎጂዎች ንዑስ ክፍል ብቻ ነው። ይህ አማራጭ በመሳሪያዎ ውስጥ ለገለጹት መሳሪያ የሚደገፍ መሆኑን ለማወቅ የፕሮጀክት->የትግበራ አማራጮች->አማራጮች ፓነልን ይጠቀሙ።
ምንጮችን መጋራት፣ በገጽ 352 ላይ
ጡረታ በገጽ 334 ላይ
አቻው የTcl set_option ትዕዛዝ አማራጮች የሚከተሉት ናቸው።
አማራጭ የኤፍ.ኤስ.ኤም. ማጠናከሪያ ኤፍኤስኤም ኤክስፕሎረር ሪሶርስ መጋራት እረፍት ማድረግ
set_option Tcl የትዕዛዝ አማራጭ -symbolic_fsm_compiler {1|0} -use_fsm_explorer {1|0} -resource_ማጋራት {1|0} -የጡረታ ጊዜ {1|0}
3. እንደ አስፈላጊነቱ ሌሎች የማስፈጸሚያ አማራጮችን ያቀናብሩ (የምርጫ ዝርዝርን ለማግኘት በገጽ 75 ላይ ያለውን የሎጂክ ሲንተሲስ ትግበራ አማራጮችን ይመልከቱ)። እሺን ጠቅ ያድርጉ።
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 79
ምዕራፍ 4፡ አመክንዮአዊ ሲንተሲስን ማዋቀር የፕሮጀክት ማቀናበሪያ አመክንዮ ውህደቱ የትግበራ አማራጮች
4. ውህደትን ለማስኬድ የሩጫ ቁልፍን ጠቅ ያድርጉ።
ሶፍትዌሩ እርስዎ ያዘጋጃቸውን አማራጮች በመጠቀም ንድፉን ያጠናቅራል እና ያዘጋጃል።
HDL ተንታኝ የውሂብ ጎታ ማመንጨት
በነባሪ, ሶፍትዌሩ ሙሉውን ንድፍ ያነባል, የሎጂክ ማሻሻያዎችን እና የጊዜ ስርጭትን ያከናውናል እና ውፅዓት ወደ ነጠላ ኔትሊስት (srs) ይጽፋል. ዲዛይኖች እየበዙ ሲሄዱ ንድፉን ለማሄድ እና ለማረም ጊዜው የበለጠ ፈታኝ ይሆናል።
ይህ አማራጮች ማጠናከሪያው ንድፉን አስቀድሞ ወደ ብዙ ሞጁሎች እንዲያከፋፍል ያስችለዋል የተጣራ መዝገብን ለመለየት የተፃፉት files (srs) ይህንን አማራጭ ለማንቃት በመተግበሪያው አማራጮች የንግግር ሳጥን ውስጥ ባለው የአማራጮች ትር ላይ የ HDL Analyst Database Generation አመልካች ሳጥንን ይምረጡ። ይህ ባህሪ ለትላልቅ ዲዛይኖች የማስታወስ አጠቃቀምን በእጅጉ ያሻሽላል።
ይህ ባህሪ የሚከተለውን set_option Tcl ትዕዛዝን በመጠቀም ከTcl Script መስኮት ሊነቃ ይችላል።
የዝግጅት_አማራጭ -hdl_qload 1
አንዴ የኤችዲኤል ተንታኝ ዳታቤዝ ማመንጨት አማራጭ ከነቃ፣ አንድ ነጠላ የተጣራ ዝርዝር (srs) ወይም ባለብዙ ባለ ከፍተኛ ደረጃ RTL ሞጁል ኔትሊስት (srs) በመጠቀም ንድፉን ለማሳየት በHDL Analyst መሳሪያ ውስጥ ተጨማሪ ፈጣን ጭነት አማራጭን ይጠቀሙ። መሳሪያው አድቫን ሊወስድ ይችላልtagኢ የዚህ ባህሪ በተለዋዋጭ ሁኔታ የተጎዳውን የንድፍ ተዋረድ ብቻ በመጫን። ለ exampለፈጣን ጭነት እንደ አስፈላጊነቱ የ ተዋረዳዊ አሳሹ ዝቅተኛ ደረጃ ተዋረድን ብቻ ሊያሰፋ ይችላል። የመጨመሪያ ፈጣን ጭነት አማራጭ በ HDL Analyst Options የንግግር ሳጥን አጠቃላይ ፓነል ላይ ይገኛል። አጠቃላይ ፓነልን በገጽ 304 ተመልከት።
ዓለም አቀፋዊ ድግግሞሽ እና ገደቦችን መግለጽ Files
ይህ አሰራር የአለምአቀፍ ድግግሞሽን እንዴት ማቀናበር እንደሚችሉ እና እገዳውን እንዴት እንደሚገልጹ ይነግርዎታል files ለትግበራው.
1. አለምአቀፍ ድግግሞሽ ለማዘጋጀት ከሚከተሉት ውስጥ አንዱን ያድርጉ፡
በፕሮጀክቱ ውስጥ አለምአቀፍ ድግግሞሽ ይተይቡ view.
አተገባበሩን ጠቅ በማድረግ የትግበራ አማራጮች ቅጹን ይክፈቱ
የአማራጮች አዝራር ገደቦች ትር.
or
መምረጥ
ፕሮጀክት-> ትግበራ
አማራጮች፣
እና
ጠቅ ያድርጉ
የ
ተመጣጣኝ Tcl set_option ትዕዛዝ -frequencyfrekvensValue ነው.
© 2014 Synopsys, Inc. 80
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
የሎጂክ ውህድ ትግበራ አማራጮችን ማቀናበር ምዕራፍ 4፡ የሎጂክ ሲንቴሲስ ፕሮጀክት ማቋቋም
በገጽ 119 ላይ SCOPE Constraints ላይ እንደተገለጸው የአለምን ድግግሞሽ በአካባቢያዊ ገደቦች መሻር ትችላለህ።በ Synplify Pro መሳሪያ ውስጥ አለምአቀፍ ድግግሞሽን ከማዘጋጀት ይልቅ ለንድፍህ የሰዓት ገደቦችን በራስ ሰር ማመንጨት ትችላለህ። ለዝርዝሮች ራስ-ሰር ገደቦችን በገጽ 291 ላይ ይመልከቱ።
ዓለም አቀፍ ድግግሞሽ እና ገደቦች ፕሮጀክት View
የትግበራ አማራጮች -> ገደቦች
2. ገደብን ለመግለጽ fileለትግበራ ከሚከተሉት ውስጥ አንዱን ያድርጉ።
የፕሮጀክት->የትግበራ አማራጮች -> ገደቦችን ይምረጡ። እገዳውን ይፈትሹ
fileበፕሮጀክቱ ውስጥ መጠቀም የሚፈልጉት.
ከትግበራ አማራጮች ->የእገዳዎች ፓነል፣ ወደ ላይ ጠቅ ማድረግም ይችላሉ።
ገደብ ጨምር file.
የተመረጠውን ለመጠቀም በሚፈልጉት አተገባበር፣ አክል የሚለውን ጠቅ ያድርጉ File በውስጡ
ፕሮጀክት view, እና እገዳውን ይጨምሩ files ያስፈልግዎታል.
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 81
ምዕራፍ 4፡ አመክንዮአዊ ሲንተሲስን ማዋቀር የፕሮጀክት ማቀናበሪያ አመክንዮ ውህደቱ የትግበራ አማራጮች
ገደብ ለመፍጠር files፣ የ SCOPE ገደቦችን መግለፅ፣ በገጽ 119 ላይ ይመልከቱ።
3. ገደብን ለማስወገድ fileከአንድ ትግበራ, ከሚከተሉት ውስጥ አንዱን ያድርጉ:
የፕሮጀክት->የትግበራ አማራጮች -> ገደቦችን ይምረጡ። አመልካች ሳጥኑ ላይ ጠቅ ያድርጉ
አጠገብ file ስም.
በፕሮጀክቱ ውስጥ view, እገዳውን በቀኝ ጠቅ ያድርጉ file መወገድ እና
ከፕሮጀክት አስወግድ የሚለውን ይምረጡ።
ይህ እገዳውን ያስወግዳል file ከትግበራው, ነገር ግን አይሰርዘውም.
4. እንደ አስፈላጊነቱ ሌሎች የማስፈጸሚያ አማራጮችን ያቀናብሩ (የምርጫ ዝርዝርን ለማግኘት በገጽ 75 ላይ ያለውን የሎጂክ ሲንተሲስ ትግበራ አማራጮችን ይመልከቱ)። እሺን ጠቅ ያድርጉ።
ዲዛይኑን ሲያቀናጁ ሶፍትዌሩ ያዘጋጃቸውን አማራጮች በመጠቀም ንድፉን ያጠናቅራል እና ያዘጋጃል።
የውጤት አማራጮችን መግለጽ
ይህ ክፍል ለቅንጅቱ ውጤት መመዘኛዎችን እንዴት እንደሚገልጹ ያሳየዎታል.
1. የማስፈጸሚያ አማራጮችን ቁልፍ በመጫን ወይም Project->የትግበራ አማራጮችን በመምረጥ የማስፈጸሚያ አማራጮች ቅጹን ይክፈቱ እና ከላይ ያለውን የትግበራ ውጤቶች ትርን ጠቅ ያድርጉ።
© 2014 Synopsys, Inc. 82
LO
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
የሎጂክ ውህድ ትግበራ አማራጮችን ማቀናበር ምዕራፍ 4፡ የሎጂክ ሲንቴሲስ ፕሮጀክት ማቋቋም
2. ውጤቱን ይግለጹ files ማመንጨት ይፈልጋሉ.
በካርታ የተሰራ የተጣራ ዝርዝር ለመፍጠር files፣ Mapped Verilog Netlist ፃፍ ወይም ፃፍ የሚለውን ጠቅ ያድርጉ
ካርታ የተደረገ VHDL Netlist።
አቅራቢ-ተኮር ገደብ ለመፍጠር file ለቀጣይ ማብራሪያ፣
የአቅራቢ ገደብን ጻፍ የሚለውን ጠቅ ያድርጉ File. በዚህ ዘገባ ላይ ለተጨማሪ መረጃ የግዳጅ ማረጋገጫ ሪፖርትን በገጽ 270 ላይ ይመልከቱ።የማጣቀሻ መመሪያው በገጽ 56 ላይ ለበለጠ መረጃ።
3. ውጤቱን ለመጻፍ የሚፈልጉትን ማውጫ ያዘጋጁ.
4. ለውጤቱ ቅርጸቱን ያዘጋጁ file. ለስክሪፕት አቻው የTcl ትዕዛዝ የፕሮጀክት -result_format ቅርጸት ነው።
እንዲሁም የስም-ካርታ ስራን ለመቆጣጠር ባህሪያትን ማዘጋጀት ይፈልጉ ይሆናል. ለዝርዝሮች፣ በማጣቀሻ መመሪያው ውስጥ ተገቢውን የአቅራቢ ክፍል ይመልከቱ።
5. እንደ አስፈላጊነቱ ሌሎች የማስፈጸሚያ አማራጮችን ያቀናብሩ (የምርጫ ዝርዝርን ለማግኘት በገጽ 75 ላይ ያለውን የሎጂክ ሲንተሲስ ትግበራ አማራጮችን ይመልከቱ)። እሺን ጠቅ ያድርጉ።
ዲዛይኑን ሲያቀናጁ ሶፍትዌሩ ያዘጋጃቸውን አማራጮች በመጠቀም ንድፉን ያጠናቅራል እና ያዘጋጃል።
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 83
ምዕራፍ 4፡ አመክንዮአዊ ሲንተሲስን ማዋቀር የፕሮጀክት ማቀናበሪያ አመክንዮ ውህደቱ የትግበራ አማራጮች
የጊዜ ሪፖርት ውፅዓትን መግለጽ
የሚከተሉትን አማራጮች በማዘጋጀት በጊዜ አጠባበቅ ዘገባ ውስጥ ምን ያህል ሪፖርት እንደሚደረግ ማወቅ ይችላሉ.
1. የፕሮጀክት->የትግበራ አማራጮችን መምረጥ እና የጊዜ ሪፖርት ዘገባን ጠቅ ያድርጉ። 2. ሶፍትዌሩ ሪፖርት እንዲያደርግ የሚፈልጓቸውን ወሳኝ መንገዶች ብዛት ያዘጋጁ።
3. በወሳኝ ዱካ ክፍሎች ውስጥ ሪፖርት ለማየት የሚፈልጓቸውን የመጀመሪያ እና የመጨረሻ ነጥቦች ብዛት ይግለጹ።
4. እንደ አስፈላጊነቱ ሌሎች የማስፈጸሚያ አማራጮችን ያቀናብሩ (የምርጫ ዝርዝርን ለማግኘት በገጽ 75 ላይ ያለውን የሎጂክ ሲንተሲስ ትግበራ አማራጮችን ይመልከቱ)። እሺን ጠቅ ያድርጉ። ዲዛይኑን ሲያቀናጁ ሶፍትዌሩ ያዘጋጃቸውን አማራጮች በመጠቀም ንድፉን ያጠናቅራል እና ያዘጋጃል።
Verilog እና VHDL አማራጮችን በማቀናበር ላይ
የVerilog እና VHDL ምንጭ ሲያዘጋጁ fileበፕሮጀክትዎ ውስጥ የተወሰኑ የማጠናቀሪያ አማራጮችን መግለጽ ይችላሉ።
Verilog በማቀናበር ላይ File አማራጮች
እርስዎ Verilog አዘጋጅተዋል። file አማራጮችን በመምረጥ የፕሮጀክት -> የትግበራ አማራጮች -> Verilog ፣ ወይም Options -> Verilog Compilerን ያዋቅሩ።
© 2014 Synopsys, Inc. 84
LO
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
የሎጂክ ውህድ ትግበራ አማራጮችን ማቀናበር ምዕራፍ 4፡ የሎጂክ ሲንቴሲስ ፕሮጀክት ማቋቋም
1. ለመጠቀም የVerilog ቅርጸት ይግለጹ።
ማጠናከሪያውን በአለምአቀፍ ደረጃ ለሁሉም ለማዋቀር fileበፕሮጀክቱ ውስጥ s, ይምረጡ
ፕሮጀክት->የትግበራ አማራጮች->Verilog. Verilog 2001 ወይም SystemVerilog እየተጠቀሙ ከሆነ ለሚደገፉ ግንባታዎች የማጣቀሻ መመሪያውን ይመልከቱ።
የቬሪሎግ ማጠናከሪያውን በ per file መሠረት ፣ ይምረጡ file በውስጡ
ፕሮጀክት view. በቀኝ ጠቅ ያድርጉ እና ይምረጡ File አማራጮች። ተገቢውን ማጠናከሪያ ይምረጡ. ነባሪው Verilog file የአዳዲስ ፕሮጀክቶች ቅርጸት SystemVerilog ነው።
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 85
ምዕራፍ 4፡ አመክንዮአዊ ሲንተሲስን ማዋቀር የፕሮጀክት ማቀናበሪያ አመክንዮ ውህደቱ የትግበራ አማራጮች
2. በፕሮጀክቱ ውስጥ አስቀድመው ካላደረጉት የከፍተኛ ደረጃ ሞጁሉን ይግለጹ view.
3. መለኪያዎች ከምንጩ ኮድ ለማውጣት የሚከተሉትን ያድርጉ።
Extract Parameters ን ጠቅ ያድርጉ። ነባሪውን ለመሻር ለአንድ መለኪያ አዲስ እሴት ያስገቡ።
ሶፍትዌሩ አዲሱን ዋጋ የሚጠቀመው ለአሁኑ ትግበራ ብቻ ነው። ፓራሜትር ማውጣት ለተቀላቀሉ ዲዛይኖች እንደማይደገፍ ልብ ይበሉ።
4. መግለጫዎቹን ለመለየት ክፍተቶችን በመጠቀም መመሪያውን በኮምፓየር መመሪያዎች ውስጥ ያስገቡ። በመደበኛነት የሚያስገቧቸውን መመሪያዎች በ'ifdef እና በኮዱ ውስጥ ያሉትን መግለጫዎች ይግለጹ። ለ example, ABC=30 ሶፍትዌሩ የሚከተሉትን መግለጫዎች ለፕሮጀክቱ ሲጽፍ ያስገኛል። file:
set_option -hdl_define -አዘጋጅ "ABC=30"
LO
© 2014 Synopsys, Inc. 86
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
የሎጂክ ውህድ ትግበራ አማራጮችን ማቀናበር ምዕራፍ 4፡ የሎጂክ ሲንቴሲስ ፕሮጀክት ማቋቋም
5. በማካተት ዱካ ማዘዣ ውስጥ፣ የVerilog ትዕዛዞችን ለማካተት የፍለጋ መንገዶችን ይጥቀሱ። fileበፕሮጀክትዎ ውስጥ ያሉ። መንገዶችን ለመጨመር፣ ለመሰረዝ ወይም እንደገና ለመደርደር በሳጥኑ የላይኛው ቀኝ ጥግ ላይ ያሉትን አዝራሮች ይጠቀሙ።
6. በቤተ መፃህፍት ማውጫዎች ውስጥ ቤተ መፃህፍቱን ወደያዘው ማውጫ የሚወስደውን መንገድ ይግለጹ fileለፕሮጀክትዎ። መንገዶችን ለመጨመር፣ ለመሰረዝ ወይም እንደገና ለመደርደር በሳጥኑ የላይኛው ቀኝ ጥግ ላይ ያሉትን አዝራሮች ይጠቀሙ።
7. እንደ አስፈላጊነቱ ሌሎች የማስፈጸሚያ አማራጮችን ያቀናብሩ (የምርጫ ዝርዝርን ለማግኘት በገጽ 75 ላይ ያለውን የሎጂክ ሲንተሲስ ትግበራ አማራጮችን ይመልከቱ)። እሺን ጠቅ ያድርጉ። ዲዛይኑን ሲያቀናጁ ሶፍትዌሩ ያዘጋጃቸውን አማራጮች በመጠቀም ንድፉን ያጠናቅራል እና ያዘጋጃል።
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 87
ምዕራፍ 4፡ አመክንዮአዊ ሲንተሲስን ማዋቀር የፕሮጀክት ማቀናበሪያ አመክንዮ ውህደቱ የትግበራ አማራጮች
VHDL በማቀናበር ላይ File አማራጮች
VHDL አዘጋጅተዋል። file አማራጮችን በመምረጥ የፕሮጀክት -> የትግበራ አማራጮች -> VHDL ፣ ወይም አማራጮች -> VHDL ማጠናከሪያን ያዋቅሩ።
ለ VHDL ምንጭ፣ ከዚህ በታች የተገለጹትን አማራጮች መግለጽ ይችላሉ።
1. በፕሮጀክቱ ውስጥ አስቀድመው ካላደረጉት የከፍተኛ ደረጃ ሞጁሉን ይግለጹ view. የከፍተኛ ደረጃ ሞጁል በነባሪ የሥራ ቤተ-መጽሐፍት ውስጥ ካልሆነ, አቀናባሪው ሞጁሉን የሚያገኝበትን ቤተ-መጽሐፍት መግለጽ አለብዎት. ይህንን እንዴት ማድረግ እንደሚቻል መረጃ ለማግኘት በገጽ 200 ላይ VHDL Panel የሚለውን ይመልከቱ።
እንዲሁም ይህን አማራጭ ለተደባለቀ የቋንቋ ዲዛይኖች ወይም ሞጁሉን ለመጥቀስ ሲፈልጉ የ HDL Analyst ማሳያ እና LdOebugging በስርዓተ-ጥበባት ውስጥ ትክክለኛ የከፍተኛ ደረጃ አካል ያልሆነን መጠቀም ይችላሉ። viewኤስ. 2. በተጠቃሚ ለተገለፀው የግዛት ማሽን ኢንኮዲንግ የሚከተሉትን ያድርጉ።
ለመጠቀም የሚፈልጉትን አይነት ኢንኮዲንግ ይግለጹ።
© 2014 Synopsys, Inc. 88
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
የሎጂክ ውህድ ትግበራ አማራጮችን ማቀናበር ምዕራፍ 4፡ የሎጂክ ሲንቴሲስ ፕሮጀክት ማቋቋም
የ FSM ማጠናከሪያውን ያሰናክሉ።
ዲዛይኑን ሲያዋህዱ፣ ሶፍትዌሩ እዚህ ያስቀመጧቸውን የማጠናቀሪያ መመሪያዎች የስቴት ማሽኖችን ኢንኮድ ለማድረግ ይጠቀማል እና የኤፍኤስኤም ማጠናከሪያውን አይሰራም፣ ይህም የማጠናቀሪያ መመሪያዎችን ይሽራል። በአማራጭ፣ የስቴት ማሽኖችን በቪኤችዲኤል መግለጽ ላይ በገጽ 308 ላይ እንደተገለጸው በ syn_encoding ባህሪይ መግለጽ ትችላለህ።
3. አጠቃላይ መረጃዎችን ከምንጩ ኮድ ለማውጣት፣ ይህን ያድርጉ፡-
አጠቃላይ Constants Extract ን ጠቅ ያድርጉ። ነባሪውን ለመሻር ለአጠቃላይ አዲስ እሴት ያስገቡ።
ሶፍትዌሩ አዲሱን ዋጋ የሚጠቀመው ለአሁኑ ትግበራ ብቻ ነው። ድብልቅ የቋንቋ ንድፍ ካሎት አጠቃላይ ነገሮችን ማውጣት እንደማይችሉ ልብ ይበሉ።
4. ትሪስቴቶችን በሂደት ላይ ለመግፋት/ድንበሮችን ለመዝጋት፣ የግፊት ትራይስቴትስ መንቃቱን ያረጋግጡ። ለዝርዝር መረጃ፣ በማጣቀሻ መመሪያው ውስጥ በገጽ 212 ላይ ያለውን የግፊት ትራይስቴትስ አማራጭን ይመልከቱ።
5. የመመሪያውን_ውህደቱን_እና_ውህደቱን_ይወስኑ፡-
አቀናባሪው ውህደትን እና መመሪያዎችን እንዲተረጉም ለማድረግ
እንደ ተርጓሚ_ላይ/ተርጉም_ጠፍቷል፣ ሲንቴሲስ አብራ/አጥፋ የተተገበረውን እንደ አብራ/ አጥፋ አማራጭ ያንቁ።
የበራ እና የማዋሃድ መመሪያዎችን ችላ ለማለት፣ ያንን ያረጋግጡ
ይህ አማራጭ አልተረጋገጠም. ለበለጠ መረጃ በማጣቀሻ መመሪያው ላይ በገጽ 226 ላይ ያለውን ትርጉም_ኦፍ/መተርጎም_ይመልከቱ።
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 89
ምዕራፍ 4፡ የሎጂክ ሲንተሲስ ፕሮጀክት ማቋቋም
ባህሪያትን እና መመሪያዎችን መግለጽ
6. እንደ አስፈላጊነቱ ሌሎች የማስፈጸሚያ አማራጮችን ያቀናብሩ (የምርጫ ዝርዝርን ለማግኘት በገጽ 75 ላይ ያለውን የሎጂክ ሲንተሲስ ትግበራ አማራጮችን ይመልከቱ)። እሺን ጠቅ ያድርጉ።
ዲዛይኑን ሲያቀናጁ ሶፍትዌሩ ያዘጋጃቸውን አማራጮች በመጠቀም ንድፉን ያጠናቅራል እና ያዘጋጃል።
ባህሪያትን እና መመሪያዎችን መግለጽ
ባህሪያት እና መመሪያዎች ንድፍዎ የሚተነተንበትን፣ የተመቻቸበትን እና ካርታ የሚይዝበትን መንገድ ለመቆጣጠር እቃዎችን ለመንደፍ የሚመድቧቸው ዝርዝር መግለጫዎች ናቸው።
ባህሪያት የካርታ ማሻሻያዎችን ይቆጣጠራሉ እና መመሪያዎች የማጠናከሪያ ማሻሻያዎችን ይቆጣጠራሉ። በዚህ ልዩነት ምክንያት, በምንጭ ኮድ ውስጥ መመሪያዎችን መግለጽ አለብዎት. ይህ ሰንጠረዥ የባህሪ እና የመመሪያ ዝርዝሮችን ለመፍጠር ያሉትን ዘዴዎች ይገልጻል፡-
VHDL Verilog SCOPE አርታኢ ገደቦች File
ባህሪያት አዎ አዎ አዎ አዎ
መመሪያዎች አዎ አዎ አይደለም አይደለም
በ SCOPE አርታዒ ወይም እገዳዎች ውስጥ ባህሪያትን መግለጽ የተሻለ ነው file, ምክንያቱም በመጀመሪያ ንድፉን እንደገና ማጠናቀር አያስፈልግዎትም. ለመመሪያዎች፣ እንዲተገበሩ ንድፉን ማጠናቀር አለቦት።
SCOPE/እገዳዎች ከሆኑ file እና የኤችዲኤል ምንጭ ኮድ ለአንድ ንድፍ ተለይቷል, ግጭቶች በሚኖሩበት ጊዜ እገዳዎቹ ቅድሚያ አላቸው.
ለተጨማሪ ዝርዝሮች የሚከተሉትን ይመልከቱ፡-
· በVHDL ውስጥ ባህሪያትን እና መመሪያዎችን መግለጽ፣ በገጽ 91 · በቬሪሎግ ውስጥ ባህሪያትን እና መመሪያዎችን መግለጽ፣ ገጽ 92 File፣ በገጽ 97 ላይ
© 2014 Synopsys, Inc. 90
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
ባህሪያትን እና መመሪያዎችን መግለጽ
ምዕራፍ 4፡ የሎጂክ ሲንተሲስ ፕሮጀክት ማቋቋም
በVHDL ውስጥ ባህሪያትን እና መመሪያዎችን መግለጽ
በገጽ 90 ላይ ባህሪያትን እና መመሪያዎችን በመግለጽ ላይ እንደተገለጸው የነገሮችን ባህሪያት ለመጨመር ሌሎች ዘዴዎችን መጠቀም ትችላለህ። ነገር ግን መመሪያዎችን በምንጭ ኮድ ውስጥ ብቻ መግለጽ ትችላለህ። በVHDL ውስጥ ባህሪያትን እና መመሪያዎችን የሚወስኑበት ሁለት መንገዶች አሉ።
· አስቀድሞ የተገለጹትን የባህሪዎች ጥቅል መጠቀም
· ባህሪውን በተጠቀመ ቁጥር ማወጅ
ለVHDL የባህሪ አገባብ ዝርዝሮች በማጣቀሻ መመሪያው ውስጥ በገጽ 561 ላይ VHDL Attribute and Directive Syntax ይመልከቱ።
አስቀድሞ የተገለጸውን የVHDL ባህሪያት ጥቅል መጠቀም
አድቫንtagቀድሞ የተገለፀውን ፓኬጅ ለመጠቀም ባህሪያቱን እና መመሪያዎችን በምንጭ ኮድ ውስጥ ባካተቱ ቁጥር እንደገና ከመግለጽ መቆጠብ ነው። ጉዳቱtagሠ የእርስዎ የምንጭ ኮድ ያነሰ ተንቀሳቃሽ ነው. የባህሪዎች ጥቅል በ installDirectory/lib/vhd/synattr.vhd ውስጥ ይገኛል።
1. በሶፍትዌር ቤተ-መጽሐፍት ውስጥ የተካተተውን አስቀድሞ የተገለጹትን የባህሪዎች ጥቅል ለመጠቀም እነዚህን መስመሮች ወደ አገባቡ ያክሉ፡-
የቤተ መፃህፍት ማመሳሰል; synplify.attributes.all ይጠቀሙ;
2. ከዲዛይን ክፍል መግለጫ በኋላ የሚፈልጉትን ባህሪ ወይም መመሪያ ያክሉ።
መግለጫዎች; የነገር መለያ ባህሪ ስም፡ objectType ዋጋ ነው;
ለ exampላይ:
አካል simpledff ወደብ ነው (q: out bit_vector(7 downto 0); d: in bit_vector (7 downto 0); clk: in bit);
የ clk ባህሪ syn_noclockbuf: ምልክት እውነት ነው;
የአገባብ ስምምነቶችን ዝርዝር ለማግኘት በማጣቀሻ መመሪያው ውስጥ በገጽ 561 ላይ VHDL Attribute and Directive Syntax የሚለውን ይመልከቱ።
3. ምንጩን ይጨምሩ file ወደ ፕሮጀክቱ.
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 91
ምዕራፍ 4፡ የሎጂክ ሲንተሲስ ፕሮጀክት ማቋቋም
ባህሪያትን እና መመሪያዎችን መግለጽ
የVHDL ባህሪያትን እና መመሪያዎችን ማወጅ
የባህሪዎች ጥቅሉን ካልተጠቀምክ፣ ባህሪያቱን በምንጭ ኮድ ውስጥ ባካተትክ ቁጥር እንደገና መወሰን አለብህ።
1. ባህሪን ወይም መመሪያን በተጠቀሙ ቁጥር የንድፍ አሃዱ መግለጫ ከወጣ በኋላ የሚከተለውን አገባብ በመጠቀም ይግለጹ።
የንድፍ_ክፍል መግለጫ; መለያ ባህሪ ስም: dataType; የባህሪይ ባህሪ የነገር ስም፡ እቃ አይነት ዋጋ ነው;
ለ exampላይ:
አካል simpledff ወደብ ነው (q: out bit_vector(7 downto 0); d: in bit_vector (7 downto 0); clk: in bit);
ባህሪ syn_noclockbuf: boolean; የ clk ባህሪ syn_noclockbuf: ምልክት እውነት ነው;
2. ምንጩን ይጨምሩ file ወደ ፕሮጀክቱ.
በ Verilog ውስጥ ባህሪያትን እና መመሪያዎችን መግለጽ
በገጽ 90 ላይ እንደተገለጸው የነገሮችን ባህሪያት ለመጨመር ሌሎች ዘዴዎችን መጠቀም ትችላለህ።ነገር ግን መመሪያዎችን በምንጭ ኮድ ውስጥ ብቻ መግለጽ ትችላለህ።
Verilog አስቀድሞ የተገለጹ የተዋሃዱ ባህሪያት እና መመሪያዎች የሉትም፣ ስለዚህ እንደ አስተያየቶች ማከል አለብዎት። የባህሪው ወይም የመመሪያው ስም በቁልፍ ቃል ውህደት ይቀድማል። ቬሪሎግ files ኬዝ ስሱ ናቸው፣ ስለዚህ ባህሪያቶች እና መመሪያዎች በአገባባቸው መግለጫዎች ላይ እንደቀረቡት በትክክል መገለጽ አለባቸው። የአገባብ ዝርዝሮችን ለማግኘት በማጣቀሻ መመሪያው ውስጥ በገጽ 363 ላይ Verilog Attribute and Directive Syntax የሚለውን ይመልከቱ።
1. በቬሪሎግ ውስጥ ባህሪን ወይም መመሪያን ለመጨመር የቬሪሎግ መስመርን ይጠቀሙ ወይም አስተያየትን (C-style) ያግዱ የንድፍ እቃውን በቀጥታ ይከተሉ። አስተያየቶችን አግድ ከሴሚኮሎን በፊት መሆን አለበት፣ አንድ ካለ።
LO
© 2014 Synopsys, Inc. 92
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
ባህሪያትን እና መመሪያዎችን መግለጽ
ምዕራፍ 4፡ የሎጂክ ሲንተሲስ ፕሮጀክት ማቋቋም
የVerilog Block አስተያየት አገባብ
/* ውህደት ባህሪ ስም = እሴት *//* የውህደት ማውጫ ስም = ዋጋ */
Verilog መስመር አስተያየት አገባብ
// ውህድ ባህሪ ስም = እሴት // ውህድ ማውጫ ስም = እሴት
የአገባብ ደንቦችን ዝርዝር ለማግኘት በማጣቀሻ መመሪያው ውስጥ በገጽ 363 ላይ የVerilog Attribute and Directive Syntax ይመልከቱ። የሚከተሉት exampያነሰ፡
ሞጁል fifo (ውጭ ፣ ውስጥ) /* ውህደት syn_hier = “ከባድ” */;
2. ከተመሳሳይ ነገር ጋር ብዙ ባህሪያትን ወይም መመሪያዎችን ለማያያዝ, ባህሪያቱን በነጭ ቦታዎች ይለያዩ, ነገር ግን የተዋሃደ ቁልፍ ቃሉን አይድገሙ. ኮማዎችን አይጠቀሙ። ለ exampላይ:
የጉዳይ ሁኔታ /* ውህደት ሙሉ_የመያዣ ትይዩ_case */;
3. ብዙ መዝገቦች አንድ የVerilog reg መግለጫን በመጠቀም ከተገለጹ እና አንድ ባህሪ በእነሱ ላይ ከተተገበረ, የሲንሲስ ሶፍትዌሩ በ reg መግለጫ ውስጥ የመጨረሻውን የታወጀ መዝገብ ብቻ ነው የሚተገበረው. ለ exampላይ:
reg [5:0] q, q_a, q_b, q_c, q_d /* ውህደት syn_preserve=1 */;
የ syn_preserve ባህሪ q_d ላይ ብቻ ነው የሚተገበረው። ይህ ለማዋሃድ መሳሪያዎች የሚጠበቀው ባህሪ ነው. ይህንን ባህሪ በሁሉም መዝገቦች ላይ ለመተግበር ለእያንዳንዱ መዝገብ የተለየ የVerilog reg መግለጫ መጠቀም እና ባህሪውን መተግበር አለብዎት።
የ SCOPE አርታዒን በመጠቀም ባህሪያትን መግለጽ
የ SCOPE መስኮት ማንኛውንም ባህሪ ለመጨመር ለአጠቃቀም ቀላል የሆነ በይነገጽ ያቀርባል. መመሪያዎችን ለመጨመር ሊጠቀሙበት አይችሉም, ምክንያቱም እነሱ ወደ ምንጭ መታከል አለባቸው fileኤስ. (በVHDL ውስጥ ባህሪያትን እና መመሪያዎችን መግለጽ፣ ገጽ 91 ላይ ወይም በቬሪሎግ ውስጥ ባህሪያትን እና መመሪያዎችን መግለጽ፣ ገጽ 92 ላይ ይመልከቱ)። የሚከተለው አሰራር በ SCOPE መስኮት ውስጥ ባህሪን እንዴት ማከል እንደሚቻል ያሳያል።
1. በተጠናቀረ ንድፍ ይጀምሩ እና የ SCOPE መስኮቱን ይክፈቱ። ባህሪያቱን ወደ ነባር ገደብ ለመጨመር file, ያለውን ላይ ጠቅ በማድረግ የ SCOPE መስኮቱን ይክፈቱ file በፕሮጀክቱ ውስጥ view. ባህሪያቱን ወደ አዲስ ለማከል fileየ SCOPE አዶን ጠቅ ያድርጉ እና የ SCOPE መስኮቱን ለመክፈት Initialize የሚለውን ይንኩ።
2. በ SCOPE መስኮት ግርጌ ላይ ያለውን የባህሪዎች ትርን ጠቅ ያድርጉ።
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 93
ምዕራፍ 4፡ የሎጂክ ሲንተሲስ ፕሮጀክት ማቋቋም
ባህሪያትን እና መመሪያዎችን መግለጽ
በመጀመሪያ እቃውን (ደረጃ 3) ወይም መጀመሪያ ባህሪውን (ደረጃ 4) መምረጥ ይችላሉ.
3. ዕቃውን ለመግለጽ በነገር አምድ ውስጥ ከሚከተሉት ውስጥ አንዱን ያድርጉ። ባህሪውን አስቀድመው ከገለጹ የነገር አምድ ለዚያ ባህሪ ትክክለኛ የሆኑ የነገር ምርጫዎችን ብቻ ይዘረዝራል።
በነገር ማጣሪያ አምድ ውስጥ የነገሩን አይነት ይምረጡ እና ከዚያ አንድ ይምረጡ
በነገር አምድ ውስጥ ካለው የምርጫ ዝርዝር ውስጥ እቃ። ትክክለኛውን ነገር ከትክክለኛው አገባብ ጋር መግለጽዎን ለማረጋገጥ ይህ በጣም ጥሩው መንገድ ነው።
© 2014 Synopsys, Inc. 94
LO
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
ባህሪያትን እና መመሪያዎችን መግለጽ
ምዕራፍ 4፡ የሎጂክ ሲንተሲስ ፕሮጀክት ማቋቋም
ባህሪውን ለማያያዝ የሚፈልጉትን ነገር ከ
RTL ወይም ቴክኖሎጂ views ወደ የነገር አምድ በ SCOPE መስኮት ውስጥ። ለአንዳንድ ባህሪያት መጎተት እና መጣል ትክክለኛውን ነገር ላይመርጥ ይችላል። ለ example፣ syn_hierን በሞጁል ወይም እንደ አንድ እና በር ባሉ አካላት ላይ ማዋቀር ከፈለጉ በ ላይ ማዘጋጀት አለብዎት። view ለዚያ ሞጁል. ነገሩ ይህ አገባብ ይኖረዋል፡ v፡moduleName in Verilog፣ ወይም v:library.moduleName በVHDL ውስጥ፣ ብዙ ቤተ-መጻሕፍት ሊኖሩዎት ይችላሉ።
በነገር አምድ ውስጥ የነገሩን ስም ይተይቡ። ካላወቃችሁ
ስሙን አግኝ ትዕዛዝ ወይም የነገር ማጣሪያ አምድ ይጠቀሙ። ለተፈለገበት ነገር ተገቢውን ቅድመ ቅጥያ መተየብዎን ያረጋግጡ። ለ example፣ አንድ ባህሪን በ ሀ view, v: ቅድመ ቅጥያ ወደ ሞጁል ወይም አካል ስም ማከል አለብህ። ለVHDL፣ ላይብረሪውን እና የሞጁሉን ስም መጥቀስ ሊኖርብዎ ይችላል።
4. ነገሩን መጀመሪያ ከገለጹት, አሁን ባህሪውን መግለጽ ይችላሉ. ዝርዝሩ ለመረጡት የነገር አይነት ትክክለኛ የሆኑ ባህሪያትን ብቻ ያሳያል። በባህሪው አምድ ውስጥ የመዳፊት አዝራሩን በመያዝ እና ከዝርዝሩ ውስጥ ባህሪን በመምረጥ ባህሪውን ይግለጹ።
እቃውን መጀመሪያ ከመረጡት, ያሉት ምርጫዎች በተመረጠው ነገር እና እየተጠቀሙበት ባለው ቴክኖሎጂ ይወሰናል. መጀመሪያ ባህሪውን ከመረጡ፣ ያሉት ምርጫዎች በቴክኖሎጂው ይወሰናሉ።
ባህሪን በሚመርጡበት ጊዜ የ SCOPE መስኮቱ ለባህሪው ምን አይነት እሴት ማስገባት እንዳለብዎት ይነግርዎታል እና ስለ ባህሪው አጭር መግለጫ ይሰጣል። መጀመሪያ ባህሪውን ከመረጡ፣ ወደ ኋላ ተመልሰው ነገሩን ይግለጹ።
5. ዋጋውን ይሙሉ. በዋጋ አምድ ውስጥ የመዳፊት አዝራሩን ተጭነው ከዝርዝሩ ውስጥ ይምረጡ። ዋጋ መተየብም ትችላለህ።
ለማይክሮሴሚ እትም የተጠቃሚ መመሪያ ጥቅምት 2014 ማመሳሰል
© 2014 Synopsys, Inc. 95
ምዕራፍ 4፡ አመክንዮ ማቋቋም
ሰነዶች / መርጃዎች
![]() |
SYnOPSYS FPGA Synthesis Synplify Pro ለማይክሮሴሚ እትም [pdf] የተጠቃሚ መመሪያ FPGA Synthesis Synplify Pro ለማይክሮሴሚ እትም ፣ Synthesis Synplify Pro ለማይክሮሴሚ እትም ፣ ለማይክሮሴሚ እትም ማመሳሰል ፕሮ ለማይክሮሴሚ እትም ፣ ፕሮ ለማይክሮሴሚ እትም ፣ የማይክሮሴሚ እትም ፣ እትም |