Mwongozo wa Mtumiaji wa Toleo la Microsemi SYnOPSYS FPGA Synthesis Synplify Pro

FPGA Synthesis Synplify Pro kwa Toleo la Microsemi

Vipimo

  • Bidhaa: Synopsys FPGA Synthesis - Synplify Pro kwa Microsemi
    Toleo
  • Mwongozo wa Mtumiaji: Oktoba 2014
  • Hakimiliki: Synopsys, Inc.
  • Lugha: Kiingereza
  • Nchi ya Asili: Marekani

Taarifa ya Bidhaa

Synopsys FPGA Synthesis - Synplify Pro kwa Toleo la Microsemi
ni zana ya kina ya utekelezaji wa FPGA na anuwai
vipengele vilivyoundwa ili kusaidia watumiaji katika usanisi wa mantiki na muundo
mtiririko.

Maagizo ya Matumizi ya Bidhaa

Sura ya 1: Utangulizi

Sura hii inatoa nyongezaview ya Synopsy FPGA na
Bidhaa za Kuiga, Zana za Utekelezaji za FPGA, na Synopsys FPGA
Vipengele vya zana.

Upeo wa Hati

Seti ya hati inajumuisha habari juu ya vipengele vya bidhaa
na imekusudiwa watumiaji wanaopenda usanisi na muundo wa FPGA
mtiririko.

Kuanza

Ili kuanza kutumia programu, izindua kwa kufuata yaliyotolewa
maelekezo na urejelee mwongozo wa mtumiaji kwa usaidizi.

Kiolesura cha Mtumiaji Kimepitaview

Jitambulishe na kiolesura cha mtumiaji kwa ufanisi
pitia vipengele vya programu.

Sura ya 2: Mitiririko ya Usanifu wa FPGA

Sura hii inafafanua Mtiririko wa Usanifu wa Mantiki kwa FPGA
usanisi.

Sura ya 3: Kutayarisha Ingizo

Jifunze jinsi ya kutumia Chanzo cha Lugha Mchanganyiko Files na Nyongeza
Mkusanyaji kwa ajili ya maandalizi ya pembejeo kwa ufanisi.

Kumbuka: Jihadharini na mapungufu yoyote yanayohusiana
kwa kutumia Kikusanyaji cha Kuongeza.

Maswali Yanayoulizwa Mara kwa Mara

Swali: Je, ninaweza kutengeneza nakala za hati?

Jibu: Ndiyo, makubaliano ya leseni yanaruhusu kutengeneza nakala kwa ajili ya ndani
tumia tu kwa sifa sahihi.

Swali: Je, nitaanzaje programu?

J: Rejelea sehemu ya "Kuanza" katika Sura ya 1 ya
mwongozo wa mtumiaji kwa maagizo ya kina juu ya kuanzisha programu.

Swali: Je, hadhira inayolengwa kwa mwongozo huu wa mtumiaji ni ipi?

J: Mwongozo wa mtumiaji unalenga watu binafsi wanaopenda FPGA
awali na mtiririko wa kubuni.

Synopsys FPGA Awali
Synplify Pro kwa Toleo la Microsemi
Mwongozo wa Mtumiaji
Oktoba 2014

Notisi ya Hakimiliki na Maelezo ya Umiliki
Hakimiliki © 2014 Synopsy, Inc. Haki zote zimehifadhiwa. Programu hii na nyaraka zina maelezo ya siri na ya umiliki ambayo ni mali ya Synopsys, Inc. Programu na nyaraka zimetolewa chini ya makubaliano ya leseni na zinaweza kutumika au kunakiliwa kwa mujibu wa masharti ya makubaliano ya leseni pekee. Hakuna sehemu ya programu na hati inayoweza kunakiliwa tena, kutumwa, au kutafsiriwa, kwa namna yoyote au kwa njia yoyote, kielektroniki, mitambo, mwongozo, macho, au vinginevyo, bila idhini ya maandishi ya Synopsy, Inc., au kama inavyotolewa wazi na makubaliano ya leseni.
Haki ya Kunakili Hati
Mkataba wa leseni na Synopsys unamruhusu mwenye leseni kutengeneza nakala za hati kwa matumizi yake ya ndani pekee.
Kila nakala itajumuisha hakimiliki zote, alama za biashara, alama za huduma, na notisi za haki za umiliki, ikiwa zipo. Mwenye leseni lazima atoe nambari za mfuatano kwa nakala zote. Nakala hizi zitakuwa na hekaya ifuatayo kwenye ukurasa wa jalada:
"Hati hii imenakiliwa kwa idhini ya Synopsy, Inc., kwa matumizi ya kipekee ya __________________________________________________ na wafanyikazi wake. Hii ni nambari ya nakala __________."
Taarifa ya Kudhibiti Lengwa
Data yote ya kiufundi iliyo katika chapisho hili iko chini ya sheria za udhibiti wa usafirishaji nje wa Marekani. Ufichuaji kwa raia wa nchi zingine kinyume na sheria ya Marekani hauruhusiwi. Ni wajibu wa msomaji kuamua kanuni zinazotumika na kuzizingatia.
LO

© 2014 Synopsy, Inc. 2

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kanusho
SYNOPSYS, INC., NA WATOA LESENI WAKE HAWATOI DHAMANA YA AINA YOYOTE, WAZI AU INAYODIRISHWA, KUHUSIANA NA NYENZO HII, IKIWEMO, LAKINI SI KIKOMO, DHAMANA ILIYOHUSISHWA YA UUZAJI NA KUFAA KWA MADHUMUNI.
Alama za Biashara Zilizosajiliwa (®)
Synopsy, AEON, AMPS, Astro, Teknolojia ya Usanisi ya Kuchimba Tabia, Cadabra, CATS, Thibitisha, CHIPit, CoMET, CODE V, Kikusanya Ubunifu, DesignWare, EMBED-IT!, Formality, Galaxy Custom Designer, Global Synthesis, HAPS, HapsTrak, HDL Analyst, HSIM, HSPICE, Identify, METools, MAST NanoSim, NOVeA, OpenVera, ORA, PathMill, Physical Compiler, PrimeTime, SCOPE, Matokeo Bora Zaidi, SiVL, SNUG, SolvNet, Sonic Focus, STAR Memory System, Syndicated, Synplicity, nembo ya Synplicity, Synplify, Synplify Environment, UMCS SYNTHES, UMCS SYNTHESS, UMCS SYSTEMS Vera, na YIELDirector ni chapa za biashara zilizosajiliwa za Synopsys, Inc.
Alama za biashara (TM)
AFGen, Apollo, ARC, ASAP, Astro-Rail, Astro-Xtalk, Aurora, AvanWaves, BEST, Columbia, Columbia-CE, Cosmos, CosmosLE, CosmosScope, CRITIC, CustomExplorer, CustomSim, DC Expert, DC Professional, DC HD Ultra, Design Design, Analy Design, Analyzer DFTL Max Ufikiaji wa Silicon ya Moja kwa moja, Ugunduzi, Eclypse, Encore, EPIC, Galaxy, HANEX, HDL Compiler, Hercules, Teknolojia ya Uboreshaji wa Kihierarkia, Mfumo wa Utendaji wa Juu wa ASIC wa Prototyping, HSIMplus, i-Virtual Stepper, IICE, in-Sync, iN-Tandem, Intelli, Jupiter-TDP, JupiterX, JupiterX, JupiterX, SIC Uhuru, Pasipoti ya Mizani, Mkusanyaji wa Maktaba, Macro-PLUS, Magellan, Mars, Mars-Rail, Mars-Xtalk, Milkyway, ModelSource, Mkusanyaji wa Moduli, MultiPoint, ORAengineering, Mchambuzi wa Kimwili, Sayari, Sayari-PL, Polaris, Mkusanyaji wa Nguvu, Raphaxer, Miciroid, Sayari, Sayari SiWare, Star-RCXT, Star-SimXT, StarRC, System Compiler, System Designer, Taurus, TotalRecall, TSUPREM-4, VCSi, VHDL Compiler, VMC, na Worksheet Buffer ni chapa za biashara za Synopsys, Inc.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 3

Alama za Huduma (sm)
MAP-in, SVP Café, na TAP-in ni alama za huduma za Synopsys, Inc. SystemC ni chapa ya biashara ya Open SystemC Initiative na inatumika chini ya leseni. ARM na AMBA ni alama za biashara zilizosajiliwa za ARM Limited. Saber ni chapa ya biashara iliyosajiliwa ya SabreMark Limited Partnership na inatumika chini ya leseni. Majina mengine yote ya bidhaa au kampuni yanaweza kuwa alama za biashara za wamiliki husika.
Ilichapishwa nchini Marekani Oktoba 2014

© 2014 Synopsy, Inc. 4

LO
Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Yaliyomo

Sura ya 1: Utangulizi
Synopsys FPGA na Bidhaa za Kuiga. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Zana 16 za Utekelezaji za FPGA. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 Synopsy FPGA Tool Sifa . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
Upeo wa Hati. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 Seti ya Hati. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 Watazamaji. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
Kuanza. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 Kuanzisha Programu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 Kupata Msaada. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
Kiolesura cha Mtumiaji Kimepitaview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24
Sura ya 2: Mitiririko ya Usanifu wa FPGA
Mtiririko wa Usanifu wa Mantiki. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26
Sura ya 3: Kutayarisha Ingizo
Kuweka Chanzo cha HDL Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30 Kuunda Chanzo cha HDL Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30 Kutumia Kihariri cha Usaidizi cha Muktadha . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32 Kuangalia Chanzo cha HDL Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34 Kuhariri Chanzo cha HDL Files na Kihariri cha Maandishi kilichojengwa ndani . . . . . . . . . . . . . . . . . . . . 35 Kuweka Mapendeleo ya Dirisha la Kuhariri . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39 Kutumia Kihariri cha Maandishi cha Nje . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41 Kutumia Viendelezi vya Maktaba kwa Maktaba ya Verilog Files. . . . . . . . . . . . . . . . . . . . . . . 42
Kwa kutumia Chanzo cha Lugha Mchanganyiko Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44
Kutumia Kikusanyaji cha Kuongeza. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49 Mapungufu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50
Kutumia Mtiririko wa Muundo wa Verilog . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51 Mapungufu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 5

Kufanya kazi na Vizuizi Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53 Wakati wa Kutumia Kizuizi Files juu ya Msimbo wa Chanzo . . . . . . . . . . . . . . . . . . . . . . . . 53 Kutumia Kihariri Maandishi kwa Kizuizi Files (Urithi). . . . . . . . . . . . . . . . . . . . . . . . 54 Tcl Mwongozo wa Sintaksia kwa Vizuizi Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55 Kuangalia Kizuizi Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56
Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki
Kuanzisha Mradi Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58 Kuunda Mradi File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58 Kufungua Mradi Uliopo File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61 Kufanya Mabadiliko kwenye Mradi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62 Kuweka Mradi View Mapendeleo ya Kuonyesha . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63 Kusasisha Verilog Jumuisha Njia katika Mradi wa Zamani Files. . . . . . . . . . . . . . . . . . . . 65
Kusimamia Mradi File Hierarkia. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66 Kuunda Folda Maalum . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66 Kudhibiti Folda Maalum za Mradi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69 Kusimamia Desturi Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70
Kuweka Utekelezaji. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72 Kufanya kazi na Utekelezaji Nyingi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72
Kuweka Chaguzi za Utekelezaji wa Usanisi wa Mantiki . . . . . . . . . . . . . . . . . . . . . . . . . . . 75 Kuweka Chaguo za Kifaa . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75 Kuweka Chaguo za Kuboresha . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78 Kubainisha Masafa na Vizuizi vya Ulimwenguni Files. . . . . . . . . . . . . . . . . . . . . . 80 Kubainisha Chaguo za Matokeo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82 Kubainisha Tokeo la Ripoti ya Muda . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84 Kuweka Chaguo za Verilog na VHDL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84
Kubainisha Sifa na Maagizo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90 Kubainisha Sifa na Maagizo katika VHDL . . . . . . . . . . . . . . . . . . . . . . . . . . 91 Kubainisha Sifa na Maagizo katika Verilog . . . . . . . . . . . . . . . . . . . . . . . . . . 92 Kubainisha Sifa Kwa Kutumia Kihariri UPEO . . . . . . . . . . . . . . . . . . . . . . . . . 93 Kubainisha Sifa Katika Vikwazo File . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97
Inatafuta Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98 Kubainisha Files kwa Tafuta. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99 Kuchuja Files kwa Tafuta. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99 Kuanzisha Utafutaji. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100 Matokeo ya Utafutaji . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100 LO
Kuhifadhi kumbukumbu Files na Miradi. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101 Hifadhi Mradi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101 Ondoa Mradi kwenye Kumbukumbu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104

© 2014 Synopsy, Inc. 6

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Nakili Mradi. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107
Sura ya 5: Kubainisha Vikwazo
Kwa kutumia Kihariri cha UPEO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112 Kuunda Vikwazo katika Kihariri cha UPEO . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112 Kuunda Vikwazo Kwa Amri ya Kiolezo cha FDC . . . . . . . . . . . . . . . . 116
Kubainisha Vikwazo vya UPEO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119 Kuingiza na Kuhariri Vikwazo vya Mawanda. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119 Saa ya Kuweka na Vizuizi vya Njia. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121 Kufafanua Vikwazo vya Pembejeo na Pato . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122 Kubainisha Aina za Pedi za I/O za Kawaida . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124 Kwa kutumia TCL View ya UPEO GUI. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124 Miongozo ya Kuingiza na Kuhariri Vikwazo. . . . . . . . . . . . . . . . . . . . . . . . 127
Kubainisha Vighairi vya Muda . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130 Kufafanua Kutoka/Hadi/Kupitia Pointi kwa Vighairi vya Muda . . . . . . . . . . . . . . . . . 130 Kufafanua Njia za Multicycle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134 Kufafanua Njia za Uongo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135
Kupata Vipengee kwa kutumia Tcl find and expand . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136 Kubainisha Miundo ya Utafutaji kwa Tcl find . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136 Kusafisha Tcl Pata Matokeo kwa -chujio . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136 Kwa Kutumia Amri ya Tafuta Tcl Kufafanua Mikusanyiko . . . . . . . . . . . . . . . . . . . . . 138 Kwa kutumia Tcl kupanua Amri ili Kufafanua Mikusanyiko . . . . . . . . . . . . . . . . . . 140 Kuangalia Tcl kupata na kupanua Matokeo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141 Kwa kutumia Tcl tafuta na upanue katika Hali ya Kundi . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142
Kutumia Mikusanyiko . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144 Ulinganisho wa Mbinu za Kufafanua Mikusanyo . . . . . . . . . . . . . . . . . . . . . . . 144 Kuunda na Kutumia Mikusanyo ya UPEO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 145 Kuunda Mikusanyiko kwa kutumia Amri za Tcl . . . . . . . . . . . . . . . . . . . . . . . . . . . 147 Viewing na Kudhibiti Mkusanyiko kwa Maagizo ya Tcl . . . . . . . . . . . . . . . 150
Kubadilisha SDC kwa FDC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 154
Kwa kutumia SCOPE Editor (Legacy) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155 Kuingiza na Kuhariri Vikwazo vya UPEO (Urithi) . . . . . . . . . . . . . . . . . . . . . 157 Kubainisha Vikwazo vya Muda wa UPEO (Urithi) . . . . . . . . . . . . . . . . . . . . . . . 159 Kuingiza Vikwazo Chaguomsingi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159 Saa ya Kuweka na Vizuizi vya Njia. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159 Kufafanua Saa . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 162 Kufafanua Vikwazo vya Pembejeo na Pato (Urithi) . . . . . . . . . . . . . . . . . . . . . . . 169 Kufafanua Njia za Uongo (Urithi) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 7

Sura ya 6: Kuunganisha na Kuchambua Matokeo
Kuunganisha Muundo Wako. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174 Usanisi wa Mantiki wa Kuendesha . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174 Kutumia Ukaguzi wa Usasishaji wa Usimamizi wa Kazi . . . . . . . . . . . . . . . . . . . . . . 174
Inaangalia logi File Matokeo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179 Viewing na Kufanya kazi na Logi File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179 Kupata Ripoti Maalum Haraka . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183 Kupata Matokeo kwa Mbali . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 185 Kuchambua Matokeo Kwa Kutumia Bahati File Ripoti. . . . . . . . . . . . . . . . . . . . . . . . . 189 Kutumia Dirisha la Kutazama . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190 Kukagua Matumizi ya Rasilimali . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 191
Kushughulikia Ujumbe. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193 Kuangalia Matokeo katika Ujumbe Viewer. . . . . . . . . . . . . . . . . . . . . . . . . . . . 193 Kuchuja Jumbe katika Ujumbe Viewer. . . . . . . . . . . . . . . . . . . . . . . . . . . 195 Kuchuja Ujumbe kutoka kwa Mstari wa Amri . . . . . . . . . . . . . . . . . . . . . . . . . . 197 Kujiendesha Kichujio cha Ujumbe kwa Hati ya Tcl . . . . . . . . . . . . . . . . . . . . . . . . 198 kumbukumbu File Vidhibiti vya Ujumbe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Maonyo 200 ya Kushughulikia. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203
Kutumia Endelea kwenye Hitilafu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203 Kutumia Endelea kwenye Hitilafu kwa Usanisi wa Pointi . . . . . . . . . . . . . . . . . . . 203
Sura ya 7: Kuchanganua na Mchambuzi wa HDL na FSM Viewer
Kufanya kazi katika Schematic Views. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208 Kutofautisha Kati ya Mchambuzi wa HDL Views. . . . . . . . . . . . . . . . . . . . . . . . 209 Kufungua Views. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 209 Viewing Sifa za Kitu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 210 Kuchagua Vitu katika RTL/Teknolojia Views. . . . . . . . . . . . . . . . . . . . . . . 215 Kufanya kazi na Mipangilio ya Karatasi nyingi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 217 Kusonga Kati Views kwenye Dirisha la Mpangilio. . . . . . . . . . . . . . . . . . . . . . . 218 Mpangilio wa Kuweka View Mapendeleo. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 219 Kusimamia Windows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 221
Kuchunguza Daraja la Usanifu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 222 Ubora wa Muundo wa Kupitia na Kivinjari cha Utawala . . . . . . . . . . . . . . . . 222 Kuchunguza Hierarkia ya Kitu kwa Kusukuma/Kutoboa . . . . . . . . . . . . . . . . . . . . . . . 223 Kuchunguza Ubora wa Vitu vya Matukio Ya Uwazi . . . . . . . . . . . . . . . . . . . 228
Kutafuta Vitu. . . . . . . . . . . . .LO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 230 Kuvinjari ili Kupata Vipengee katika Kichambuzi cha HDL Views. . . . . . . . . . . . . . . . . . . . . . . 230 Kwa Kutumia Tafuta kwa Utafutaji wa Kidaraja na Uliozuiliwa . . . . . . . . . . . . . . . . . . . . 232 Kutumia Kadi Pori na Amri ya Tafuta . . . . . . . . . . . . . . . . . . . . . . . . . . . . 235

© 2014 Synopsy, Inc. 8

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kuchanganya Tafuta na Kuchuja ili Kuboresha Utafutaji. . . . . . . . . . . . . . . . . . . . . . 240 Kutumia Tafuta Kutafuta Orodha ya Pato . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 240
Crossprobing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 243 Crossprobing ndani ya RTL/Teknolojia View . . . . . . . . . . . . . . . . . . . . . . . . . 243 Crossprobing kutoka kwa RTL/Teknolojia View . . . . . . . . . . . . . . . . . . . . . . . . . 244 Kuchunguza kutoka kwa Dirisha la Kuhariri Maandishi . . . . . . . . . . . . . . . . . . . . . . . . . . . 246 Crossprobing kutoka kwa Dirisha la Hati ya Tcl. . . . . . . . . . . . . . . . . . . . . . . . . . . . 249 Uchunguzi mtambuka kutoka FSM Viewer. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 250
Kuchanganua Kwa Zana ya Uchambuzi wa HDL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 251 Viewing Uongozi wa Usanifu na Muktadha. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 252 Mipango ya Kuchuja . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 255 Kupanua Pin na Mantiki Wavu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 257 Kupanua na Viewing Viunganisho. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 261 Ubora wa Uongozi wa Skimu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 263 Kupunguza Matumizi ya Kumbukumbu Wakati wa Kuchanganua Miundo. . . . . . . . . . . . . . . . . . . 267
Kwa kutumia FSM Viewer. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 267
Sura ya 8: Kuchambua Muda
Kuchambua Muda katika Mpangilio Views. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 274 Viewing Taarifa za Muda. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 274 Maelezo ya Muda katika Ratiba Views. . . . . . . . . . . . . . . . . . 275 Kuchambua Miti ya Saa katika RTL View . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 277 ViewNjia Muhimu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 277 Kushughulikia Ulegevu Mbaya. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 280
Inazalisha Ripoti Maalum za Muda na STA. . . . . . . . . . . . . . . . . . . . . . . . . . . . 281
Kutumia Vikwazo vya Usanifu wa Uchambuzi. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 284 Matukio ya Kutumia Vikwazo vya Usanifu wa Uchambuzi . . . . . . . . . . . . . . . . . . . . . . 285 Kuunda ADC File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 286 Kutumia Majina ya Vitu kwa Usahihi katika adc File . . . . . . . . . . . . . . . . . . . . . . . . . 290
Kutumia Vizuizi vya Kiotomatiki. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 291 Matokeo ya Vikwazo vya Auto . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 293
Sura ya 9: Kuelekeza Vipengee vya Kiwango cha Juu
Kufafanua Sanduku Nyeusi kwa Usanisi. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 298 Kuanzisha Sanduku Nyeusi na I/Os katika Verilog . . . . . . . . . . . . . . . . . . . . . . . . . . 298 Kuanzisha Sanduku Nyeusi na I/Os katika VHDL . . . . . . . . . . . . . . . . . . . . . . . . . . 300 Kuongeza Vikwazo vya Kuweka Muda vya Sanduku Nyeusi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 302 Kuongeza Sifa Nyingine za Sanduku Nyeusi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 306

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 9

Kufafanua Mashine za Jimbo kwa Usanisi. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 307 Kufafanua Mashine za Serikali katika Verilog . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 307 Kufafanua Mashine za Serikali katika VHDL. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 308 Kubainisha FSM zenye Sifa na Maagizo . . . . . . . . . . . . . . . . . . . . . . . . 309
Kubainisha FSMs Salama . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 311
Kielelezo cha RAM kiotomatiki. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 314 Zuia RAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 314 Sifa za RAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . RAM ya Kizuizi cha 315 Inferring . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 317
Kuanzisha RAM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 323 Kuanzisha RAM katika Verilog . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 323 Kuanzisha RAM katika VHDL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 324
Sura ya 10: Kubainisha Uboreshaji wa Kiwango cha Usanifu
Vidokezo vya Uboreshaji . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Vidokezo 330 vya Uboreshaji wa Jumla . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 330 Kuboresha kwa Eneo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 331 Kuboresha kwa Muda . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 332
Kuweka upya wakati. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 334 Kudhibiti Uwekaji Muda . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 334 Kurekebisha Muda Mfample. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 336 Ripoti ya Kurudisha Muda . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 338 Jinsi Kuweka Muda Hufanya Kazi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 338
Kuhifadhi Vipengee Visiweze Kuimarishwa. . . . . . . . . . . . . . . . . . . . . . . . . . 342 Kwa kutumia syn_keep kwa Uhifadhi au Urudufishaji . . . . . . . . . . . . . . . . . . . . . . . 343 Kudhibiti Ubapa wa Hierarkia . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 346 Kuhifadhi Hierarkia . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 346
Kuboresha Fanout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 348 Kuweka Vikomo vya Fanout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 348 Kudhibiti Uakibishaji na Urudufishaji . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 350
Kugawana Rasilimali. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 352
Inaingiza I/Os . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 353
Kuboresha Mashine za Jimbo. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 354 Kuamua wakati wa Kuboresha Mashine za Serikali . . . . . . . . . . . . . . . . . . . . . . . . . . . 354 Inaendesha Kikusanyaji cha FSM LO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 355 Inaendesha Kichunguzi cha FSM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 359
Kuingiza Probes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 362

© 2014 Synopsy, Inc. 10

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kubainisha Uchunguzi katika Msimbo wa Chanzo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 362 Kuongeza Sifa za Uchunguzi kwa Mwingiliano . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 363
Sura ya 11: Kufanya kazi na Kukusanya Pointi
Kukusanya Misingi ya Pointi. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 366 Advantages ya Muundo wa Kukusanya Pointi. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 366 Alama za Kukusanya Mwongozo. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Pointi 368 Zilizokusanywa. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 369 Kukusanya Aina za Pointi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 370
Kukusanya Misingi ya Usanisi wa Pointi. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 375 Unganisha Kizuizi cha Pointi Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 375 Miundo ya Mantiki ya Kiolesura . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 378 Muda wa Kiolesura cha Kukusanya Pointi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 378 Unganisha Usanisi wa Pointi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 381 Usanisi wa Pointi za Kukusanya za Nyongeza . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 383 Ufafanuzi wa Mbele wa Kukusanya Vikwazo vya Muda wa Pointi . . . . . . . . . . . . . . . . 384
Kuunganisha Pointi za Kukusanya . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 384 Mtiririko wa Pointi wa Kukusanya Mwongozo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 385 Kuunda Vikwazo vya Kiwango cha Juu File kwa Kukusanya Pointi. . . . . . . . . . . . . . . . 388 Kufafanua Vidokezo vya Kukusanya Mwongozo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 389 Kuweka Vikwazo katika Kiwango cha Pointi cha Kukusanya . . . . . . . . . . . . . . . . . . . . . . . . 391 Kuchambua Matokeo ya Kukusanya Pointi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 393
Kutumia Alama za Kukusanya na Vipengele Vingine. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 396 Kuchanganya Alama za Kukusanya na Uchakataji Mbadala . . . . . . . . . . . . . . . . . . . . . . . 396
Kusawazisha upya kwa Kuongezeka. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 397 Kusawazisha Upya Kukusanya Pointi Kwa Kuongezeka . . . . . . . . . . . . . . . . . . . . . . . . . 397
Sura ya 12: Kufanya kazi na Ingizo la IP
Inazalisha IP kwa SYNCore . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 402 Kubainisha FIFO kwa SYNCore . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 402 Kubainisha RAM kwa SYNCore . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 408 Inabainisha RAM za Byte-Washa kwa SYNCore . . . . . . . . . . . . . . . . . . . . . . . . . 416 Inabainisha ROM zilizo na SYNCore . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 422 Kubainisha Adder/Vidondoo vyenye SYNCore . . . . . . . . . . . . . . . . . . . . . . . . . . 427 Kubainisha Vihesabu vyenye SYNCore . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 434
Mtiririko wa Usimbaji wa IP wa Synopsy FPGA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 441 Zaidiview ya Synopsys FPGA IP Flow. . . . . . . . . . . . . . . . . . . . . . . . . . . . 441 Usimbaji na Usimbuaji . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 442
Kufanya kazi na IP Iliyosimbwa. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 446

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 11

Kusimba IP yako. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 446 Kusimba kwa IP kwa Hati ya encryptP1735.pl . . . . . . . . . . . . . . . . . . . . . . . . . 448 Usimbaji wa IP kwa Hati ya IP iliyosimbwa. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 453 Kubainisha Mbinu ya Toleo la Hati . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 455 Kuandaa Kifurushi cha IP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 456
Kwa kutumia Hyper Source . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 460 Kwa Kutumia Chanzo Cha Juu kwa Utoaji Mchoro . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 460 Kwa Kutumia Chanzo Cha Juu kwa Miundo ya IP. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 460 Kusambaza Ishara Kupitia Ubora wa Ubunifu wa IP . . . . . . . . . . . . . . . 461
Sura ya 13: Kuboresha Michakato ya Uzalishaji
Kutumia Njia ya Kundi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 466 Inaendesha Batch Modi kwenye Mradi File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 466 Inaendesha Hali ya Kundi yenye Hati ya Tcl . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 467 Leseni za Kupanga foleni . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 469
Kufanya kazi na Hati na Maagizo ya Tcl . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 472 Kwa Kutumia Amri na Hati za Tcl . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 472 Kuzalisha Hati ya Kazi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 473 Kuweka Idadi ya Kazi Sambamba . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 473 Kuunda Hati ya Usanisi ya Tcl . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 475 Kutumia Vigeu vya Tcl Kujaribu Masafa ya Saa Tofauti. . . . . . . . . . . . . . . . . . 476 Kutumia Vigeu vya Tcl Kujaribu Teknolojia Kadhaa Lengwa . . . . . . . . . . . . . . . . . 478 Kuendesha Usanisi wa Chini-juu kwa Hati . . . . . . . . . . . . . . . . . . . . . . . . . . . 479
Mitiririko ya Kiotomatiki na synhooks.tcl . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 479
Sura ya 14: Kutumia Multiprocessing
Usindikaji Mingi Kwa Kukusanya Pointi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 484 Kuweka Kiwango cha Juu cha Kazi Sambamba . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 484 Matumizi ya Leseni. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 485
Sura ya 15: Kuboresha kwa Miundo ya Microsemi
Kuboresha Miundo ya Microsemi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 488 Kwa Kutumia Sanduku Nyeusi Mikrosemi Zilizofafanuliwa Awali . . . . . . . . . . . . . . . . . . . . . . . . . . . . 488 Kwa kutumia Smartgen Macros . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 489 Kufanya kazi na Radhard Designs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 489 Inabainisha syn_radhardlevel katika Msimbo Chanzo . . . . . . . . . . . . . . . . . . . . . . . 490 LO
Sura ya 16: Kufanya kazi na Pato la Mchanganyiko
Kupitisha Taarifa kwa Zana za P&R . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 494

© 2014 Synopsy, Inc. 12

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Inabainisha Maeneo ya Pini . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 494 Inabainisha Maeneo ya Bandari za Mabasi ya Microsemi . . . . . . . . . . . . . . . . . . . . . . . . . 495 Kubainisha Uwekaji wa Jumla na Daftari. . . . . . . . . . . . . . . . . . . . . . . . . . . 495
Kuzalisha Pato Maalum la Muuzaji . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 496 Kulenga Pato kwa Muuzaji Wako . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 496 Kubinafsisha Miundo ya Orodha ya Mtandao . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 497
Sura ya 17: Uendeshaji wa Shughuli za Baada ya Usanisi
Kuendesha P&R Kiotomatiki baada ya Usanisi. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 500
Kufanya kazi na Zana za Tambua. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 501 Inazinduliwa kutoka kwa Zana ya Synplify Pro . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 501 Kushughulikia Matatizo na Uzinduzi Tambua . . . . . . . . . . . . . . . . . . . . . . . . . . . 503 Kwa Kutumia Zana ya Kutambua . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 504 Kwa Kutumia Alama za Kukusanya na Zana ya Kutambua . . . . . . . . . . . . . . . . . . . . . . . . . . . 506
Kuiga kwa Zana ya VCS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 508

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 13

© 2014 Synopsy, Inc. 14

LO
Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

SURA YA 1
Utangulizi
Utangulizi huu wa programu ya Synplify Pro® unafafanua yafuatayo:
· Muhtasari wa FPGA na Bidhaa za Kuiga, kwenye ukurasa wa 16 · Wigo wa Hati, kwenye ukurasa wa 21 · Anza, kwenye ukurasa wa 22 · Kiolesura cha Mtumiaji Zaidiview, kwenye ukurasa wa 24

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 15

Sura ya 1: Utangulizi

Synopsys FPGA na Bidhaa za Kuiga

Synopsys FPGA na Bidhaa za Kuiga
Kielelezo kifuatacho kinaonyesha Synopsys FPGA na familia ya Prototyping ya bidhaa.

© 2014 Synopsy, Inc. 16

LO
Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Synopsys FPGA na Bidhaa za Kuiga

Sura ya 1: Utangulizi

Zana za Utekelezaji za FPGA
Bidhaa za Synplify Pro na Synplify Premier ni zana za usanisi za RTL zilizoundwa mahsusi kwa ajili ya FPGAs (safu za lango zinazoweza kupangwa kwenye uwanja) na CPLDs (vifaa changamano vya mantiki vinavyoweza kupangwa).

Synplify Pro Synthesis Programu
Programu ya usanisi ya Synplify Pro FPGA ndio kiwango cha tasnia halisi cha kutoa miundo ya FPGA yenye utendakazi wa juu na ya gharama nafuu. Yake ya kipekee
Algoriti za Usanisi wa Kuchimba Tabia Technology® (BORA), fanya
uboreshaji wa kiwango cha juu kabla ya kuunganisha msimbo wa RTL katika mantiki mahususi ya FPGA. Mbinu hii inaruhusu uboreshaji bora kote FPGA, nyakati za kukimbia haraka, na uwezo wa kushughulikia miundo mikubwa sana. Programu ya Synplify Pro inaauni miundo ya hivi punde ya lugha ya VHDL na Verilog ikijumuisha SystemVerilog na VHDL 2008. Zana hii haitegemei teknolojia inayoruhusu urejeshaji wa haraka na rahisi kati ya vifaa vya FPGA na wachuuzi kutoka kwa mradi mmoja wa muundo.

Synplify Premier Synthesis Software
Utendaji wa Synplify Premier ni kundi kuu la zana ya Synplify Pro, inayotoa utekelezaji wa mwisho wa FPGA na mazingira ya utatuzi. Inajumuisha safu ya kina ya zana na teknolojia kwa wabunifu wa hali ya juu wa FPGA, na pia hutumika kama injini ya usanisi ya prototipi za ASIC zinazolenga prototypes moja zenye msingi wa FPGA.
Bidhaa ya Synplify Premier inatoa wabunifu wa FPGA na vielelezo vya ASIC vinavyolenga FPGA moja na mbinu bora zaidi ya utekelezaji wa muundo na utatuzi. Kwa upande wa utekelezaji wa muundo, inajumuisha utendakazi wa kufungwa kwa muda, uthibitishaji wa mantiki, matumizi ya IP, uoanifu wa ASIC, na utekelezaji wa DSP, pamoja na ushirikiano mkali na zana za nyuma za muuzaji wa FPGA. Kwa upande wa utatuzi, hutoa uthibitishaji wa ndani wa mfumo wa FPGAs ambao huharakisha mchakato wa utatuzi, na pia inajumuisha njia ya haraka na ya ziada ya kutafuta shida za muundo.

Vipengele vya Zana ya Synopsys FPGA
Jedwali hili linatofautisha kati ya utendaji kazi mkuu katika Synplify Pro, Synplify, Synplify Premier, na Synplify Premier na bidhaa za Design Planner.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 17

Sura ya 1: Utangulizi

Synopsys FPGA na Bidhaa za Kuiga

Synplify Synplify Pro

Utendaji

Mchanganyiko wa Kuchimba Tabia

x

x

Technology® (BESTTM)

Msingi/IP Inayozalishwa na Muuzaji

x

Msaada (teknolojia fulani)

Mkusanyaji wa FSM

x

x

Kichunguzi cha FSM

x

Uongofu wa Saa Iliyofungwa

x

Usajili wa Utengenezaji wa Mabomba

x

Kurejesha Muda

x

Ingizo la Kizuizi cha SCOPE®

x

x

Vipengele vya kuegemea juu

x

Mahali-na-njia iliyounganishwa

x

x

Uchambuzi

HDL Analyst®

Chaguo

x

Kichambuzi cha Wakati

x

Point-to-point

FSM Viewer

x

Crossprobing

x

Uundaji wa Probe Point

x

Identify® Ala

x

Tambua Kitatuzi

Uchambuzi wa nguvu (SAIF)

Ubunifu wa Kimwili

Mpango wa Kubuni File

LO

Ugawaji wa mantiki kwa Mikoa

Synplify Premier
x
x
mkuzi
xx
xxxxx

Synplify Premier DP
x
x
mkuzi
xx
xxxxx
xx

© 2014 Synopsy, Inc. 18

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Synopsys FPGA na Bidhaa za Kuiga

Sura ya 1: Utangulizi

Makadirio ya Eneo na Mgawo wa Pini ya Uwezo wa Kanda Uboreshaji wa Kimwili Usanisi wa Kimwili Mchanganuzi wa Maktaba ya DesignWare® Foundation Library Runtime Muundo wa Kihierarkia Uboreshaji Uboreshaji wa Haraka Uchanganyiko wa Uchanganuzi wa Hitilafu Muundo wa Timu Muundo Mchanganyiko wa Lugha Kukusanya Pointi Muundo wa Kihierarkia Modi ya Kundi la Leseni Modi ya Kundi la Kweli Floating pekee (F) Hali ya Kundi P&R Maelezo ya Nyuma ya Uthibitishaji Rasmi wa Data ya P&R

Synplify Synplify Pro

x

xxx

x

x

x

x

Tambua Ujumuishaji

Kikomo

x

Synplify Premier
xxx
xxxx
xxx
x
x Njia ya usanisi wa mantiki x

Synplify Premier DP
x
xxxx
xxxx
xxx
x
xx modi ya usanisi wa mantiki
x

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 19

Sura ya 1: Utangulizi

Synopsys FPGA na Bidhaa za Kuiga

Ufafanuzi wa nyuma wa Kihariri cha Maandishi cha Usanifu wa Data ya P&R View Tazama Dirisha la Ujumbe wa Dirisha la Dirisha la Tcl Utekelezaji Nyingi wa Teknolojia ya Muuzaji Usaidizi wa Kuandika Kielelezo Vipengele vya Muda wa utekelezaji Kusanya Alama za Ubadilishaji wa Saa yenye Lango Hukusanya Kwenye Hitilafu.

Synplify Synplify Pro

x

x

x

x

x

x

x

x

x

Synplify Premier
xxxx Imechaguliwa
xxx

Synplify Premier DP
x
xxxx Imechaguliwa
xxx

© 2014 Synopsy, Inc. 20

LO
Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Upeo wa Hati

Sura ya 1: Utangulizi

Upeo wa Hati
Ifuatayo inaelezea upeo wa waraka huu na hadhira iliyokusudiwa.

Seti ya Hati
Mwongozo huu wa mtumiaji ni sehemu ya seti ya hati inayojumuisha mwongozo wa marejeleo na mafunzo. Imekusudiwa kutumiwa na hati zingine kwenye seti. Inalenga katika kuelezea jinsi ya kutumia programu ya Synopsys FPGA kukamilisha kazi za kawaida. Hii ina maana yafuatayo:
· Mwongozo wa mtumiaji unaeleza tu chaguo zinazohitajika kufanya kazi za kawaida
ilivyoelezwa katika mwongozo. Haielezi kila amri na chaguo linalopatikana. Kwa maelezo kamili ya chaguo zote za amri na syntax, rejelea Kiolesura cha Mtumiaji Zaidiview sura katika Mwongozo wa Marejeleo wa Synopsy FPGA Synthesis.
· Mwongozo wa mtumiaji una habari inayotegemea kazi. Kwa uchanganuzi wa
jinsi habari inavyopangwa, ona Kupata Usaidizi, kwenye ukurasa wa 22.

Hadhira
Zana ya programu ya Synplify Pro inalengwa kwa msanidi wa mfumo wa FPGA. Inachukuliwa kuwa una ufahamu juu ya yafuatayo:
· Usanisi wa muundo · RTL · FPGAs · Verilog/VHDL

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 21

Sura ya 1: Utangulizi

Kuanza

Kuanza
Sehemu hii inakuonyesha jinsi ya kuanza kutumia Synopsys FPGA synthesis software. Inafafanua mada zifuatazo, lakini haichukui nafasi ya maelezo katika maagizo ya usakinishaji kuhusu utoaji leseni na usakinishaji:
· Kuanzisha Programu, kwenye ukurasa wa 22 · Kupata Usaidizi, kwenye ukurasa wa 22

Kuanzisha Programu
1. Ikiwa bado hujafanya hivyo, sakinisha programu ya usanisi ya Synopsys FPGA kulingana na maagizo ya usakinishaji.
2. Anzisha programu.
Ikiwa unafanya kazi kwenye jukwaa la Windows, chagua
Programs-> Synopsy->toleo la bidhaa kutoka kwa kitufe cha Anza.
Ikiwa unafanya kazi kwenye jukwaa la UNIX, chapa inayofaa
amri kwenye mstari wa amri:
synplify_pro
· Amri inaanza zana ya usanisi, na kufungua dirisha la Mradi. Kama
umeendesha programu hapo awali, dirisha linaonyesha mradi uliopita. Kwa habari zaidi kuhusu kiolesura, angalia Kiolesura cha Mtumiaji Kimezidiview sura ya Mwongozo wa Marejeleo.

Kupata Msaada
Kabla ya kupiga simu Usaidizi wa Synopsy, angalia habari iliyorekodiwa. Unaweza kufikia maelezo mtandaoni kutoka kwa menyu ya Usaidizi, au kurejelea toleo la PDF. Jedwali lifuatalo linakuonyesha jinsi habari inavyopangwa.

LO

© 2014 Synopsy, Inc. 22

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kuanza
Kwa usaidizi wa… Kwa kutumia vipengele vya programu Jinsi ya...
Habari za mtiririko
Ujumbe wa hitilafu Utoaji wa Leseni Sifa na maagizo Vipengele vya usanisi Lugha na sintaksia Tcl amri za usanisi za Tcl Masasisho ya bidhaa

Sura ya 1: Utangulizi
Rejelea… Muhtasari wa Mwongozo wa Mtumiaji wa FPGA Muswada Synopsy Mwongozo wa Mtumiaji wa Usanisi wa FPGA, vidokezo vya programu kuhusu usaidizi. web tovuti Synopsys FPGA Synthesis User Guide, maelezo ya maombi kwenye usaidizi web tovuti Usaidizi wa mtandaoni (chagua Msaada->Ujumbe wa Hitilafu) Synopsy SolvNet Website Synopsys FPGA Synthesis Reference Manual Synopsys FPGA Synthesis Reference Manual Synopsys FPGA Synthesis Reference Manual Msaada wa mtandaoni (chagua Msaada->Tcl Msaada) Synopsys FPGA Synthesis Reference Manual Synopsys FPGA Synthesis Reference Manual (Web amri za menyu)

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 23

Sura ya 1: Utangulizi

Kiolesura cha Mtumiaji Kimepitaview

Kiolesura cha Mtumiaji Kimepitaview
Kiolesura cha mtumiaji (UI) kina dirisha kuu, linaloitwa Mradi view, na madirisha maalumu au views kwa kazi tofauti. Kwa maelezo kuhusu kila moja ya vipengele, angalia Sura ya 2, Kiolesura cha Mtumiaji Kilichozidiview ya Synopsys FPGA Synthesis Reference Manual.

Synplify Pro Interface

Jopo la Kitufe

Mradi wa Toolbar view

Hali

Matokeo ya Utekelezaji view

Vichupo vya kufikia views

Tcl Script/Messages Dirisha LO

Dirisha la Kutazama

© 2014 Synopsy, Inc. 24

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

SURA YA 2
Mitiririko ya Usanifu wa FPGA
Sura hii inaelezea Mtiririko wa Usanifu wa Mantiki, kwenye ukurasa wa 26.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 25

Sura ya 2: Mitiririko ya Usanifu wa FPGA

Mtiririko wa Usanifu wa Mantiki

Mtiririko wa Usanifu wa Mantiki

Zana za Synopsy FPGA huunganisha mantiki kwa kukusanya kwanza chanzo cha RTL katika miundo ya mantiki inayotegemea teknolojia, na kisha kuboresha na kupanga mantiki kwa rasilimali mahususi za teknolojia. Baada ya usanisi wa kimantiki, zana hutoa orodha ya wavu na kizuizi maalum kwa muuzaji. file ambayo unaweza kutumia kama maingizo kwa zana ya mahali-na-njia (P&R).
Kielelezo kifuatacho kinaonyesha awamu na zana zinazotumika kwa usanisi wa mantiki na baadhi ya michango na matokeo kuu. Unaweza kutumia programu ya usanisi ya Synplify Pro kwa mtiririko huu. Uchanganuzi shirikishi wa wakati ni wa hiari. Ingawa mtiririko unaonyesha kizuizi cha muuzaji files kama pembejeo za moja kwa moja kwa zana ya P&R, unapaswa kuongeza hizi files kwa mradi wa usanisi wa kuweka saa kwa visanduku vyeusi.

Chombo cha Synopsy FPGA

RTL

Mkusanyiko wa RTL

FDC

Mchanganyiko wa Mantiki

Orodha ya neti iliyosanisi vikwazo Vikwazo vya Wachuuzi
Zana ya Muuzaji
Mahali na Njia

Utaratibu wa Usanisi wa Mantiki

Kwa mtiririko wa kubuni na maagizo ya hatua kwa hatua kulingana na muundo maalum

data, pakua mafunzo kutoka kwa webtovuti. Hatua zifuatazo ni muhtasari

utaratibu wa kuunganisha muundo, ambao pia umeonyeshwa katika

takwimu inayofuata.

LO

1. Unda mradi.

2. Ongeza chanzo files kwa mradi.

© 2014 Synopsy, Inc. 26

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Mtiririko wa Usanifu wa Mantiki

Sura ya 2: Mitiririko ya Usanifu wa FPGA

3. Weka sifa na vikwazo kwa ajili ya kubuni.
4. Weka chaguo za utekelezaji katika kisanduku cha mazungumzo cha Chaguo za Utekelezaji.
5. Bofya Run ili kuendesha usanisi wa mantiki.
6. Changanua matokeo, ukitumia zana kama logi file, mpangilio wa Mchambuzi wa HDL views, dirisha la Ujumbe na Dirisha la Kutazama.
Baada ya kukamilisha muundo, unaweza kutumia pato files kuendesha mahali-na-njia na zana ya muuzaji na kutekeleza FPGA.
Kielelezo kifuatacho kinaorodhesha hatua kuu za mtiririko:

Unda Mradi
Ongeza Chanzo Files
Weka Vikwazo
Weka Chaguo
Endesha Programu
Je, ungependa kuchambua Matokeo Hakuna Malengo Yaliyofikiwa?
Ndiyo Mahali na Njia

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 27

Sura ya 2: Mitiririko ya Usanifu wa FPGA

Mtiririko wa Usanifu wa Mantiki

© 2014 Synopsy, Inc. 28

LO
Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

SURA YA 3
Kuandaa Ingizo
Unapounganisha muundo, unahitaji kusanidi aina mbili za files: HDL filezinazoelezea muundo wako, na mradi files kusimamia muundo. Sura hii inaelezea taratibu za kuweka haya files na mradi. Inashughulikia yafuatayo:
· Kuweka Chanzo cha HDL Files, ukurasa wa 30 · Kutumia Chanzo cha Lugha Mchanganyiko Files, kwenye ukurasa wa 44 · Kutumia Kikusanyaji cha Ziada, kwenye ukurasa wa 49 · Kutumia Mtiririko wa Kiufundi wa Verilog, kwenye ukurasa wa 51 · Kufanya kazi kwa Vikwazo. Files, ukurasa wa 53

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 29

Sura ya 3: Kutayarisha Ingizo

Kuweka Chanzo cha HDL Files

Kuweka Chanzo cha HDL Files
Sehemu hii inaelezea jinsi ya kusanidi chanzo chako files; mradi file usanidi umeelezewa katika Mradi wa Kuweka Files, kwenye ukurasa wa 58. Chanzo files inaweza kuwa katika Verilog au VHDL. Kwa habari juu ya muundo wa files kwa usanisi, rejelea Mwongozo wa Marejeleo. Sehemu hii inajadili mada zifuatazo:
· Kuunda Chanzo cha HDL Files, kwenye ukurasa wa 30 · Kutumia Kihariri cha Usaidizi cha Muktadha, kwenye ukurasa wa 32 · Kuangalia Chanzo cha HDL Files, kwenye ukurasa wa 34 · Kuhariri Chanzo cha HDL Files na Kihariri cha Maandishi kilichojengwa ndani, kwenye ukurasa wa 35 · Kwa kutumia Kihariri cha Maandishi cha Nje, kwenye ukurasa wa 41 · Kuweka Mapendeleo ya Dirisha la Kuhariri, kwenye ukurasa wa 39 · Kutumia Viendelezi vya Maktaba kwa Maktaba ya Verilog Files, ukurasa wa 42

Inaunda Chanzo cha HDL Files
Sehemu hii inaeleza jinsi ya kutumia kihariri maandishi kilichojengewa ndani kuunda chanzo files, lakini haiingii katika maelezo ya nini files vyenye. Kwa maelezo ya kile unachoweza na usichoweza kujumuisha, pamoja na maelezo mahususi ya muuzaji, angalia Mwongozo wa Marejeleo. Ikiwa tayari unayo chanzo files, unaweza kutumia kihariri cha maandishi kuangalia syntax au kuhariri file (angalia Kuangalia Chanzo cha HDL Files, kwenye ukurasa wa 34 na Kuhariri Chanzo cha HDL Files na Kihariri cha Maandishi kilichojengwa ndani, kwenye ukurasa wa 35).
Unaweza kutumia Verilog au VHDL kwa chanzo chako files. The files zina v (Verilog) au vhd (VHDL) file upanuzi, kwa mtiririko huo. Unaweza kutumia Verilog na VHDL files katika muundo sawa. Kwa habari kuhusu kutumia mchanganyiko wa pembejeo ya Verilog na VHDL files, angalia Kutumia Chanzo cha Lugha Mchanganyiko Files, ukurasa wa 44.
1. Kuunda chanzo kipya file ama bonyeza HDL file icon ( ) au fanya yafuatayo:
Chagua File->Mpya au bonyeza Ctrl-n.
Katika sanduku la mazungumzo Mpya, chagua aina ya chanzo file unataka kuunda,
Verilog au VHDL. NotLeOkwamba unaweza kutumia Kihariri cha Usaidizi cha Muktadha kwa miundo ya Verilog ambayo ina muundo wa SystemVerilog kwenye chanzo

© 2014 Synopsy, Inc. 30

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kuweka Chanzo cha HDL Files

Sura ya 3: Kutayarisha Ingizo

file. Kwa habari zaidi, angalia Kutumia Kihariri cha Usaidizi cha Muktadha, kwenye ukurasa wa 32.
Ikiwa unatumia umbizo la Verilog 2001 au SystemVerilog, hakikisha kuwa umewasha chaguo la Verilog 2001 au System Verilog kabla ya kutekeleza usanisi (Mradi->Chaguo za Utekelezaji-> kichupo cha Verilog). Verilog chaguo-msingi file umbizo la miradi mipya ni SystemVerilog.

Andika jina na eneo la file na Bonyeza Sawa. Uhariri tupu
dirisha linafungua na nambari za mstari upande wa kushoto.
2. Andika maelezo ya chanzo kwenye dirisha, au kata na ubandike. Angalia Kuhariri Chanzo cha HDL Files na Kihariri cha Maandishi kilichojengwa ndani, kwenye ukurasa wa 35 kwa habari zaidi juu ya kufanya kazi katika dirisha la Kuhariri.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 31

Sura ya 3: Kutayarisha Ingizo

Kuweka Chanzo cha HDL Files

Kwa matokeo bora ya usanisi, angalia Mwongozo wa Marejeleo na uhakikishe kuwa unatumia miundo inayopatikana na sifa na maagizo mahususi ya muuzaji kwa ufanisi.
3. Hifadhi faili ya file kwa kuchagua File->Hifadhi au ikoni ya Hifadhi ( ).
Mara baada ya kuunda chanzo file, unaweza kuangalia kuwa una syntax sahihi, kama ilivyoelezwa katika Kuangalia Chanzo cha HDL Files, ukurasa wa 34.

Kwa kutumia Kihariri cha Usaidizi cha Muktadha
Unapounda au kufungua muundo wa Verilog file, tumia kitufe cha Usaidizi wa Muktadha kilichoonyeshwa chini ya dirisha ili kukusaidia kuweka msimbo na miundo ya Verilog/SystemVerilog kwenye chanzo file au amri za kizuizi cha Tcl kwenye Tcl yako file.
Ili kutumia Kihariri cha Usaidizi cha Muktadha:
1. Bofya kitufe cha Usaidizi wa Muktadha ili kuonyesha kihariri hiki cha maandishi.

© 2014 Synopsy, Inc. 32

LO
Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kuweka Chanzo cha HDL Files

Sura ya 3: Kutayarisha Ingizo

2. Unapochagua muundo katika upande wa kushoto wa dirisha, maelezo ya usaidizi ya mtandaoni ya ujenzi yanaonyeshwa. Ikiwa muundo uliochaguliwa umewashwa kipengele hiki, mada ya usaidizi mtandaoni itaonyeshwa juu ya dirisha na msimbo wa jumla au kiolezo cha amri cha muundo huo kitaonyeshwa chini.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 33

Sura ya 3: Kutayarisha Ingizo

Kuweka Chanzo cha HDL Files

3. Kitufe cha Chomeka Kiolezo pia kimewashwa. Unapobofya kitufe cha Chomeka Kiolezo, msimbo au amri iliyoonyeshwa kwenye kidirisha cha kiolezo huingizwa kwenye yako file kwenye eneo la mshale. Hii hukuruhusu kuingiza msimbo au amri kwa urahisi na kuirekebisha kwa muundo ambao utasanikisha.
4. Ikiwa ungependa kunakili sehemu pekee za kiolezo, chagua msimbo au amri unayotaka kuingiza na ubofye Nakili. Kisha unaweza kuibandika kwenye yako file.

Inaangalia Chanzo cha HDL Files

Programu hukagua chanzo chako cha HDL kiotomatiki files inapozikusanya, lakini ikiwa unataka kuangalia msimbo wako wa chanzo kabla ya usanisi, tumia utaratibu ufuatao. Kuna aina mbili za ukaguzi unaofanya katika programu ya usanisi: sintaksia na usanisi.

1. Chagua chanzo fileunataka kuangalia.
Ili kuangalia chanzo yote files katika mradi, acha kuchagua zote files katika
orodha ya mradi, na hakikisha kuwa hakuna moja ya files zimefunguliwa kwenye dirisha linalotumika. Ikiwa una chanzo kinachotumika file, programu hukagua amilifu pekee file.
Ili kuangalia moja file, fungua file na File->Fungua au bofya mara mbili
file kwenye dirisha la Mradi. Ikiwa unayo zaidi ya moja file fungua na unataka kuangalia moja tu kati yao, weka mshale wako kwenye mwafaka file dirisha ili kuhakikisha kuwa ni dirisha linalotumika.

2. Kuangalia sintaksia, chagua Endesha->Kagua Sintaksia au bonyeza Shift+F7.

Programu hutambua makosa ya sintaksia kama vile manenomsingi na uakifishaji sahihi na kuripoti makosa yoyote katika logi tofauti. file (syntax.log). Ikiwa hakuna makosa yamegunduliwa, ukaguzi wa sintaksia uliofaulu unaripotiwa chini ya hii file.

3. Kuendesha ukaguzi wa usanisi, chagua Endesha->Kagua Usanisi au bonyeza Shift+F8.

Programu hutambua makosa yanayohusiana na maunzi kama vile kuweka msimbo usio sahihi

flip-flops na kuripoti makosa yoyote katika logi tofauti file (syntax.log). Ikiwa huko

hakuna makosa, ukaguzi wa syntax uliofaulu umeripotiwa chini ya hii

file.

LO

4. chekaview makosa kwa kufungua syntax.log file unapoombwa na utumie Tafuta kupata ujumbe wa hitilafu (tafuta @E). Bonyeza mara mbili kwenye

© 2014 Synopsy, Inc. 34

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kuweka Chanzo cha HDL Files

Sura ya 3: Kutayarisha Ingizo

Msimbo wa hitilafu wa herufi 5 au ubofye maandishi ya ujumbe na ubonyeze F1 ili kuonyesha usaidizi wa ujumbe wa makosa mtandaoni.
5. Tafuta sehemu ya msimbo inayohusika na kosa kwa kubofya mara mbili maandishi ya ujumbe katika syntax.log file. Dirisha la Mhariri wa Maandishi hufungua chanzo sahihi file na kuangazia msimbo uliosababisha kosa.
6. Rudia hatua ya 4 na 5 hadi makosa yote ya sintaksia na usanisi yarekebishwe.
Ujumbe unaweza kuainishwa kama hitilafu, maonyo au madokezo. Review ujumbe wote na kutatua makosa yoyote. Maonyo si mazito kuliko makosa, lakini lazima uyasome na kuyaelewa hata kama hutayatatua yote. Vidokezo ni vya habari na havihitaji kutatuliwa.

Kuhariri Chanzo cha HDL Files na Kihariri cha Maandishi kilichojengwa ndani
Kihariri cha maandishi kilichojengewa ndani hurahisisha kuunda msimbo wako wa chanzo wa HDL, view yake, au uihariri unapohitaji kurekebisha hitilafu. Ikiwa unataka kutumia kihariri cha maandishi cha nje, angalia Kutumia Kihariri cha Maandishi cha Nje, kwenye ukurasa wa 41.
1. Fanya moja kati ya yafuatayo ili kufungua chanzo file kwa viewkuhariri au kuhariri:
Ili kufungua kiotomatiki ya kwanza file kwenye orodha iliyo na makosa, bonyeza F5.
Ili kufungua maalum file, bonyeza mara mbili file kwenye dirisha la Mradi au
kutumia File->Fungua (Ctrl-o) na ueleze chanzo file.
Dirisha la Mhariri wa Maandishi hufungua na kuonyesha chanzo file. Mistari imehesabiwa. Maneno muhimu yana rangi ya samawati, na maoni kwa kijani kibichi. Thamani za mfuatano ziko katika rangi nyekundu. Ikiwa ungependa kubadilisha rangi hizi, angalia Kuweka Mapendeleo ya Dirisha la Kuhariri, kwenye ukurasa wa 39.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 35

Sura ya 3: Kutayarisha Ingizo

Kuweka Chanzo cha HDL Files

2. Kuhariri a file, chapa moja kwa moja kwenye dirisha.
Jedwali hili linatoa muhtasari wa shughuli za kawaida za uhariri unazoweza kutumia. Unaweza pia kutumia njia za mkato za kibodi badala ya amri.

Kwa…

Fanya...

Kata, nakala, na ubandike; Chagua amri kutoka kwa kidirisha ibukizi (shikilia chini kutendua, au rudia kitendo kitufe cha kulia cha kipanya) au menyu ya Hariri.

Nenda kwenye mstari maalum

Bonyeza Ctrl-g au chagua Hariri-> Nenda Kwa, chapa nambari ya laini, na ubofye Sawa.

Tafuta maandishi

Bonyeza Ctrl-f au chagua Hariri -> Tafuta. Andika maandishi unayotaka kupata, na ubofye Sawa.

Badilisha maandishi

Bonyeza Ctrl-h au chagua Hariri-> Badilisha. Andika maandishi unayotaka kupata, na maandishi unayotaka kuyabadilisha. Bofya Sawa.

Kamilisha neno kuu

Charaza vibambo vya kutosha ili kutambua nenomsingi kwa njia ya kipekee, na ubonyeze Esc.

Weka maandishi upande wa kulia Chagua kizuizi, na ubonyeze Tab. Ingiza maandishi upande wa kushoto LSOChagua kizuizi, na ubonyeze Shift-Tab.

Badilisha hadi herufi kubwa Chagua maandishi, na kisha uchague Hariri->Advanced -> Herufi kubwa au bonyeza Ctrl-Shift-u.

© 2014 Synopsy, Inc. 36

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kuweka Chanzo cha HDL Files

Sura ya 3: Kutayarisha Ingizo

Kwa… Badilisha hadi herufi ndogo Ongeza maoni ya kuzuia
Badilisha safu wima

Fanya...
Chagua maandishi, na kisha uchague Hariri-> Advanced -> Herufi ndogo au bonyeza Ctrl-u.
Weka kishale mwanzoni mwa maandishi ya maoni, na uchague Hariri-> Advanced-> Msimbo wa Maoni au bonyeza Alt-c.
Bonyeza Alt, na utumie kitufe cha kushoto cha kipanya ili kuchagua safu. Kwenye baadhi ya mifumo, lazima utumie ufunguo ambao utendakazi wa Alt umechorwa, kama vile kitufe cha Meta au almasi.

3. Ili kukata na kubandika sehemu ya hati ya PDF, chagua ikoni ya Teua Maandishi yenye umbo la T, onyesha maandishi unayohitaji na uyanakili na ubandike kwenye yako. file. Aikoni ya Chagua Maandishi hukuwezesha kuchagua sehemu za hati.
4. Kuunda na kufanya kazi na alamisho kwenye yako file, tazama jedwali lifuatalo.
Alamisho ni njia rahisi ya kusafiri kwa muda mrefu files au kuruka hadi pointi katika msimbo unaorejelea mara kwa mara. Unaweza kutumia aikoni kwenye upau wa vidhibiti wa Hariri kwa shughuli hizi. Ikiwa huwezi kuona upau wa vidhibiti ulio upande wa kulia wa dirisha lako, rekebisha ukubwa wa upau wa vidhibiti vingine.

Ili… Weka alamisho
Futa alamisho
Futa alamisho zote

Fanya...
Bofya popote kwenye mstari unaotaka kualamisha. Chagua Hariri-> Geuza Alamisho, bonyeza Ctrl-F2, au chagua ikoni ya kwanza kwenye upau wa vidhibiti. Nambari ya mstari imeangaziwa ili kuonyesha kuwa kuna alamisho mwanzoni mwa mstari huo.
Bofya popote kwenye mstari na alamisho. Chagua Hariri-> Geuza Alamisho, bonyeza Ctrl-F2, au chagua ikoni ya kwanza kwenye upau wa vidhibiti. Nambari ya mstari haitaangaziwa tena baada ya alamisho kufutwa.
Chagua Hariri->Futa Alamisho zote, bonyeza Ctrl-Shift-F2, au chagua ikoni ya mwisho kwenye upau wa vidhibiti. Nambari za mstari haziangaziwa tena baada ya alamisho kufutwa.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 37

Sura ya 3: Kutayarisha Ingizo

Kuweka Chanzo cha HDL Files

Kwa…
Abiri a file kwa kutumia vialamisho

Fanya...
Tumia Alamisho Inayofuata (F2) na Alamisho Iliyotangulia (Shift-F2) kutoka kwa menyu ya Hariri au aikoni zinazolingana kutoka kwa upau wa vidhibiti ili kusogeza hadi kwenye alamisho unayotaka.

5. Kurekebisha makosa au review maonyo katika msimbo wa chanzo, fanya yafuatayo:
Fungua HDL file na hitilafu au onyo kwa kubofya mara mbili kwenye file
katika orodha ya mradi.
Bonyeza F5 ili kwenda kwa hitilafu ya kwanza, onyo, au dokezo kwenye faili ya file. Katika
chini ya dirisha la Kuhariri, unaona maandishi ya ujumbe.
Ili kwenda kwenye hitilafu inayofuata, onyo, au dokezo, chagua Endesha-> Hitilafu/Tahadhari Inayofuata
au bonyeza F5. Ikiwa hakuna ujumbe zaidi kwenye faili ya file, unaona ujumbe "Hakuna Hitilafu/Maonyo/Vidokezo Tena" chini ya dirisha la Kuhariri. Chagua Run-> Hitilafu/Tahadhari Inayofuata au bonyeza F5 ili kwenda kwenye hitilafu, onyo, au dokezo katika inayofuata. file.
Ili kurudi kwenye hitilafu, onyo au dokezo lililotangulia, chagua
Endesha->Hitilafu/Tahadhari Iliyotangulia au bonyeza Shift-F5.
6. Kuleta usaidizi wa ujumbe wa makosa kwa maelezo kamili ya hitilafu, onyo au dokezo:
Fungua logi ya umbizo la maandishi file (bofya View Ingia) na bonyeza mara mbili
msimbo wa makosa ya herufi 5 au ubofye maandishi ya ujumbe na ubonyeze F1.
Fungua kumbukumbu ya HTML file na ubofye msimbo wa hitilafu wa herufi 5.
Katika dirisha la Tcl, bofya kichupo cha Messages na ubofye kwenye herufi 5
msimbo wa hitilafu katika safu wima ya kitambulisho.
7. Kuchunguza kutoka kwa dirisha la msimbo wa chanzo hadi nyingine views, fungua view na uchague kipande cha msimbo. Tazama Crossprobing kutoka Dirisha la Kuhariri Maandishi, kwenye ukurasa wa 246 kwa maelezo.
8. Ukisharekebisha makosa yote, chagua File->Hifadhi au ubofye ikoni ya Hifadhi ili kuhifadhi file.

LO

© 2014 Synopsy, Inc. 38

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kuweka Chanzo cha HDL Files

Sura ya 3: Kutayarisha Ingizo

Kuweka Mapendeleo ya Dirisha la Kuhariri
Unaweza kubinafsisha fonti na rangi zinazotumiwa kwenye dirisha la Kuhariri Maandishi.
1. Chagua Chaguzi-> Chaguzi za Kihariri na ama Kihariri cha Synopsys au Kihariri cha Nje. Kwa habari zaidi kuhusu kihariri cha nje, angalia Kutumia Kihariri cha Maandishi cha Nje, kwenye ukurasa wa 41.
2. Kisha kulingana na aina ya file ukifungua, unaweza kuweka mandharinyuma, rangi ya sintaksia, na mapendeleo ya fonti ya kutumia na kihariri cha maandishi.

Kumbuka: Baadaye, mapendeleo ya uhariri wa maandishi uliyoweka kwa hili file itatumika kwa wote fileya hii file aina.

Dirisha la Kuhariri Maandishi linaweza kutumika kuweka mapendeleo ya mradi files, chanzo files (Verilog/VHDL), logi files, Tcl files, kizuizi files, au chaguo-msingi nyingine files kutoka kwa kisanduku cha mazungumzo cha Chaguzi za Mhariri.
3. Unaweza kuweka rangi za sintaksia kwa baadhi ya chaguo za kawaida za sintaksia, kama vile maneno, mifuatano, na maoni. Kwa mfanoample kwenye logi file, maonyo na makosa yanaweza kuwekewa msimbo wa rangi ili kutambulika kwa urahisi.
Bofya katika uga wa Mandharinyuma au Usuli kwa kitu sambamba katika uga wa Uchoraji wa Sintaksia ili kuonyesha ubao wa rangi.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 39

Sura ya 3: Kutayarisha Ingizo

Kuweka Chanzo cha HDL Files

Unaweza kuchagua rangi msingi au kufafanua rangi maalum na kuziongeza kwenye ubao wa rangi maalum. Ili kuchagua rangi unayotaka, bofya Sawa.
4. Kuweka fonti na saizi ya fonti kwa kihariri cha maandishi, tumia menyu ya kuvuta chini.
5. Angalia Weka Vichupo ili kuwezesha mipangilio ya kichupo, kisha weka nafasi ya kichupo kwa kutumia kishale cha juu au chini kwa Ukubwa wa Kichupo.

LO 6. Bonyeza Sawa kwenye fomu ya Chaguzi za Mhariri.
© 2014 Synopsy, Inc. 40

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kuweka Chanzo cha HDL Files

Sura ya 3: Kutayarisha Ingizo

Kutumia Kihariri cha Maandishi cha Nje
Unaweza kutumia kihariri cha maandishi cha nje kama vi au emacs badala ya kihariri cha maandishi kilichojengewa ndani. Fanya yafuatayo ili kuwezesha kihariri maandishi cha nje. Kwa habari kuhusu kutumia kihariri cha maandishi kilichojengewa ndani, angalia Kuhariri Chanzo cha HDL Files na Kihariri cha Maandishi kilichojengwa ndani, kwenye ukurasa wa 35.
1. Chagua Chaguzi-> Chaguzi za Kihariri na uwashe chaguo la Kihariri cha Nje.
2. Chagua mhariri wa nje, kwa kutumia njia inayofaa kwa mfumo wako wa uendeshaji.
Ikiwa unafanya kazi kwenye jukwaa la Windows, bofya kitufe cha ...(Vinjari).
na uchague kihariri cha maandishi cha nje kinachoweza kutekelezeka.
Kutoka kwa jukwaa la UNIX au Linux kwa kihariri cha maandishi kinachounda yake mwenyewe
dirisha, bofya kitufe cha ... Vinjari na uchague kihariri cha maandishi cha nje kinachoweza kutekelezeka.
Kutoka kwa jukwaa la UNIX kwa mhariri wa maandishi ambayo haiunda yake mwenyewe
dirisha, usitumie ... Kitufe cha Vinjari. Badala yake chapa xterm -e mhariri. Kielelezo kifuatacho kinaonyesha VI iliyobainishwa kama kihariri cha nje.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 41

Sura ya 3: Kutayarisha Ingizo

Kuweka Chanzo cha HDL Files

Kutoka kwa jukwaa la Linux, kwa mhariri wa maandishi ambayo haiunda yake mwenyewe
dirisha, usitumie ... Kitufe cha Vinjari. Badala yake, chapa gnome-terminal -x mhariri. Kutumia emacs kwa mfanoample, chapa gnome-terminal -x emacs.
Programu imejaribiwa na emacs na vihariri vya maandishi.
3. Bonyeza Sawa.

Kutumia Viendelezi vya Maktaba kwa Maktaba ya Verilog Files
Viendelezi vya maktaba vinaweza kuongezwa kwenye maktaba ya Verilog fileimejumuishwa katika muundo wako wa mradi. Unapotoa njia za utafutaji kwenye saraka ambazo zina maktaba ya Verilog files, unaweza kubainisha viendelezi hivi vipya vya maktaba pamoja na Verilog na SystemVerilog (.v na .sv) file viendelezi.
Ili kufanya hivi:
1. Chagua kichupo cha Verilog cha paneli ya Chaguzi za Utekelezaji.
2. Bainisha maeneo ya Saraka za Maktaba za maktaba ya Verilog files kujumuishwa katika muundo wako wa mradi.
3. Bainisha Viendelezi vya Maktaba.
Viendelezi vyovyote vya maktaba vinaweza kubainishwa, kama vile .av, .bv, .cv, .xxx, .va, .vas (tenganisha viendelezi vya maktaba kwa nafasi).
Kielelezo kifuatacho kinakuonyesha mahali pa kuingiza upanuzi wa maktaba kwenye sanduku la mazungumzo.

© 2014 Synopsy, Inc. 42

LO
Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kuweka Chanzo cha HDL Files

Sura ya 3: Kutayarisha Ingizo

Tcl sawa kwa example ni amri ifuatayo:
set_option -libext .av .bv .cv .dv .ev
Kwa maelezo, ona libext, kwenye ukurasa wa 57 kwenye Rejea ya Amri.
4. Baada ya kukusanya muundo, unaweza kuthibitisha kwenye logi file hiyo maktaba files na viendelezi hivi vilipakiwa na kusomwa. Kwa mfanoample:
@N: Inaendesha Kikusanyaji cha Verilog katika hali ya SystemVerilog @I::“C:dirtop.v” @N: CG1180 :”C:dirtop.v”:8:0:8:3|Inapakia file C:dirlib1sub1.av kutoka saraka maalum ya maktaba C:dirlib1 @I::”C:dirlib1sub1.av” @N: CG1180 :”C:dirtop.v”:10:0:10:3|Inapakia file C:dirlib2sub2.bv kutoka saraka maalum ya maktaba C:dirlib2 @I::”C:dirlib2sub2.bv” @N: CG1180 :”C:dirtop.v”:12:0:12:3|Inapakia file

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 43

Sura ya 3: Kutayarisha Ingizo

Kwa kutumia Chanzo cha Lugha Mchanganyiko Files

C:dirlib3sub3.cv kutoka saraka maalum ya maktaba C:dirlib3 @I::”C:dirlib3sub3.cv” @N: CG1180 :”C:dirtop.v”:14:0:14:3|Inapakia file C:dirlib4sub4.dv kutoka saraka maalum ya maktaba C:dirlib4 @I::”C:dirlib4sub4.dv” @N: CG1180 :”C:dirtop.v”:16:0:16:3|Inapakia file C:dirlib5sub5.ev kutoka saraka maalum ya maktaba C:dirlib5 @I::"C:dirlib5sub5.ev" Ukaguzi wa syntax ya Verilog umefaulu!

Kwa kutumia Chanzo cha Lugha Mchanganyiko Files
Ukiwa na programu ya Synplify Pro, unaweza kutumia mchanganyiko wa uingizaji wa VHDL na Verilog files katika mradi wako. Kwa mfanoampchini ya VHDL na Verilog files, angalia Mwongozo wa Marejeleo.
1. Kumbuka kwamba Verilog haitumii milango ya VHDL isiyodhibitiwa na kusanidi muundo wa lugha mchanganyiko files ipasavyo.
2. Ikiwa unataka kupanga Verilog na VHDL files kwenye folda tofauti, chagua Chaguzi-> Mradi View Chaguzi na kugeuza kwenye View Mradi Files katika chaguo la Folda.
Unapoongeza files kwa mradi, Verilog na VHDL files ziko kwenye folda tofauti kwenye Mradi view.
3. Unapofungua mradi au kuunda mpya, ongeza Verilog na VHDL files kama ifuatavyo:
Chagua Mradi-> Ongeza Chanzo File amri au bofya Ongeza File kitufe. Kwenye fomu, weka Files ya Aina hadi HDL Files (*.vhd, *.vhdl, *.v). Chagua Verilog na VHDL files unataka na kuongeza yao
mradi. Bofya Sawa. Kwa maelezo ya kuongeza files kwa mradi, angalia Kufanya Mabadiliko kwa Mradi, kwenye ukurasa wa 62.
LO

© 2014 Synopsy, Inc. 44

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kwa kutumia Chanzo cha Lugha Mchanganyiko Files

Sura ya 3: Kutayarisha Ingizo

The fileulizoongeza zinaonyeshwa kwenye Mradi view. Takwimu hii inaonyesha files kupangwa katika folda tofauti.
4. Unapoweka chaguo za kifaa (kitufe cha Chaguzi za Utekelezaji), taja moduli ya kiwango cha juu. Kwa habari zaidi kuhusu kuweka chaguo za kifaa, angalia Kuweka Chaguzi za Utekelezaji wa Usanisi wa Mantiki, kwenye ukurasa wa 75.
Ikiwa moduli ya kiwango cha juu ni Verilog, bofya kichupo cha Verilog na uandike
jina la moduli ya kiwango cha juu.
Ikiwa moduli ya kiwango cha juu ni VHDL, bofya kichupo cha VHDL na uandike jina
wa chombo cha ngazi ya juu. Ikiwa moduli ya kiwango cha juu haipo kwenye maktaba chaguo-msingi ya kazi, lazima ubainishe maktaba ambapo mkusanyaji anaweza kupata moduli. Kwa habari juu ya jinsi ya kufanya hivyo, angalia Paneli ya VHDL, kwenye ukurasa wa 200.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 45

Sura ya 3: Kutayarisha Ingizo

Kwa kutumia Chanzo cha Lugha Mchanganyiko Files

Lazima ubainishe kwa uwazi moduli ya kiwango cha juu, kwa sababu ndio mahali pa kuanzia ambapo mpanga ramani hutengeneza orodha iliyounganishwa.
5. Teua kichupo cha Matokeo ya Utekelezaji kwenye fomu sawa na uchague umbizo moja la towe la HDL kwa towe files yanayotokana na programu. Kwa habari zaidi kuhusu kuweka chaguo za kifaa, angalia Kuweka Chaguzi za Utekelezaji wa Usanisi wa Mantiki, kwenye ukurasa wa 75.
Kwa orodha ya matokeo ya Verilog, chagua Andika Orodha ya Wavu ya Verilog. Kwa orodha ya matokeo ya VHDL, chagua Andika Orodha ya Wavu ya VHDL. Weka chaguo zingine za kifaa na ubofye Sawa.
Sasa unaweza kusawazisha muundo wako. Programu inasoma katika muundo mchanganyiko wa chanzo files na hutoa srs moja file ambayo hutumiwa kwa usanisi.
6. Ukikumbana na matatizo, angalia Utatuzi wa Miundo ya Lugha Mseto, kwenye ukurasa wa 47 kwa maelezo na vidokezo vya ziada.
LO

© 2014 Synopsy, Inc. 46

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kwa kutumia Chanzo cha Lugha Mchanganyiko Files

Sura ya 3: Kutayarisha Ingizo

Kutatua Miundo ya Lugha Mseto
Sehemu hii inatoa vidokezo juu ya kushughulikia hali maalum ambazo zinaweza kuja na miundo mchanganyiko ya lugha.

VHDL File Agizo
Kwa miundo ya VHDL pekee au miundo mchanganyiko ambapo kiwango cha juu hakijabainishwa, zana za usanisi za FPGA hupanga upya kiotomatiki VHDL. files ili vifurushi vya VHDL vikusanywe kwa mpangilio sahihi.
Hata hivyo, ikiwa una muundo wa lugha mchanganyiko ambapo umebainisha kiwango cha juu, lazima ubainishe VHDL file kuagiza kwa chombo. Unahitaji tu kufanya hivi mara moja, kwa kuchagua Run->Panga VHDL files amri. Ikiwa hutafanya hivyo, unapata ujumbe wa makosa.

VHDL Global Signals
Kwa sasa, huwezi kuwa na mawimbi ya kimataifa ya VHDL katika miundo mchanganyiko ya lugha, kwa sababu zana hutekeleza mawimbi haya katika miundo ya VHDL pekee.

Kupitisha Jenereta za VHDL Boolean kwa Vigezo vya Verilog
Zana huingiza kisanduku cheusi cha kijenzi cha VHDL kilicho na jenetiki za Boolean, ikiwa kijenzi hicho kimethibitishwa katika muundo wa Verilog. Hii ni kwa sababu Verilog haitambui aina za data za Boolean, kwa hivyo thamani ya Boolean lazima iwakilishwe ipasavyo. Ikiwa thamani ya jenereta ya VHDL Boolean ni TRUE na Verilog halisi inawakilishwa na 1, mkusanyaji wa Verilog anatafsiri hii kama kisanduku cheusi.
Ili kuepuka kuingiza kisanduku cheusi, Verilog halisi ya VHDL Boolean generic iliyowekwa kuwa TRUE lazima iwe 1'b1, si 1. Vile vile, ikiwa jenereta ya VHDL Boolean ni FALSE, Verilog inayolingana lazima iwe 1'b0, si 0. Ex ifuatayoample inaonyesha jinsi ya kuwakilisha jenetiki za Boolean ili zipitishe kwa usahihi mpaka wa VHDL-Verilog, bila kuashiria kisanduku cheusi.

Tamko la VHDL

Ufungaji wa Verilog

Huluki abc ni ya Kawaida (
Number_Bits Divide_Bit );

: nambari kamili : boolean

:= 0; := Uongo;

abc #( .Namba_Biti (16), .Gawanya_Biti (1'b0)
)

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 47

Sura ya 3: Kutayarisha Ingizo

Kwa kutumia Chanzo cha Lugha Mchanganyiko Files

Kupitisha Jenereta za VHDL Bila Kuingiza Kisanduku Nyeusi
Katika kesi ambapo parameta ya sehemu ya Verilog, (kwa mfanoample [0:0] RSR = 1'b0) hailingani na saizi ya kijenzi cha jumla cha VHDL (RSR : integer := 0), zana huingiza kisanduku cheusi.
Unaweza kufanyia kazi hili kwa kuondoa nukuu ya upana wa basi ya [0:0] kwenye Verilog files. Kumbuka kwamba lazima utumie jenereta ya VHDL ya aina kamili kwa sababu aina zingine haziruhusu ufungaji sahihi wa kipengele cha Verilog.

© 2014 Synopsy, Inc. 48

LO
Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kutumia Kikusanyaji cha Kuongeza

Sura ya 3: Kutayarisha Ingizo

Kutumia Kikusanyaji cha Kuongeza
Tumia mtiririko wa Mkusanyaji wa Kuongezeka ili kupunguza kwa kiasi kikubwa muda wa utekelezaji wa mkusanyaji kwa miundo mikubwa. programu recompiles muhimu tu files wakati mabadiliko ya muundo yanafanywa na kutumia tena hifadhidata ya mkusanyaji. Mkusanyaji hutengeneza upya SRS file tu kwa moduli iliyoathiriwa na moduli ya mzazi ya haraka.
Ili kutekeleza mtiririko huu, fanya yafuatayo:
1. Ongeza Verilog au VHDL files kwa kubuni.
2. Wezesha chaguo la Mkusanyiko wa Kuongeza kutoka kwa kichupo cha Verilog au VHDL cha paneli ya Chaguzi za Utekelezaji.
SRS file imeundwa kwa kila moduli ya muundo katika saraka ya synwork.

3. Endesha mkusanyaji kwa mara ya kwanza.
4. Ikiwa mabadiliko ya muundo yalifanywa, endesha tena mkusanyaji.
Mkusanyaji huchanganua hifadhidata na kubainisha ikiwa SRS files ni za kisasa, basi moduli pekee ambazo zimebadilika na moduli za wazazi za moja kwa moja zinaundwa upya. Hii inaweza kusaidia kuboresha muda wa utekelezaji wa muundo.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 49

Sura ya 3: Kutayarisha Ingizo

Kutumia Kikusanyaji cha Kuongeza

Mapungufu
Kikusanyaji cha nyongeza hakiauni:
· Usanidi files imejumuishwa katika mtiririko wa Verilog au VHDL · Mitiririko ya HDL Mchanganyiko · Miundo iliyo na marejeleo ya sehemu tofauti (XMR)

© 2014 Synopsy, Inc. 50

LO
Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kwa kutumia Mtiririko wa Muundo wa Verilog

Sura ya 3: Kutayarisha Ingizo

Kwa kutumia Mtiririko wa Muundo wa Verilog
Zana ya usanisi inakubali muundo wa Verilog files kama pembejeo kwa mradi wako wa kubuni. Kikusanyaji cha muundo wa Verilog hufanya ukaguzi wa kisemantiki wa sintaksia kwa kutumia kichanganuzi chake chenye uzani mwepesi ili kuboresha muda wa utekelezaji. Kikusanyaji hiki hakitendi uchimbaji changamano wa maunzi au shughuli za uboreshaji wa RTL, kwa hivyo, programu huendesha mkusanyo wa haraka wa muundo wa Verilog. files. Programu inaweza kusoma muundo huu wa Verilog files, ikiwa yana:
· Uanzishaji wa mambo ya awali ya teknolojia
· Weka kauli rahisi
· Sifa zilizobainishwa katika miundo ya Verilog 2001 na ya awali
· Miundo yote, isipokuwa sifa lazima ibainishwe katika umbizo la Verilog 95
Ili kutumia uingizaji wa muundo wa Verilog files:
1. Lazima ubainishe Verilog ya muundo files kujumuisha katika muundo wako. Ili kufanya hivyo, ongeza file kwa mradi kwa kutumia mojawapo ya njia zifuatazo:
Mradi->Ongeza Chanzo File au Ongeza File kitufe kwenye Mradi view Amri ya Tcl: ongeza_file -muundaji fileJina
Mtiririko huu unaweza kuwa na Verilog ya muundo pekee files au HDL iliyochanganywa files (Verilog/VHDL/EDF/SRS) pamoja na orodha ya wavu ya muundo wa Verilog files. Hata hivyo, matukio ya Verilog/VHDL/EDF/SRS hayatumiki ndani ya moduli ya muundo wa Verilog.
2. Verilog ya muundo files huongezwa kwenye folda ya Verilog ya Muundo kwenye Mradi view. Unaweza pia kuongeza files kwenye saraka hii, unapofanya yafuatayo:
Chagua Verilog ya muundo file. Bofya kulia na uchague File Chaguo. Chagua Verilog ya Muundo kutoka kwa File Chapa menyu kunjuzi.
3. Run awali.
Zana ya usanisi inazalisha vm au edf netlist file kulingana na teknolojia iliyoainishwa. Utaratibu huu ni sawa na mtiririko chaguo-msingi wa usanisi.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 51

Sura ya 3: Kutayarisha Ingizo

Kwa kutumia Mtiririko wa Muundo wa Verilog

Mapungufu
Vikwazo vya mtiririko wa muundo wa Verilog hauauni yafuatayo:
· Matukio ya RTL kwa nyingine yoyote file aina · Usimamizi wa mradi wa ngazi ya juu (HPM) mtiririko · Kazi ngumu · Njia na swichi maalum za mkusanyaji

© 2014 Synopsy, Inc. 52

LO
Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kufanya kazi na Vizuizi Files

Sura ya 3: Kutayarisha Ingizo

Kufanya kazi na Vizuizi Files
Kizuizi files ni maandishi files ambazo huzalishwa kiotomatiki na kiolesura cha SCOPE (ona Kubainisha Vikwazo vya UPEO, kwenye ukurasa wa 119), au ambayo unaunda wewe mwenyewe na kihariri maandishi. Zina amri za Tcl au sifa zinazolazimisha uanzishaji wa usanisi. Vinginevyo, unaweza kuweka vikwazo katika msimbo wa chanzo, lakini hii sio njia inayopendekezwa.
Sehemu hii ina habari kuhusu
· Wakati wa Kutumia Kizuizi Files juu ya Msimbo wa Chanzo, kwenye ukurasa wa 53
· Kutumia Kihariri cha Maandishi kwa Kizuizi Files (Urithi), kwenye ukurasa wa 54
· Miongozo ya Sintaksia ya Tcl kwa Vizuizi Files, ukurasa wa 55
· Kuangalia Kizuizi Files, ukurasa wa 56
· Kwa maelezo juu ya ripoti hii, angalia Ripoti ya Ukaguzi wa Vikwazo, kwenye
ukurasa wa 270.wa Mwongozo wa Marejeleo, kwenye ukurasa wa 56

Wakati wa Kutumia Kizuizi Files juu ya Msimbo wa Chanzo
Unaweza kuongeza vikwazo katika vikwazo files (iliyotolewa na kiolesura cha SCOPE au imeingizwa katika kihariri cha maandishi) au katika msimbo wa chanzo. Kwa ujumla, ni bora kutumia kizuizi files, kwa sababu sio lazima ujumuishe ili vikwazo vianze kutumika. Pia hufanya msimbo wako wa chanzo kubebeka zaidi. Tazama Kutumia Kihariri UPEO, kwenye ukurasa wa 112 kwa habari zaidi.
Hata hivyo, ikiwa una vikwazo vya muda vya kisanduku cheusi kama vile syn_tco, syn_tpd, na syn_tsu, lazima uziweke kama maagizo katika msimbo wa chanzo. Tofauti na sifa, maagizo yanaweza tu kuongezwa kwa msimbo wa chanzo, sio kulazimisha files. Angalia Kubainisha Sifa na Maagizo, kwenye ukurasa wa 90 kwa maelezo zaidi kuhusu kuongeza maagizo kwenye msimbo wa chanzo.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 53

Sura ya 3: Kutayarisha Ingizo

Kufanya kazi na Vizuizi Files

Kutumia Kihariri cha Maandishi kwa Kizuizi Files (Urithi)
Unaweza kutumia kihariri cha UPEO wa Urithi kwa kikwazo cha SDC files iliyoundwa kabla ya toleo la G-2012.09. Hata hivyo, inapendekezwa kwamba utafsiri SDC yako filekwa FDC files ili kuwezesha toleo jipya zaidi la kihariri cha SCOPE na kutumia ushughulikiaji ulioboreshwa wa kikwazo cha muda katika zana.
Ukichagua kutumia kihariri cha UPEO cha urithi, sehemu hii inakuonyesha jinsi ya kuunda kikwazo cha Tcl mwenyewe. file. Programu huunda kiotomatiki hii file ikiwa unatumia kihariri cha UPEO cha urithi kuweka vikwazo. Kizuizi cha Tcl file ina vikwazo vya jumla vya muda pekee. Vikwazo vya kisanduku cheusi lazima viingizwe katika msimbo wa chanzo. Kwa maelezo ya ziada, angalia Wakati wa Kutumia Kizuizi Files juu ya Msimbo wa Chanzo, kwenye ukurasa wa 53.
1. Fungua a file kwa uhariri.
Hakikisha umefunga dirisha la SCOPE, au unaweza
futa vikwazo vilivyotangulia.
Ili kuunda mpya file, chagua File->Mpya, na uchague Kizuizi File
(UPEO) chaguo. Andika jina la file na ubofye Sawa.
Ili kuhariri iliyopo file, chagua File-> Fungua, weka Files ya Kichujio cha Aina kwa
Kizuizi Files (sdc) na ufungue file unataka.
2. Fuata miongozo ya sintaksia katika Miongozo ya Sintaksia ya Tcl kwa Vizuizi Files, ukurasa wa 55.
3. Weka vikwazo vya muda unavyohitaji. Kwa syntax, angalia Mwongozo wa Marejeleo. Ikiwa una vizuizi vya muda vya kisanduku cheusi, lazima uziweke kwenye msimbo wa chanzo.
4. Unaweza pia kuongeza sifa mahususi za muuzaji katika kikwazo file kwa kutumia define_attribute. Angalia Kubainisha Sifa katika Vikwazo File, kwenye ukurasa wa 97 kwa habari zaidi.
5. Hifadhi faili ya file.
6. Ongeza file kwa mradi kama ilivyofafanuliwa katika Kufanya Mabadiliko kwa Mradi, kwenye ukurasa wa 62, na endesha usanisi.

LO

© 2014 Synopsy, Inc. 54

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kufanya kazi na Vizuizi Files

Sura ya 3: Kutayarisha Ingizo

Miongozo ya Sintaksia ya Tcl kwa Vizuizi Files
Sehemu hii inashughulikia miongozo ya jumla ya kutumia Tcl kwa kizuizi files:
· Tcl ni nyeti kwa ukubwa.
· Kwa kutaja vitu: Jina la kitu lazima lilingane na jina katika msimbo wa HDL. Weka majina ya mfano na bandari ndani ya curly braces {}. Usitumie nafasi katika majina. Tumia nukta (.) kutenganisha majina ya daraja. Katika moduli za Verilog, tumia syntax ifuatayo kwa mfano, bandari, na
majina halisi:
v:kiini [kiambishi awali:]objectName
Ambapo kisanduku ni jina la huluki ya muundo, kiambishi awali ni kiambishi awali cha kutambua vitu vilivyo na jina sawa, objectName ni njia ya mfano yenye kitenganishi cha nukta (.). Kiambishi awali kinaweza kuwa mojawapo ya yafuatayo:

Kiambishi awali (Herufi ndogo) i: p: b: n:

Kitu Majina ya matukio Majina ya bandari (bandari nzima) Kipande kidogo cha bandari Majina wavu

Katika moduli za VHDL, tumia sintaksia ifuatayo kwa mfano, bandari, na wavu
majina katika moduli za VHDL:
v: seli [.view] [kiambishi awali:]objectName
Ambapo v: inaitambulisha kama a view kitu, lib ni jina la maktaba, kiini ni jina la chombo cha kubuni, view ni jina la usanifu, kiambishi awali ni kiambishi awali cha kutambua vitu vilivyo na jina sawa, na objectName ni njia ya mfano yenye kitenganishi cha nukta (.). View inahitajika tu ikiwa kuna usanifu zaidi ya mmoja wa muundo. Tazama jedwali hapo juu kwa viambishi awali vya vitu.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 55

Sura ya 3: Kutayarisha Ingizo

Kufanya kazi na Vizuizi Files

· Kadi pori zinazolingana na majina ni * (nyota inalingana na nambari yoyote ya
wahusika) na? (alama ya swali inalingana na herufi moja). Herufi hizi hazilingani na nukta zinazotumika kama vitenganishi vya daraja. Kwa mfanoample, kamba ifuatayo inabainisha biti zote za mfano wa statereg kwenye moduli ya statemod:
i:statemod.statereg[*]

Kuangalia Kizuizi Files
Unaweza kuangalia syntax na habari zingine muhimu kwenye kizuizi chako files kwa kutumia amri ya Kuangalia Vikwazo. Ili kutoa ripoti ya kizuizi, fanya yafuatayo:
1. Unda kizuizi file na uiongeze kwenye mradi wako.
2. Chagua Run->Angalia Vikwazo.
Amri hii hutoa ripoti ambayo hukagua sintaksia na ufaafu wa vizuizi vya muda katika kizuizi cha usanisi cha FPGA. files kwa mradi wako. Ripoti imeandikwa kwa projectName_cck.rpt file na kuorodhesha habari ifuatayo:
Vikwazo ambavyo havitumiki Vikwazo ambavyo ni halali na vinavyotumika kwa upanuzi wa muundo wa Wildcard kwenye vikwazo Vikwazo kwa vitu ambavyo havipo.
Kwa maelezo juu ya ripoti hii, angalia Ripoti ya Ukaguzi wa Vikwazo, kwenye ukurasa wa 270.wa Mwongozo wa Marejeleo

© 2014 Synopsy, Inc. 56

LO
Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

SURA YA 4
Kuanzisha Mradi wa Usanisi wa Mantiki
Unapounganisha muundo na zana za usanisi za Synopsys FPGA, lazima uunde mradi wa muundo wako. Ifuatayo inaelezea taratibu za kuanzisha mradi wa usanisi wa mantiki:
· Kuanzisha Mradi Files, kwenye ukurasa wa 58 · Usimamizi wa Mradi File Hierarkia, kwenye ukurasa wa 66 · Kuweka Utekelezaji, kwenye ukurasa wa 72 · Kuweka Chaguzi za Utekelezaji wa Usanisi wa Mantiki, kwenye ukurasa wa 75 · Kubainisha Sifa na Maagizo, kwenye ukurasa wa 90 · Kutafuta Files, kwenye ukurasa wa 98 · Kuhifadhi kumbukumbu Files na Miradi, kwenye ukurasa wa 101

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 57

Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki

Kuanzisha Mradi Files

Kuanzisha Mradi Files
Sehemu hii inaelezea misingi ya jinsi ya kuanzisha na kudhibiti mradi file kwa muundo wako, pamoja na habari ifuatayo:
· Kuunda Mradi File, kwenye ukurasa wa 58 · Kufungua Mradi Uliopo File, kwenye ukurasa wa 61 · Kufanya Mabadiliko kwenye Mradi, kwenye ukurasa wa 62 · Kuweka Mradi View Mapendeleo ya Onyesho, kwenye ukurasa wa 63 · Kusasisha Verilog Jumuisha Njia katika Mradi wa Zamani Files, ukurasa wa 65
Kwa ex maalumampkatika kuanzisha mradi file, rejelea mafunzo ya zana unayotumia.

Kutengeneza Mradi File
Lazima uweke mradi file kwa kila mradi. Mradi una data inayohitajika kwa muundo fulani: orodha ya chanzo files, matokeo ya usanisi file, na mipangilio ya chaguo la kifaa chako. Utaratibu ufuatao unaonyesha jinsi ya kuanzisha mradi file kwa kutumia amri za mtu binafsi.
1. Anza kwa kuchagua mojawapo ya yafuatayo: File-> Jenga Mradi, File-> Fungua Mradi, au ikoni ya P. Bofya Mradi Mpya.
Dirisha la Mradi linaonyesha mradi mpya. Bofya Ongeza File kitufe, bonyeza F4, au chagua Mradi-> Ongeza Chanzo File amri. Kuongeza Files kwa sanduku la mazungumzo la Mradi hufungua.
2. Ongeza chanzo files kwa mradi.
Hakikisha Kuangalia kwenye sehemu ya juu ya fomu kunaelekeza kulia
saraka. The files zimeorodheshwa kwenye kisanduku. Ikiwa hauoni files, angalia kwamba Files ya Aina ya uwanja imewekwa ili kuonyesha sahihi file aina. Ikiwa umechanganya pembejeo files, kufuata utaratibu uliofafanuliwa katika Kutumia Chanzo cha Lugha Mchanganyiko Files, ukurasa wa 44.

LO

© 2014 Synopsy, Inc. 58

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kuanzisha Mradi Files

Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki

Ili kuongeza zote files kwenye saraka mara moja, bofya kitufe cha Ongeza Yote
upande wa kulia wa fomu. Kuongeza files mmoja mmoja, bonyeza kwenye file kwenye orodha na kisha ubofye kitufe cha Ongeza, au bofya mara mbili kwenye file jina.
Unaweza kuongeza zote files kwenye saraka na kisha uondoe zile ambazo hauitaji na kitufe cha Ondoa.
Ikiwa unaongeza VHDL files, chagua maktaba inayofaa kutoka kwa menyu ibukizi ya Maktaba ya VHDL. Maktaba unayochagua inatumika kwa VHDL yote files unapobofya SAWA kwenye kisanduku cha mazungumzo.
Dirisha la mradi wako linaonyesha mradi mpya file. Ukibofya ishara ya kuongeza karibu na mradi na kuupanua, unaona yafuatayo:
Folda (folda mbili za miundo mchanganyiko ya lugha) iliyo na chanzo files.
Ikiwa yako files haiko kwenye folda chini ya saraka ya mradi, unaweza kuweka upendeleo huu kwa kuchagua Chaguzi-> Mradi View Chaguzi na kuangalia View mradi files kwenye sanduku la folda. Hii hutenganisha aina moja ya file kutoka kwa mwingine katika Mradi view kwa kuziweka kwenye folda tofauti.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 59

Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki

Kuanzisha Mradi Files

Utekelezaji, unaoitwa rev_1 kwa chaguomsingi. Utekelezaji ni
masahihisho ya muundo wako ndani ya muktadha wa programu ya usanisi, na usibadilishe programu na michakato ya udhibiti wa msimbo wa chanzo. Utekelezaji mwingi hukuruhusu kurekebisha chaguo za kifaa na usanisi ili kugundua chaguo za muundo. Unaweza kuwa na utekelezaji mwingi katika Synplify Pro. Kila utekelezaji una usanisi wake na chaguzi za kifaa na zinazohusiana na mradi wake files.

3. Ongeza maktaba yoyote unayohitaji, kwa kutumia mbinu iliyoelezwa katika hatua ya awali ili kuongeza maktaba ya Verilog au VHDL. file.
Kwa maktaba mahususi kwa wauzaji, ongeza maktaba inayofaa file kwa
mradi. Kumbuka kuwa kwa baadhi ya familia, maktaba hupakiwa kiotomatiki na huhitaji kuziongeza kwa uwazi kwenye mradi. file.
Ili kuongeza maktaba ya kifurushi cha VHDL ya wahusika wengine, ongeza .vhd inayofaa file kwa muundo, kama ilivyoelezewa katika hatua ya 2. Bonyeza kulia kwenye file katika Mradi view na uchague File Chaguzi, au chagua Mradi-> Weka maktaba ya VHDL. Bainisha jina la maktaba ambalo linaoana na viigaji. Kwa mfanoample, MYLIB. Hakikisha kuwa maktaba ya kifurushi hiki iko kabla ya muundo wa ngazi ya juu katika orodha ya files katika Mradi view.
Kwa habari kuhusu kuweka Verilog na VHDL file chaguzi, angalia Kuweka Chaguzi za Verilog na VHDL, kwenye ukurasa wa 84. Unaweza pia kuweka hizi file chaguzi baadaye, kabla ya kuendesha usanisi.
Kwa maelezo ya ziada mahususi ya muuzaji kuhusu kutumia maktaba kuu za wachuuzi na bLoOxes nyeusi, angalia Kuboresha kwa Miundo ya Microsemi, kwenye ukurasa wa 487.
Kwa vipengele vya teknolojia ya generic, unaweza kuongeza
maktaba ya Verilog inayojitegemea teknolojia inayotolewa na programu

© 2014 Synopsy, Inc. 60

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kuanzisha Mradi Files

Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki

(install_dir/lib/generic_ technology/gtech.v) kwenye muundo wako, au ongeza maktaba yako ya vipengele vya jumla. Usitumie zote mbili kwa pamoja kwani kunaweza kuwa na migogoro.
4. Angalia file utaratibu katika Mradi view. File agizo ni muhimu haswa kwa VHDL files.
Kwa VHDL files, unaweza kuagiza kiotomatiki files kwa
kuchagua Run->Panga VHDL Files. Vinginevyo, songa kwa mikono files katika Mradi view. Kifurushi files lazima ziwe za kwanza kwenye orodha kwa sababu zimekusanywa kabla hazijatumiwa. Ikiwa una vitalu vya kubuni vilivyoenea juu ya wengi files, hakikisha kuwa unayo yafuatayo file utaratibu: file iliyo na chombo lazima iwe ya kwanza, ikifuatiwa na usanifu file, na hatimaye file na usanidi.
Katika Mradi view, angalia hiyo ya mwisho file katika Mradi view ni
chanzo cha hali ya juu file. Vinginevyo, unaweza kutaja kiwango cha juu file unapoweka chaguo za kifaa.
5. Chagua File->Hifadhi, charaza jina la mradi, na ubofye Hifadhi. Dirisha la Mradi linaonyesha mabadiliko yako.
6. Kufunga mradi file, chagua kitufe cha Funga Mradi au File->Funga Mradi.

Kufungua Mradi Uliopo File
Kuna njia mbili za kufungua mradi file: Mradi wa Fungua na jenereta File -> Fungua amri.
1. Ikiwa mradi unaotaka kufungua ni ule uliofanyia kazi hivi majuzi, unaweza kuuchagua moja kwa moja: File-> Miradi ya Hivi Karibuni-> Jina la mradi.
2. Tumia mojawapo ya njia zifuatazo kufungua mradi wowote file:

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 61

Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki

Kuanzisha Mradi Files

Fungua Amri ya Mradi

File-> Fungua Amri

Chagua File->Fungua Mradi, bofya kitufe cha Fungua Mradi kwenye upande wa kushoto wa dirisha la Mradi, au ubofye ikoni ya P.
Ili kufungua mradi wa hivi majuzi, bofya mara mbili kutoka kwenye orodha ya miradi ya hivi majuzi.
Vinginevyo, bofya kitufe cha Mradi Uliopo ili kufungua kisanduku cha mazungumzo Fungua na uchague mradi.

Chagua File-> Fungua.
Taja saraka sahihi kwenye uwanja wa Angalia Katika:.
Weka File ya Aina hadi Mradi Files (*.prj). Sanduku linaorodhesha mradi files.
Bofya mara mbili kwenye mradi unaotaka kufungua.

Mradi unafungua kwenye dirisha la Mradi.

Kufanya Mabadiliko kwa Mradi
Kwa kawaida, unaongeza, kufuta, au kubadilisha files.
1. Kuongeza chanzo au kizuizi files kwa mradi, chagua Ongeza Files au Mradi-> Ongeza Chanzo File kufungua Chagua Files kwa Ongeza kwenye kisanduku cha mazungumzo cha Mradi. Tazama Kuunda Mradi File, kwenye ukurasa wa 58 kwa maelezo zaidi.
2. Kufuta a file kutoka kwa mradi, bofya file kwenye dirisha la Mradi, na ubonyeze kitufe cha Futa.
3. Kubadilisha a file katika mradi,
Chagua file unataka kubadilisha kwenye dirisha la Mradi.
Bofya Badilisha File kitufe, au chagua Mradi-> Badilisha File.
Katika Chanzo File sanduku la mazungumzo linalofungua, weka Angalia kwenye saraka
wapi mpya file iko. Mpya file lazima iwe ya aina sawa na file unataka kuchukua nafasi.
Ikiwa hauoni yako file waliotajwa, chagua aina ya file unahitaji kutoka
ya Files ya Aina ya uwanja.
Bonyeza mara mbili kwenye file. Mpya file inachukua nafasi ya zamani katika mradi
orodha. LO
4. Kutaja jinsi mradi files zimehifadhiwa kwenye mradi, bonyeza kulia kwenye a file katika Mradi view na uchague File Chaguo. Weka Hifadhi File chaguo la Kuhusiana na Mradi au Njia Kabisa.

© 2014 Synopsy, Inc. 62

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kuanzisha Mradi Files

Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki

5. Kuangalia wakati stamp kwenye a file, bonyeza kulia kwenye a file katika Mradi view na uchague File Chaguo. Angalia muda ambao file ilirekebishwa mara ya mwisho. Bofya Sawa.

Kuweka Mradi View Mapendeleo ya Kuonyesha
Unaweza kubinafsisha shirika na maonyesho ya mradi files. 1. Chagua Chaguzi->Mradi View Chaguo. Mradi View Fomu ya chaguzi inafungua.

2. Kupanga aina tofauti za pembejeo files kwenye folda tofauti, angalia View Mradi Files katika Folda.
Kuangalia chaguo hili huunda folda tofauti kwenye Mradi view kwa kizuizi files na chanzo files.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 63

Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki

Kuanzisha Mradi Files

3. Kudhibiti file onyesha na zifuatazo:
Onyesha otomatiki zote files, kwa kuangalia Onyesha Maktaba ya Mradi. Kama
hii haijaangaliwa, Mradi view haionyeshi files hadi ubofye alama ya kuongeza na upanue faili ya files kwenye folda.
Weka alama kwenye kisanduku kimojawapo kwenye Mradi File Jina Onyesha sehemu ya
fomu ya kuamua jinsi filemajina yanaonyeshwa. Unaweza kuonyesha tu filejina, njia ya jamaa, au njia kabisa.
4. Kwa view mradi files katika folda maalum zilizobinafsishwa, angalia View Mradi Files katika Folda Maalum. Kwa habari zaidi, angalia Kuunda Folda Maalum, kwenye ukurasa wa 66. Folda za aina huonyeshwa tu ikiwa kuna aina nyingi kwenye folda maalum.

Folda Maalum
© 2014 Synopsy, Inc. 64

LO
Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kuanzisha Mradi Files

Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki

5. Kufungua zaidi ya utekelezaji mmoja katika Mradi mmoja view, angalia Ruhusu Miradi Nyingi Ifunguliwe.
Mradi 1

Mradi 2

6. Dhibiti pato file onyesha na zifuatazo:
Angalia Show zote Files kwenye kisanduku cha Saraka ya Matokeo ili kuonyesha matokeo yote
files yanayotokana baada ya usanisi.
Badilisha pato file shirika kwa kubofya kwenye mojawapo ya vipau vya kichwa
katika Matokeo ya Utekelezaji view. Unaweza kuweka kikundi files kwa aina au zipange kulingana na tarehe ziliporekebishwa mara ya mwisho.
7. Kwa view file habari, chagua file katika Mradi view, bofya kulia, na uchague File Chaguo. Kwa mfanoample, unaweza kuangalia tarehe a file ilirekebishwa.
Kusasisha Verilog Jumuisha Njia katika Mradi wa Zamani Files
Ikiwa una mradi file iliyoundwa na toleo la zamani la programu (kabla ya 8.1), Verilog inajumuisha njia katika hili file zinahusiana na saraka ya matokeo au chanzo file na `ni pamoja na taarifa. Katika matoleo baada ya 8.1, mradi huo file `jumuisha njia zinahusiana na mradi file pekee. GUI katika matoleo ya hivi majuzi zaidi haisasishi kiotomatiki prj ya zamani files kuendana na sheria mpya zaidi. Ili kuboresha na kutumia mradi wa zamani file, fanya mojawapo ya yafuatayo:
· Hariri mwenyewe prj file katika hariri ya maandishi na ongeza yafuatayo kwenye
mstari kabla ya kila set_option -include_path:
set_option -project_relative_inajumuisha 1
· Anzisha mradi mpya na toleo jipya zaidi la programu na ufute
mradi wa zamani. Hii itafanya prj mpya file kutii sheria mpya ambapo inajumuisha ni kuhusiana na prj file.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 65

Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki

Kusimamia Mradi File Utawala

Kusimamia Mradi File Utawala
Sehemu zifuatazo zinaelezea jinsi unavyoweza kuunda na kudhibiti folda zilizobinafsishwa na files katika Mradi view:
· Kuunda Folda Maalum · Kudhibiti Folda Maalum za Mradi · Kudhibiti Desturi Files

Kuunda Folda Maalum
Unaweza kuunda folda zenye mantiki na kubinafsisha files katika vikundi mbalimbali vya uongozi ndani ya Mradi wako view. Folda hizi zinaweza kubainishwa kwa jina lolote au kiwango cha daraja. Kwa mfanoampna, unaweza kulinganisha mfumo wako wa uendeshaji kiholela file muundo au daraja la mantiki ya HDL. Folda maalum hutofautishwa na rangi yao ya bluu.

Kuna njia kadhaa za kuunda folda maalum na kisha kuongeza files kwao katika mradi. Tumia mojawapo ya njia zifuatazo:

1. Bofya kulia kwenye mradi file au folda nyingine maalum na uchague Ongeza Folda kutoka kwa menyu ibukizi. Kisha fanya yoyote kati ya yafuatayo file shughuli:

­

Bofya kulia maonyesho hivyo

juu ya hilo

fyoleuoLcrOafnileesitahnedr

chagua chagua

Weka kwenye Folda. Menyu ndogo folda iliyopo au unda

a

folder mpya.

© 2014 Synopsy, Inc. 66

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kusimamia Mradi File Utawala

Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki

Kumbuka kuwa unaweza kutaja folda kiholela, hata hivyo usitumie herufi (/) kwa sababu hii ni ishara ya kitenganishi cha uongozi.
Ili kubadilisha jina la folda, bonyeza-kulia kwenye folda na uchague Badili jina kutoka
menyu ibukizi. Sanduku la mazungumzo la Badilisha jina la Folda inaonekana; taja jina jipya.
2. Tumia Ongeza Files kwa kisanduku cha mazungumzo cha Mradi ili kuongeza maudhui yote ya uongozi wa folda, na kwa hiari kuweka files kwenye folda maalum zinazolingana na viwango vya folda za OS zilizoorodheshwa kwenye onyesho la kisanduku cha mazungumzo.

Ili kufanya hivyo, chagua Ongeza File kitufe kwenye Mradi view.
Chagua folda zozote zilizoombwa kama vile dsp kutoka kwa kisanduku cha mazungumzo, basi
bofya kitufe cha Ongeza. Hii inaweka zote files kutoka kwa uongozi wa dsp hadi kwenye folda maalum uliyounda.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 67

Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki

Kusimamia Mradi File Utawala

Ili kuweka kiotomatiki files kwenye folda maalum zinazolingana na
safu ya folda ya OS, angalia chaguo linaloitwa Ongeza Files kwa Folda Maalum kwenye kisanduku cha mazungumzo.
Kwa chaguo-msingi, jina la folda maalum ni jina sawa na folda
zenye files au folda ya kuongezwa kwenye mradi. Hata hivyo, unaweza kurekebisha jinsi folda zinavyoitwa, kwa kubofya kitufe cha Chaguo la Folda. Sanduku la mazungumzo lifuatalo linaonyeshwa.

Kutumia:
Folda iliyo na files kwa jina la folda, bonyeza Tumia OS
Jina la folda.
Jina la njia kwenye folda iliyochaguliwa ili kuamua kiwango cha
uongozi unaakisiwa kwa njia ya folda maalum.

© 2014 Synopsy, Inc. 68

LO
Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kusimamia Mradi File Utawala

Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki

3. Unaweza kuburuta na kuangusha files na folda kutoka kwa programu ya OS Explorer hadi kwenye Mradi view. Kipengele hiki kinapatikana kwenye kompyuta za mezani za Windows na Linux zinazotumia KDE.
Unapoburuta na kuangusha a file, inaongezwa mara moja kwenye mradi huo.
Ikiwa hakuna mradi uliofunguliwa, programu huunda mradi.
Unapoburuta na kuangusha a file juu ya folda, itawekwa ndani yake
folda. Hapo awali, Ongeza Files kwa kisanduku kidadisi cha Mradi kinaonyeshwa kukuuliza uthibitishe files kuongezwa kwa mradi. Unaweza kubofya Sawa ili kukubali files. Ikiwa unataka kufanya mabadiliko, unaweza kubofya kitufe cha Ondoa Zote na ueleze kichujio kipya au chaguo.

Kumbuka: Ili kuonyesha folda maalum katika Mradi view, chagua Chaguzi->Mradi View Menyu ya chaguo, kisha uwashe/zima kisanduku cha kuteua View Mradi Files katika Folda Maalum kwenye kisanduku cha mazungumzo.

Kudhibiti Folda Maalum za Mradi
Utaratibu ufuatao unaelezea jinsi unaweza kuondoa files kutoka kwa folda, futa folda, na ubadilishe safu ya folda.
1. Kuondoa a file kutoka kwa folda maalum, ama:
Buruta na uidondoshe kwenye folda nyingine au uingie kwenye mradi. Angazia file, bofya kulia na uchague Ondoa kwenye Folda kutoka kwa
menyu ibukizi.
Usitumie kitufe cha Futa (DEL), kwani hii inaondoa file kutoka kwa mradi huo.
2. Ili kufuta folda maalum, iangazie kisha ubofye-kulia na uchague Futa kwenye menyu ibukizi au ubonyeze kitufe cha DEL. Unapofuta folda, fanya mojawapo ya chaguo zifuatazo:
Bonyeza Ndiyo kufuta folda na files zilizomo kwenye folda kutoka
mradi huo.
Bofya Hapana ili kufuta folda tu.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 69

Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki

Kusimamia Mradi File Utawala

3. Kubadilisha daraja la folda maalum:
Buruta na udondoshe folda ndani ya folda nyingine ili iwe ndogo-
folda au juu ya mradi ili kuisogeza hadi kiwango cha juu.
Ili kuondoa daraja la juu la folda maalum, buruta na uangushe
kiwango kidogo kinachotakikana cha uongozi juu ya mradi. Kisha futa saraka ya mizizi tupu kwa folda.
Kwa mfanoample, ikiwa saraka iliyopo ya folda maalum ni:
/ Kutamples/Verilog/RTL
Tuseme unataka daraja moja la RTL pekee, kisha buruta na udondoshe RTL juu ya mradi. Baada ya hapo, unaweza kufuta /Examples/Verilog saraka.

Kudhibiti Desturi Files
Zaidi ya hayo, unaweza kufanya aina zifuatazo za desturi file shughuli:
1. Kukandamiza onyesho la files kwenye folda za Aina, bonyeza kulia kwenye Mradi view na uchague Mradi View Chaguzi au chagua Chaguzi-> Mradi View Chaguo. Zima chaguo View Mradi Files katika Folda za Aina kwenye kisanduku cha mazungumzo.
2. Kuonyesha files kwa mpangilio wa alfabeti badala ya mpangilio wa mradi, angalia Panga Files kwenye Mradi view jopo la kudhibiti. Bofya kitufe cha kishale cha chini kwenye kona ya chini kushoto ya paneli ili kuwasha na kuzima paneli dhibiti.

© 2014 Synopsy, Inc. 70

LO
Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kusimamia Mradi File Utawala

Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki

Kugeuza Paneli ya Kudhibiti
3. Kubadilisha mpangilio wa files katika mradi:
Hakikisha umezima folda maalum na kupanga files. Buruta na uangushe a file kwa nafasi inayotakiwa katika orodha ya files.
4. Kubadilisha file aina, buruta na uiangushe kwenye folda ya aina mpya. Programu itakuomba uthibitishe.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 71

Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki

Kuweka Utekelezaji

Kuweka Utekelezaji
Utekelezaji ni toleo la mradi, unaotekelezwa na seti maalum ya vikwazo na mipangilio mingine. Mradi unaweza kuwa na utekelezaji mwingi, kila moja ikiwa na mipangilio yake.

Kufanya kazi na Utekelezaji Nyingi
Zana ya Synplify Pro hukuruhusu kuunda utekelezaji mwingi wa muundo sawa na kisha kulinganisha matokeo. Hii inakuwezesha kujaribu na mipangilio tofauti ya muundo sawa. Utekelezaji ni masahihisho ya muundo wako ndani ya muktadha wa programu ya usanisi, na hauchukui nafasi ya programu na michakato ya udhibiti wa msimbo wa chanzo.
1. Bofya kitufe cha Ongeza Utekelezaji au chagua Mradi-> Utekelezaji Mpya na uweke chaguo mpya za kifaa (Kichupo cha Kifaa), chaguo mpya (Kichupo cha Chaguzi), au kizuizi kipya. file (Kichupo cha vikwazo).
Programu inaunda utekelezaji mwingine katika mradi huo view. Utekelezaji mpya una jina sawa na la awali, lakini na kiambishi cha nambari tofauti. Kielelezo kifuatacho kinaonyesha utekelezaji mbili, rev1 na rev2, huku utekelezaji wa sasa (unaofanya kazi) ukiangaziwa.

Utekelezaji mpya unatumia msimbo wa chanzo sawa files, lakini chaguo tofauti za kifaa na vikwazo. Inakili baadhi files kutoka kwa utekelezaji uliopita: logi ya tlg file, orodha ya wavu ya srs RTL file, na design_fsm.sdc file zinazozalishwa na FSM Explorer. Programu huweka historia inayoweza kurudiwa ya uendeshaji wa usanisi.

© 2014 Synopsy, Inc. 72

LO
Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kuweka Utekelezaji

Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki

2. Endesha usanisi tena na mipangilio mipya.
Ili kutekeleza utekelezaji wa sasa pekee, bofya Run.
Ili kutekeleza utekelezaji wote katika mradi, chagua Run-> Run All
Utekelezaji.
Unaweza kutumia utekelezaji mwingi kujaribu sehemu tofauti au ujaribu na masafa tofauti. Angalia Kuweka Chaguzi za Utekelezaji wa Usanisi wa Mantiki, kwenye ukurasa wa 75 kwa taarifa kuhusu chaguzi za kuweka.
Mradi view inaonyesha utekelezaji wote na utekelezaji amilifu ulioangaziwa na matokeo yanayolingana files zinazozalishwa kwa ajili ya utekelezaji amilifu zinazoonyeshwa kwenye Matokeo ya Utekelezaji view upande wa kulia; kubadilisha utekelezaji amilifu hubadilisha matokeo file kuonyesha. Dirisha la Saa hufuatilia utekelezaji amilifu. Ukisanidi dirisha hili kutazama utekelezaji wote, utekelezaji mpya unasasishwa kiotomatiki kwenye dirisha.
3. Linganisha matokeo.
Tumia dirisha la Kutazama ili kulinganisha vigezo vilivyochaguliwa. Hakikisha kuweka
utekelezaji unaotaka kulinganisha na amri ya Kusanidi Saa. Tazama Kutumia Dirisha la Kutazama, kwenye ukurasa wa 190 kwa maelezo zaidi.

Ili kulinganisha maelezo, linganisha kumbukumbu file matokeo.
4. Ili kubadilisha jina la utekelezaji, bofya kitufe cha kulia cha kipanya kwenye jina la utekelezaji katika mradi view, chagua Badilisha Jina la Utekelezaji kutoka kwa menyu ibukizi, na uandike jina jipya.
Kumbuka kuwa UI ya sasa inabatilisha utekelezaji; matoleo kabla ya 9.0 kuhifadhi utekelezaji kubadilishwa jina.
5. Ili kunakili utekelezaji, bofya kitufe cha kulia cha kipanya kwenye jina la utekelezaji katika mradi view, chagua Utekelezaji wa Nakili kutoka kwa menyu ibukizi, na uandike jina jipya la nakala.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 73

Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki

Kuweka Utekelezaji

6. Ili kufuta utekelezaji, bofya kitufe cha haki cha mouse kwenye jina la utekelezaji katika mradi view, na uchague Ondoa Utekelezaji kutoka kwa menyu ibukizi.

© 2014 Synopsy, Inc. 74

LO
Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kuweka Chaguzi za Utekelezaji wa Usanisi wa Mantiki Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki
Kuweka Chaguzi za Utekelezaji wa Usanisi wa Mantiki
Unaweza kuweka chaguo za kimataifa kwa ajili ya utekelezaji wako wa usanisi, baadhi yao mahususi wa teknolojia. Sehemu hii inaeleza jinsi ya kuweka chaguo za kimataifa kama vile kifaa, uboreshaji na file chaguzi na amri ya Chaguzi za Utekelezaji. Kwa maelezo kuhusu kuweka vikwazo vya utekelezaji, angalia Kubainisha Vikwazo vya UPEO, kwenye ukurasa wa 119. Kwa maelezo kuhusu kubatilisha mipangilio ya kimataifa yenye sifa au maagizo mahususi, angalia Kubainisha Sifa na Maagizo, kwenye ukurasa wa 90.
Sehemu hii inajadili mada zifuatazo:
· Kuweka Chaguzi za Kifaa, kwenye ukurasa wa 75 · Kuweka Chaguzi za Uboreshaji, kwenye ukurasa wa 78 · Kubainisha Masafa na Vizuizi vya Ulimwenguni. Files, kwenye ukurasa wa 80 · Kubainisha Chaguzi za Matokeo, kwenye ukurasa wa 82 · Kubainisha Pato la Ripoti ya Muda, kwenye ukurasa wa 84 · Kuweka Chaguzi za Verilog na VHDL, kwenye ukurasa 84
Kuweka Chaguo za Kifaa
Chaguo za kifaa ni sehemu ya chaguo za kimataifa unazoweza kuweka kwa ajili ya uendeshaji wa usanisi. Zinajumuisha uteuzi wa sehemu (teknolojia, sehemu na daraja la kasi) na chaguzi za utekelezaji (uingizaji wa I/O na fanouts). Chaguo na utekelezaji wa chaguo hizi zinaweza kutofautiana kutoka teknolojia hadi teknolojia, kwa hivyo angalia sura za muuzaji za Mwongozo wa Marejeleo kwa maelezo kuhusu chaguo zako za wauzaji.
1. Fungua fomu ya Chaguzi za Utekelezaji kwa kubofya kitufe cha Chaguzi za Utekelezaji au kuchagua Mradi-> Chaguzi za Utekelezaji, na ubofye kichupo cha Kifaa kilicho juu ikiwa hakijachaguliwa.
2. Chagua teknolojia, sehemu, kifurushi na kasi. Chaguzi zinazopatikana hutofautiana, kulingana na teknolojia unayochagua.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 75

Sura ya 4: Kuweka Chaguzi za Utekelezaji wa Usanisi wa Mantiki
3. Weka chaguo za ramani za kifaa. Chaguzi hutofautiana, kulingana na teknolojia unayochagua.
Ikiwa huna uhakika na chaguo linamaanisha nini, bofya chaguo ili kuona
maelezo katika kisanduku hapa chini. Kwa maelezo kamili ya chaguo, bofya F1 au urejelee sura inayofaa ya muuzaji katika Mwongozo wa Marejeleo.
Ili kuweka chaguo, chapa thamani au uteue kisanduku ili kuiwasha.
Kwa maelezo zaidi kuhusu kuweka vikomo vya fanout na kuweka tena muda, angalia Kuweka Vikomo vya Kushindwa, kwenye ukurasa wa 348, na Kuweka Muda tena, kwenye ukurasa wa 334, mtawalia. Kwa maelezo kuhusu chaguo zingine mahususi za muuzaji, rejelea sura inayofaa ya muuzaji na familia ya teknolojia katika Mwongozo wa Marejeleo.

© 2014 Synopsy, Inc. 76

LO
Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kuweka Chaguzi za Utekelezaji wa Usanisi wa Mantiki Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki

4. Weka chaguzi nyingine za utekelezaji inapohitajika (tazama Kuweka Chaguzi za Utekelezaji wa Usanisi wa Mantiki, kwenye ukurasa wa 75 kwa orodha ya chaguo). Bofya Sawa.
5. Bofya kitufe cha Run ili kuunganisha muundo. Programu hukusanya na kuweka ramani kwa kutumia chaguo ulizoweka.
6. Kuweka chaguo za kifaa kwa hati, tumia set_option amri ya Tcl. Jedwali lifuatalo lina orodha ya kialfabeti ya chaguo za kifaa kwenye kichupo cha Kifaa kilichopangwa kwa amri sawa za Tcl. Kwa sababu chaguo ni za teknolojia na za familia, chaguo zote zilizoorodheshwa kwenye jedwali huenda zisipatikane katika teknolojia iliyochaguliwa. Amri zote huanza na set_option, ikifuatiwa na sintaksia kwenye safu kama inavyoonyeshwa. Angalia Mwongozo wa Marejeleo kwa orodha ya kina zaidi ya chaguo kwa muuzaji wako.
Jedwali lifuatalo linaonyesha chaguo nyingi za kifaa.

Chaguo la Sifa Zilizofafanuliwa za Mchambuzi Zima Mwongozo wa Uingizaji wa I/O

Tcl Amri (set_option…) -run_prop_extract {1|0} -lemaza_io_insert {1|0} -fanout_limit fanout_value

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 77

Sura ya 4: Kuweka Chaguzi za Utekelezaji wa Usanisi wa Mantiki

Chaguo

Amri ya Tcl (set_option…)

Kifurushi

-kifurushi pkg_name

Sehemu

-sehemu_jina

Suluhisha Madereva Mchanganyiko

-suluhisha_dereva_nyingi {1|0}

Kasi

-daraja_kasi_daraja

Teknolojia

-Neno kuu la teknolojia

Sasisha Unganisha Data ya Muda -update_models_cp {0|1}

Uzalishaji wa Hifadhidata ya Mchambuzi wa HDL -hdl_qload {1|0}

Kuweka Chaguo za Kuboresha
Chaguo za uboreshaji ni sehemu ya chaguo za kimataifa unazoweza kuweka kwa utekelezaji. Sehemu hii inakuambia jinsi ya kuweka chaguo kama vile marudio na chaguo za uboreshaji kimataifa kama vile kushiriki rasilimali. Unaweza pia kuweka baadhi ya chaguo hizi kwa vitufe vinavyofaa kwenye UI.
1. Fungua fomu ya Chaguzi za Utekelezaji kwa kubofya kitufe cha Chaguzi za Utekelezaji au kuchagua Mradi->Chaguo za Utekelezaji, na ubofye kichupo cha Chaguzi hapo juu.
2. Bofya chaguo za uboreshaji unazotaka, ama kwenye fomu au kwenye Mradi view. Chaguo zako hutofautiana, kulingana na teknolojia. Ikiwa chaguo halipatikani kwa teknolojia yako, itatiwa mvi. Kuweka chaguo katika sehemu moja kunasasisha kiotomatiki katika sehemu nyingine.

© 2014 Synopsy, Inc. 78

LO
Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kuweka Chaguzi za Utekelezaji wa Usanisi wa Mantiki Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki

Mradi View

Chaguzi za Utekelezaji wa Chaguzi -> Chaguzi

Kwa maelezo kuhusu kutumia uboreshaji huu rejelea sehemu zifuatazo:

FSM Compiler FSM Explorer
Uwekaji Muda wa Kushiriki Rasilimali

Kuboresha Mashine za Jimbo, kwenye ukurasa wa 354
Kuendesha Kichunguzi cha FSM, kwenye ukurasa wa 359 Kumbuka: Seti ndogo tu ya teknolojia ya Microsemi ndiyo inayounga mkono chaguo la FSM Explorer. Tumia Mradi-> Chaguzi za Utekelezaji-> Paneli ya Chaguzi ili kubaini ikiwa chaguo hili linatumika kwa kifaa unachobainisha kwenye zana yako.
Rasilimali za Kushiriki, kwenye ukurasa wa 352
Kurekebisha, kwenye ukurasa wa 334

Chaguo sawa za amri ya Tcl set_option ni kama ifuatavyo:

Chaguo FSM Compiler FSM Explorer Retiming Retiming

set_option Chaguo la Amri ya Tcl -symbolic_fsm_compiler {1|0} -use_fsm_explorer {1|0} -resource_sharing {1|0} -retiming {1|0}

3. Weka chaguzi nyingine za utekelezaji inapohitajika (tazama Kuweka Chaguzi za Utekelezaji wa Usanisi wa Mantiki, kwenye ukurasa wa 75 kwa orodha ya chaguo). Bofya Sawa.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 79

Sura ya 4: Kuweka Chaguzi za Utekelezaji wa Usanisi wa Mantiki
4. Bofya kitufe cha Run ili kuendesha usanisi.
Programu hukusanya na kuweka ramani kwa kutumia chaguo ulizoweka.
Uzalishaji wa Hifadhidata ya Mchambuzi wa HDL
Kwa chaguo-msingi, programu husoma muundo mzima, hufanya uboreshaji wa mantiki na uenezaji wa saa, na huandika matokeo kwa orodha moja ya wavu (srs). Kadiri miundo inavyokuwa kubwa, wakati wa kuendesha na kurekebisha muundo unakuwa mgumu zaidi.
Chaguo hizi huruhusu mkusanyaji kugawanya muundo mapema katika moduli nyingi ambazo zimeandikwa kutenganisha orodha ya wavu files (srs). Ili kuwezesha chaguo hili, chagua kisanduku cha kuteua cha Kizazi cha Hifadhidata ya HDL kwenye kichupo cha Chaguzi cha kisanduku cha mazungumzo cha Chaguzi za Utekelezaji. Kipengele hiki huboresha matumizi ya kumbukumbu kwa kiasi kikubwa kwa miundo mikubwa.
Kipengele hiki pia kinaweza kuwezeshwa kutoka kwa dirisha la Hati ya Tcl kwa kutumia set_option amri ifuatayo ya Tcl:
set_option -hdl_qload 1
Pindi chaguo la Uzalishaji wa Hifadhidata ya Mchanganuzi wa HDL linapowashwa, tumia chaguo la Upakiaji wa Haraka Unaoongezeka katika zana ya Uchambuzi wa HDL ili kuonyesha muundo ukitumia orodha moja ya wavu (srs) au orodha nyingi za moduli za RTL za kiwango cha juu (srs). Chombo kinaweza kuchukua advantage ya kipengele hiki kwa kupakia kwa nguvu tu safu ya muundo iliyoathiriwa. Kwa mfanoampna, kivinjari cha daraja kinaweza kupanua tu daraja la chini kama inavyohitajika kwa upakiaji wa haraka. Chaguo la Upakiaji wa Haraka Unaoongezeka iko kwenye paneli ya Jumla ya kisanduku cha mazungumzo cha Chaguo za Mchambuzi wa HDL. Tazama Jopo la Jumla, kwenye ukurasa wa 304.

Inabainisha Masafa na Vizuizi vya Ulimwenguni Files

Utaratibu huu unakuambia jinsi ya kuweka mzunguko wa kimataifa na kutaja kizuizi files kwa ajili ya utekelezaji.

1. Ili kuweka mzunguko wa kimataifa, fanya mojawapo ya yafuatayo:

Andika masafa ya kimataifa katika Mradi view.

Fungua fomu ya Chaguzi za Utekelezaji kwa kubofya Utekelezaji

Kitufe cha chaguo kichupo cha Vizuizi.

or

seleLcOting

Mradi-> Utekelezaji

Chaguzi,

na

bonyeza

ya

Amri sawa ya Tcl set_option ni -frequency frequencyValue.

© 2014 Synopsy, Inc. 80

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kuweka Chaguzi za Utekelezaji wa Usanisi wa Mantiki Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki
Unaweza kubatilisha masafa ya kimataifa kwa vizuizi vya ndani, kama ilivyofafanuliwa katika Kubainisha Vikwazo vya UPEO, kwenye ukurasa wa 119. Katika zana ya Synplify Pro, unaweza kutengeneza vizuizi vya saa kiotomatiki kwa muundo wako badala ya kuweka masafa ya kimataifa. Tazama Kutumia Vizuizi vya Kiotomatiki, kwenye ukurasa wa 291 kwa maelezo zaidi.
Mradi wa Kimataifa wa Masafa na Vikwazo View
Chaguzi za Utekelezaji-> Vizuizi

2. Kutaja kikwazo files kwa utekelezaji, fanya moja ya yafuatayo:
Chagua Mradi-> Chaguzi za Utekelezaji-> Vizuizi. Angalia kizuizi
fileunayotaka kutumia katika mradi.
Kutoka kwa Chaguzi za Utekelezaji-> Paneli ya Vikwazo, unaweza pia kubofya
ongeza kizuizi file.
Kwa utekelezaji unaotaka kutumia uliochaguliwa, bofya Ongeza File katika
Mradi view, na ongeza kizuizi files unahitaji.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 81

Sura ya 4: Kuweka Chaguzi za Utekelezaji wa Usanisi wa Mantiki
Ili kuunda kizuizi files, angalia Kubainisha Vikwazo vya UPEO, kwenye ukurasa wa 119.
3. Kuondoa kikwazo files kutoka kwa utekelezaji, fanya moja ya yafuatayo:
Chagua Mradi-> Chaguzi za Utekelezaji-> Vizuizi. Bofya kisanduku cha kuteua
karibu na file jina.
Katika Mradi view, bonyeza-kulia kizuizi file kuondolewa na
chagua Ondoa kutoka kwa Mradi.
Hii huondoa kizuizi file kutoka kwa utekelezaji, lakini haifuti.
4. Weka chaguzi nyingine za utekelezaji inapohitajika (tazama Kuweka Chaguzi za Utekelezaji wa Usanisi wa Mantiki, kwenye ukurasa wa 75 kwa orodha ya chaguo). Bofya Sawa.
Unaposanikisha muundo, programu hukusanya na kuweka ramani kwa kutumia chaguo ulizoweka.
Inabainisha Chaguo za Matokeo
Sehemu hii inakuonyesha jinsi ya kubainisha vigezo vya matokeo ya uendeshaji wa usanisi.
1. Fungua fomu ya Chaguzi za Utekelezaji kwa kubofya kitufe cha Chaguzi za Utekelezaji au kuchagua Mradi->Chaguo za Utekelezaji, na ubofye kichupo cha Matokeo ya Utekelezaji kilicho juu.

© 2014 Synopsy, Inc. 82

LO
Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kuweka Chaguzi za Utekelezaji wa Usanisi wa Mantiki Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki

2. Taja pato files unataka kuzalisha.
Ili kutengeneza orodha ya wavu iliyopangwa files, bofya Andika Orodha ya Mtandao ya Verilog Iliyopangwa au Andika
Orodha ya Wavu ya VHDL iliyopangwa.
Ili kutengeneza kizuizi maalum cha muuzaji file kwa maelezo ya mbele,
bonyeza Andika Kizuizi cha Muuzaji File. Tazama Kwa maelezo juu ya ripoti hii, angalia Ripoti ya Ukaguzi wa Vikwazo, kwenye ukurasa wa 270.wa Mwongozo wa Marejeleo, kwenye ukurasa wa 56 kwa habari zaidi.
3. Weka saraka ambayo unataka kuandika matokeo.
4. Weka umbizo la pato file. Amri sawa ya Tcl ya uandishi ni umbizo la mradi -result_format.
Unaweza pia kutaka kuweka sifa ili kudhibiti uchoraji ramani. Kwa maelezo, rejelea sura inayofaa ya muuzaji katika Mwongozo wa Marejeleo.
5. Weka chaguzi nyingine za utekelezaji inapohitajika (tazama Kuweka Chaguzi za Utekelezaji wa Usanisi wa Mantiki, kwenye ukurasa wa 75 kwa orodha ya chaguo). Bofya Sawa.
Unaposanikisha muundo, programu hukusanya na kuweka ramani kwa kutumia chaguo ulizoweka.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 83

Sura ya 4: Kuweka Chaguzi za Utekelezaji wa Usanisi wa Mantiki
Inabainisha Pato la Ripoti ya Muda
Unaweza kubainisha ni kiasi gani kinaripotiwa katika ripoti ya muda kwa kuweka chaguo zifuatazo.
1. Kuchagua Mradi-> Chaguzi za Utekelezaji, na ubofye kichupo cha Ripoti ya Muda. 2. Weka idadi ya njia muhimu unazotaka programu iripoti.

3. Bainisha idadi ya pointi za kuanzia na za mwisho ambazo ungependa kuona zikiripotiwa katika sehemu muhimu za njia.
4. Weka chaguzi nyingine za utekelezaji inapohitajika (tazama Kuweka Chaguzi za Utekelezaji wa Usanisi wa Mantiki, kwenye ukurasa wa 75 kwa orodha ya chaguo). Bofya Sawa. Unaposanikisha muundo, programu hukusanya na kuweka ramani kwa kutumia chaguo ulizoweka.
Kuweka Chaguzi za Verilog na VHDL
Unapoanzisha chanzo cha Verilog na VHDL files katika mradi wako, unaweza pia kutaja chaguo fulani za mkusanyaji.
Kuweka Verilog File Chaguo
Umeweka Verilog file chaguzi kwa kuchagua ama Mradi-> Chaguzi za Utekelezaji-> Verilog, au Chaguzi-> Sanidi Kikusanyaji cha Verilog.

© 2014 Synopsy, Inc. 84

LO
Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kuweka Chaguzi za Utekelezaji wa Usanisi wa Mantiki Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki

1. Bainisha umbizo la Verilog la kutumia.
Kuweka mkusanyaji kimataifa kwa wote files kwenye mradi, chagua
Mradi-> Chaguzi za Utekelezaji-> Verilog. Ikiwa unatumia Verilog 2001 au SystemVerilog, angalia Mwongozo wa Marejeleo kwa miundo inayotumika.
Ili kutaja mkusanyaji wa Verilog kwenye per file msingi, chagua file katika
Mradi view. Bofya kulia na uchague File Chaguo. Chagua mkusanyaji unaofaa. Verilog chaguo-msingi file umbizo la miradi mipya ni SystemVerilog.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 85

Sura ya 4: Kuweka Chaguzi za Utekelezaji wa Usanisi wa Mantiki
2. Bainisha moduli ya kiwango cha juu ikiwa hukufanya hivi kwenye Mradi view.
3. Ili kutoa vigezo kutoka kwa msimbo wa chanzo, fanya yafuatayo:
Bonyeza Extract Parameters. Ili kubatilisha chaguo-msingi, weka thamani mpya kwa kigezo.
Programu hutumia thamani mpya kwa utekelezaji wa sasa pekee. Kumbuka kuwa uchimbaji wa vigezo hautumiki kwa miundo mchanganyiko.

4. Andika maagizo katika Maagizo ya Mkusanyaji, kwa kutumia nafasi kutenganisha taarifa. Unaweza kuandika maagizo ambayo kwa kawaida ungeingiza na 'ifdef na `define statements in the code. Kwa mfanoample, ABC=30 husababisha programu kuandika taarifa zifuatazo kwa mradi file:
set_option -hdl_define -set "ABC=30"
LO

© 2014 Synopsy, Inc. 86

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kuweka Chaguzi za Utekelezaji wa Usanisi wa Mantiki Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki
5. Katika Agizo la Njia ya Jumuisha, taja njia za utafutaji kwa amri za pamoja za Verilog filezilizo kwenye mradi wako. Tumia vitufe vilivyo kwenye kona ya juu kulia ya kisanduku ili kuongeza, kufuta, au kupanga upya njia.
6. Katika Saraka za Maktaba, taja njia ya saraka ambayo ina maktaba files kwa mradi wako. Tumia vitufe vilivyo kwenye kona ya juu kulia ya kisanduku ili kuongeza, kufuta, au kupanga upya njia.
7. Weka chaguzi nyingine za utekelezaji inapohitajika (tazama Kuweka Chaguzi za Utekelezaji wa Usanisi wa Mantiki, kwenye ukurasa wa 75 kwa orodha ya chaguo). Bofya Sawa. Unaposanikisha muundo, programu hukusanya na kuweka ramani kwa kutumia chaguo ulizoweka.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 87

Sura ya 4: Kuweka Chaguzi za Utekelezaji wa Usanisi wa Mantiki
Kuweka VHDL File Chaguo
Umeweka VHDL file chaguzi kwa kuchagua ama Mradi-> Chaguzi za Utekelezaji-> VHDL, au Chaguzi-> Sanidi Kikusanyaji cha VHDL.

Kwa chanzo cha VHDL, unaweza kutaja chaguo zilizoelezwa hapa chini.
1. Bainisha moduli ya kiwango cha juu ikiwa hukufanya hivi kwenye Mradi view. Ikiwa moduli ya kiwango cha juu haipo kwenye maktaba chaguo-msingi ya kazi, lazima ubainishe maktaba ambapo mkusanyaji anaweza kupata moduli. Kwa habari juu ya jinsi ya kufanya hivyo, angalia Paneli ya VHDL, kwenye ukurasa wa 200.
Unaweza pia kutumia chaguo hili kwa miundo mchanganyiko ya lugha au unapotaka kubainisha moduli ambayo si huluki halisi ya kiwango cha juu cha kuonyesha HDL Analyst na LdOebugging katika mpangilio. views. 2. Kwa usimbaji wa hali uliobainishwa na mtumiaji, fanya yafuatayo:
Bainisha aina ya usimbaji unaotaka kutumia.

© 2014 Synopsy, Inc. 88

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kuweka Chaguzi za Utekelezaji wa Usanisi wa Mantiki Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki
Zima mkusanyaji wa FSM.
Unaposaninisha muundo, programu hutumia maagizo ya mkusanyaji uliyoweka hapa ili kusimba mashine za serikali na haiendeshi kikusanyaji cha FSM, ambacho kinaweza kubatilisha maagizo ya mkusanyaji. Vinginevyo, unaweza kufafanua mashine za serikali zilizo na sifa ya syn_encoding, kama ilivyofafanuliwa katika Kufafanua Mashine za Jimbo katika VHDL, kwenye ukurasa wa 308.
3. Ili kutoa jenetiki kutoka kwa msimbo wa chanzo, fanya hivi:
Bofya Extract Constants za Kawaida. Ili kubatilisha chaguomsingi, weka thamani mpya ya jenereta.
Programu hutumia thamani mpya kwa utekelezaji wa sasa pekee. Kumbuka kuwa huwezi kutoa jenetiki ikiwa una muundo mchanganyiko wa lugha.

4. Ili kusukuma tristates kuvuka mipaka ya mchakato/kuzuia, hakikisha kuwa Push Tristates imewashwa. Kwa maelezo, angalia Chaguo la Push Tristates, kwenye ukurasa wa 212 kwenye Mwongozo wa Marejeleo.
5. Bainisha tafsiri ya maagizo_ya_ya_ya_changanuzi na_cha_cha_cha_kuzima:
Ili kumfanya mkusanyaji afasiri maagizo_ya_ya_ya_maelekezo_ya_kuacha
kama vile translate_on/translate_off, wezesha Usanisi Washa/Zima Kutekelezwa kama chaguo la Kutafsiri Kuwasha/Kuzimwa.
Ili kupuuza maagizo_ya_ya_ya_ya kuunganishwa, hakikisha kwamba
chaguo hili halijaangaliwa. Tazama translate_off/translate_on, kwenye ukurasa wa 226 kwenye Mwongozo wa Marejeleo kwa maelezo zaidi.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 89

Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki

Kubainisha Sifa na Maagizo

6. Weka chaguzi nyingine za utekelezaji inapohitajika (tazama Kuweka Chaguzi za Utekelezaji wa Usanisi wa Mantiki, kwenye ukurasa wa 75 kwa orodha ya chaguo). Bofya Sawa.
Unaposanikisha muundo, programu hukusanya na kuweka ramani kwa kutumia chaguo ulizoweka.

Kubainisha Sifa na Maagizo

Sifa na maagizo ni vipimo ambavyo unakabidhi kubuni vitu ili kudhibiti jinsi muundo wako unavyochanganuliwa, kuboreshwa na kupangwa.
Sifa hudhibiti uboreshaji wa ramani na maagizo hudhibiti uboreshaji wa mkusanyaji. Kwa sababu ya tofauti hii, lazima ueleze maagizo katika msimbo wa chanzo. Jedwali hili linaelezea mbinu zinazopatikana ili kuunda sifa na maelezo ya maagizo:

Vikwazo vya Mhariri wa VHDL Verilog SCOPE File

Sifa Ndiyo Ndiyo Ndiyo Ndiyo

Maagizo Ndiyo Ndiyo Hapana Hapana

Ni bora kutaja sifa katika kihariri cha SCOPE au vikwazo file, kwa sababu sio lazima urudishe muundo kwanza. Kwa maagizo, lazima uandae muundo ili uanze kutumika.
Ikiwa UPEO/vikwazo file na msimbo wa chanzo cha HDL umebainishwa kwa muundo, vikwazo vina kipaumbele wakati kuna migogoro.
Kwa maelezo zaidi, rejelea yafuatayo:
· Kubainisha Sifa na Maagizo katika VHDL, kwenye ukurasa wa 91 · Kubainisha Sifa na Maagizo katika Verilog, kwenye ukurasa wa 92 · Kubainisha Sifa UsLiOng Mhariri wa UPEO, kwenye ukurasa wa 93 · Kubainisha Sifa katika Vikwazo. File, kwenye ukurasa wa 97

© 2014 Synopsy, Inc. 90

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kubainisha Sifa na Maagizo

Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki

Kubainisha Sifa na Maagizo katika VHDL
Unaweza kutumia mbinu zingine kuongeza sifa kwa vitu, kama ilivyoorodheshwa katika Kubainisha Sifa na Maagizo, kwenye ukurasa wa 90. Hata hivyo, unaweza kubainisha maagizo katika msimbo wa chanzo pekee. Kuna njia mbili za kufafanua sifa na maagizo katika VHDL:
· Kutumia kifurushi cha sifa zilizoainishwa
· Kutangaza sifa kila inapotumiwa
Kwa maelezo ya sintaksia ya sifa ya VHDL, angalia Sifa ya VHDL na Sintaksia Maelekezo, kwenye ukurasa wa 561 katika Mwongozo wa Marejeleo.

Kutumia Kifurushi cha Sifa za VHDL Zilizofafanuliwa Awali
Advantage kwa kutumia kifurushi kilichoainishwa awali ni kwamba unaepuka kufafanua upya sifa na maagizo kila wakati unapojumuisha katika msimbo wa chanzo. disadvantage ni kwamba msimbo wako wa chanzo hauwezi kubebeka. Kifurushi cha sifa kiko katika installDirectory/lib/vhd/synattr.vhd.
1. Ili kutumia kifurushi cha sifa zilizoainishwa awali kilichojumuishwa kwenye maktaba ya programu, ongeza mistari hii kwenye sintaksia:
maktaba synplify; tumia synplify.attributes.all;
2. Ongeza sifa au maagizo unayotaka baada ya tamko la kitengo cha muundo.
matamko; attribute attribute_name of objectName : objectType ni thamani ;
Kwa mfanoample:
chombo simpledff ni bandari (q: out bit_vector(7 downto 0); d: in bit_vector(7 downto 0); clk : in bit);
sifa syn_noclockbuf ya clk : ishara ni kweli;
Kwa maelezo ya kaida za sintaksia, angalia Sifa ya VHDL na Sintaksia Elekezi, kwenye ukurasa wa 561 katika Mwongozo wa Marejeleo.
3. Ongeza chanzo file kwa mradi huo.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 91

Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki

Kubainisha Sifa na Maagizo

Kutangaza Sifa na Maagizo ya VHDL
Ikiwa hutumii kifurushi cha sifa, lazima ubainishe upya sifa kila wakati unapozijumuisha kwenye msimbo wa chanzo.
1. Kila wakati unapotumia sifa au maagizo, ifafanue mara tu baada ya matamko ya kitengo cha muundo kwa kutumia sintaksia ifuatayo:
tamko_la_kitengo ; sifa ya sifaName : dataType ; attribute attributeName of objectName : objectType ni thamani ;
Kwa mfanoample:
chombo simpledff ni bandari (q: out bit_vector(7 downto 0); d: in bit_vector(7 downto 0); clk : in bit);
sifa syn_noclockbuf : boolean; sifa syn_noclockbuf ya clk :signal ni kweli;
2. Ongeza chanzo file kwa mradi huo.

Inabainisha Sifa na Maagizo katika Verilog
Unaweza kutumia mbinu zingine kuongeza sifa kwa vitu, kama ilivyofafanuliwa katika Kubainisha Sifa na Maagizo, kwenye ukurasa wa 90. Hata hivyo, unaweza kubainisha maagizo katika msimbo wa chanzo pekee.
Verilog haina sifa na maagizo ya usanisi yaliyofafanuliwa awali, kwa hivyo ni lazima uwaongeze kama maoni. Sifa au jina la maagizo hutanguliwa na usanisi wa neno msingi. Verilog files ni nyeti kwa kesi, kwa hivyo sifa na maagizo lazima yabainishwe kama vile inavyowasilishwa katika maelezo yao ya sintaksia. Kwa maelezo ya sintaksia, angalia Sifa ya Verilog na Sintaksia Elekezi, kwenye ukurasa wa 363 kwenye Mwongozo wa Marejeleo.
1. Ili kuongeza sifa au maagizo katika Verilog, tumia mstari wa Verilog au uzuie sintaksia ya maoni (mtindo wa C) kufuatia kipengee cha kubuni moja kwa moja. Zuia maoni lazima yatangulie semicolon, ikiwa ipo.
LO

© 2014 Synopsy, Inc. 92

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kubainisha Sifa na Maagizo

Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki

Sintaksia ya Maoni ya Verilog
/* sifa ya awaliName = thamani */ /* saraka ya awaliName = thamani */

Sintaksia ya Maoni ya Mstari wa Verilog
// sifa ya awaliName = thamani // saraka ya usanisiName = thamani

Kwa maelezo ya sheria za sintaksia, angalia Sifa ya Verilog na Sintaksia Elekezi, kwenye ukurasa wa 363 katika Mwongozo wa Marejeleo. Wafuatao ni wa zamaniampchini:
moduli fifo(nje, ndani) /* usanisi syn_hier = "ngumu" */;
2. Ili kuambatisha sifa au maelekezo mengi kwa kitu kimoja, tenganisha sifa hizo kwa nafasi nyeupe, lakini usirudie neno kuu la usanisi. Usitumie koma. Kwa mfanoample:
hali ya kesi /* usanisi kamili_kesi sambamba_kesi */;
3. Ikiwa rejista nyingi zinafafanuliwa kwa kutumia taarifa moja ya Verilog reg na sifa inatumika kwao, basi programu ya usanisi inatumika tu rejista ya mwisho iliyotangazwa katika taarifa ya reg. Kwa mfanoample:
reg [5:0] q, q_a, q_b, q_c, q_d /* awali syn_preserve=1 */;
Sifa ya syn_preserve inatumika kwa q_d pekee. Hii ndio tabia inayotarajiwa kwa zana za usanisi. Ili kutumia sifa hii kwa sajili zote, lazima utumie taarifa tofauti ya usajili wa Verilog kwa kila sajili na utumie sifa hiyo.

Kubainisha Sifa Kwa Kutumia Kihariri cha UPEO
Dirisha la SCOPE hutoa kiolesura kilicho rahisi kutumia ili kuongeza sifa yoyote. Huwezi kuitumia kuongeza maagizo, kwa sababu lazima yaongezwe kwenye chanzo files. (Ona Kubainisha Sifa na Maagizo katika VHDL, kwenye ukurasa wa 91 au Kubainisha Sifa na Maagizo katika Verilog, ukurasa wa 92). Utaratibu ufuatao unaonyesha jinsi ya kuongeza sifa moja kwa moja kwenye dirisha la SCOPE.
1. Anza na muundo uliokusanywa na ufungue dirisha la SCOPE. Kuongeza sifa kwa kizuizi kilichopo file, fungua dirisha la SCOPE kwa kubofya zilizopo file katika Mradi view. Ili kuongeza sifa kwa mpya file, bofya ikoni ya SCOPE na ubofye Anzisha ili kufungua dirisha la SCOPE.
2. Bofya kichupo cha Sifa chini ya dirisha la SCOPE.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 93

Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki

Kubainisha Sifa na Maagizo

Unaweza kuchagua kitu kwanza (hatua ya 3) au sifa kwanza (hatua ya 4).

3. Ili kutaja kitu, fanya moja ya zifuatazo kwenye safu ya Kitu. Ikiwa tayari umebainisha sifa, safu wima ya Object huorodhesha chaguo halali za kitu kwa sifa hiyo.
Chagua aina ya kitu kwenye safu ya Kichujio cha Kitu, na kisha uchague
kitu kutoka kwa orodha ya chaguo kwenye safu ya Kitu. Hii ndiyo njia bora ya kuhakikisha kuwa unabainisha kitu ambacho kinafaa, na syntax sahihi.

© 2014 Synopsy, Inc. 94

LO
Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

Kubainisha Sifa na Maagizo

Sura ya 4: Kuanzisha Mradi wa Usanisi wa Mantiki

Buruta kitu ambacho unataka kuambatisha sifa kutoka kwa
RTL au Teknolojia views kwa safu ya Kitu kwenye dirisha la SCOPE. Kwa baadhi ya sifa, kuburuta na kuangusha kunaweza kusichague kipengee sahihi. Kwa mfanoample, ikiwa unataka kuweka syn_hier kwenye moduli au chombo kama na lango, lazima uiweke kwenye view kwa moduli hiyo. Kitu kingekuwa na sintaksia hii: v:moduleName katika Verilog, au v:library.moduleName katika VHDL, ambapo unaweza kuwa na maktaba nyingi.
Andika jina la kitu kwenye safu wima ya Kitu. Kama hujui
jina, tumia Tafuta amri au safu ya Kichujio cha Kitu. Hakikisha umeandika kiambishi kinachofaa kwa kitu kinapohitajika. Kwa mfanoample, kuweka sifa kwenye a view, lazima uongeze v: kiambishi awali kwa moduli au jina la huluki. Kwa VHDL, unaweza kutaja maktaba na jina la moduli.
4. Ikiwa ulitaja kitu kwanza, sasa unaweza kutaja sifa. Orodha inaonyesha tu sifa halali za aina ya kitu ulichochagua. Bainisha sifa kwa kushikilia kitufe cha kipanya kwenye safu wima ya Sifa na uchague sifa kutoka kwenye orodha.

Ikiwa umechagua kitu kwanza, chaguo zinazopatikana zimedhamiriwa na kitu kilichochaguliwa na teknolojia unayotumia. Ikiwa umechagua sifa kwanza, chaguo zilizopo zinatambuliwa na teknolojia.
Unapochagua sifa, dirisha la SCOPE hukuambia aina ya thamani ambayo lazima uweke kwa sifa hiyo na hutoa maelezo mafupi ya sifa hiyo. Ikiwa umechagua sifa kwanza, hakikisha kurudi nyuma na kutaja kitu.
5. Jaza thamani. Shikilia kitufe cha kipanya kwenye safu wima ya Thamani, na uchague kutoka kwenye orodha. Unaweza pia kuandika thamani.

Synplify Pro kwa Mwongozo wa Mtumiaji wa Toleo la Microsemi Oktoba 2014

© 2014 Synopsy, Inc. 95

Sura ya 4: Kuanzisha Logic Sy

Nyaraka / Rasilimali

SYnOPSYS FPGA Synthesis Synplify Pro kwa Toleo la Microsemi [pdf] Mwongozo wa Mtumiaji
FPGA Synthesis Synplify Pro kwa Toleo la Microsemi, Synthesis Synplify Pro kwa Toleo la Microsemi, Synplify Pro kwa Toleo la Microsemi, Pro kwa Toleo la Microsemi, Toleo la Microsemi, Toleo

Marejeleo

Acha maoni

Barua pepe yako haitachapishwa. Sehemu zinazohitajika zimetiwa alama *