মাইক্রোসেমি সংস্করণ ব্যবহারকারী গাইডের জন্য SYnOPSYS FPGA সিনথেসিস সিনপ্লিফাই প্রো

মাইক্রোসেমি সংস্করণের জন্য FPGA সিনথেসিস সিনপ্লিফাই প্রো

স্পেসিফিকেশন

  • পণ্য: সিনোপসিস এফপিজিএ সিনথেসিস - মাইক্রোসেমির জন্য সিনপ্লিফাই প্রো
    সংস্করণ
  • ব্যবহারকারী নির্দেশিকা: অক্টোবর ২০১৪
  • কপিরাইট: Synopsys, Inc.
  • ভাষা: ইংরেজি
  • উৎপত্তি দেশ: মার্কিন যুক্তরাষ্ট্র

পণ্য তথ্য

সিনোপসিস এফপিজিএ সিনথেসিস - মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো
বিভিন্ন সহ FPGA বাস্তবায়নের জন্য একটি ব্যাপক হাতিয়ার
ব্যবহারকারীদের যুক্তি সংশ্লেষণ এবং নকশায় সহায়তা করার জন্য ডিজাইন করা বৈশিষ্ট্যগুলি
প্রবাহিত

পণ্য ব্যবহারের নির্দেশাবলী

অধ্যায় 1: ভূমিকা

এই অধ্যায় একটি ওভার প্রদান করেview সিনোপসিস এফপিজিএ এবং
প্রোটোটাইপিং পণ্য, FPGA বাস্তবায়ন সরঞ্জাম, এবং Synopsys FPGA
টুল বৈশিষ্ট্য।

নথির পরিধি

ডকুমেন্ট সেটে পণ্যের বৈশিষ্ট্য সম্পর্কে তথ্য অন্তর্ভুক্ত রয়েছে
এবং FPGA সংশ্লেষণ এবং নকশায় আগ্রহী ব্যবহারকারীদের জন্য তৈরি
প্রবাহিত

শুরু করা

সফটওয়্যারটি ব্যবহার শুরু করতে, প্রদত্ত নির্দেশাবলী অনুসরণ করে এটি চালু করুন
নির্দেশাবলী দেখুন এবং সহায়তার জন্য ব্যবহারকারীর নির্দেশিকা দেখুন।

ইউজার ইন্টারফেস ওভারview

দক্ষতার সাথে ইউজার ইন্টারফেসের সাথে নিজেকে পরিচিত করুন
সফ্টওয়্যার বৈশিষ্ট্যগুলির মাধ্যমে নেভিগেট করুন।

অধ্যায় ২: FPGA সংশ্লেষণ নকশা প্রবাহ

এই অধ্যায়ে FPGA-এর জন্য লজিক সিন্থেসিস ডিজাইন ফ্লো সম্পর্কে বিস্তারিত আলোচনা করা হয়েছে।
সংশ্লেষণ।

অধ্যায় ৩: ইনপুট প্রস্তুত করা

মিশ্র ভাষার উৎস কীভাবে ব্যবহার করবেন তা শিখুন Files এবং বর্ধিত
দক্ষ ইনপুট প্রস্তুতির জন্য কম্পাইলার।

দ্রষ্টব্য: সম্পর্কিত যেকোনো সীমাবদ্ধতা সম্পর্কে সচেতন থাকুন
ইনক্রিমেন্টাল কম্পাইলার ব্যবহার করে।

FAQ

প্রশ্ন: আমি কি ডকুমেন্টেশনের কপি তৈরি করতে পারি?

উত্তর: হ্যাঁ, লাইসেন্স চুক্তি অভ্যন্তরীণ কাজের জন্য অনুলিপি তৈরির অনুমতি দেয়
শুধুমাত্র সঠিক বৈশিষ্ট্য সহ ব্যবহার করুন।

প্রশ্ন: আমি কিভাবে সফটওয়্যারটি শুরু করব?

A: অধ্যায় 1-এর "শুরু করা" বিভাগটি দেখুন
সফ্টওয়্যারটি শুরু করার বিস্তারিত নির্দেশাবলীর জন্য ব্যবহারকারীর নির্দেশিকা।

প্রশ্ন: এই ব্যবহারকারী নির্দেশিকার জন্য উদ্দিষ্ট দর্শকরা কী?

A: ব্যবহারকারী নির্দেশিকাটি FPGA-তে আগ্রহী ব্যক্তিদের জন্য তৈরি।
সংশ্লেষণ এবং নকশা প্রবাহ।

সিনোপসিস FPGA সংশ্লেষণ
মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো
ব্যবহারকারীর নির্দেশিকা
অক্টোবর 2014

কপিরাইট বিজ্ঞপ্তি এবং মালিকানা তথ্য
কপিরাইট © ২০১৪ Synopsys, Inc. সর্বস্বত্ব সংরক্ষিত। এই সফ্টওয়্যার এবং ডকুমেন্টেশনে গোপনীয় এবং মালিকানাধীন তথ্য রয়েছে যা Synopsys, Inc. এর সম্পত্তি। সফ্টওয়্যার এবং ডকুমেন্টেশন একটি লাইসেন্স চুক্তির অধীনে সজ্জিত এবং শুধুমাত্র লাইসেন্স চুক্তির শর্তাবলী অনুসারে ব্যবহার বা অনুলিপি করা যেতে পারে। সফ্টওয়্যার এবং ডকুমেন্টেশনের কোনও অংশ Synopsys, Inc. এর পূর্ব লিখিত অনুমতি ছাড়া বা লাইসেন্স চুক্তিতে স্পষ্টভাবে প্রদত্ত কোনও রূপে বা কোনও উপায়ে, ইলেকট্রনিক, যান্ত্রিক, ম্যানুয়াল, অপটিক্যাল বা অন্য কোনও উপায়ে পুনরুত্পাদন, প্রেরণ বা অনুবাদ করা যাবে না।
ডকুমেন্টেশন কপি করার অধিকার
সিনোপসিসের সাথে লাইসেন্স চুক্তি লাইসেন্সধারীকে কেবল অভ্যন্তরীণ ব্যবহারের জন্য নথিপত্রের অনুলিপি তৈরি করার অনুমতি দেয়।
প্রতিটি কপিতে সমস্ত কপিরাইট, ট্রেডমার্ক, পরিষেবা চিহ্ন এবং মালিকানা অধিকারের নোটিশ, যদি থাকে, অন্তর্ভুক্ত থাকবে। লাইসেন্সধারীকে সমস্ত কপির জন্য ক্রমিক সংখ্যা নির্ধারণ করতে হবে। এই কপিগুলির প্রচ্ছদ পৃষ্ঠায় নিম্নলিখিত কিংবদন্তি থাকতে হবে:
"এই নথিটি __________________________________________ এবং এর কর্মীদের একচেটিয়া ব্যবহারের জন্য Synopsys, Inc. এর অনুমতি নিয়ে নকল করা হয়েছে। এটি কপি নম্বর __________।"
গন্তব্য নিয়ন্ত্রণ বিবৃতি
এই প্রকাশনায় থাকা সমস্ত প্রযুক্তিগত তথ্য মার্কিন যুক্তরাষ্ট্রের রপ্তানি নিয়ন্ত্রণ আইনের অধীন। মার্কিন যুক্তরাষ্ট্রের আইনের বিপরীতে অন্যান্য দেশের নাগরিকদের কাছে প্রকাশ নিষিদ্ধ। প্রযোজ্য নিয়মকানুন নির্ধারণ করা এবং সেগুলি মেনে চলা পাঠকের দায়িত্ব।
LO

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

দাবিত্যাগ
SYNOPSYS, Inc., এবং এর লাইসেন্সদাতারা এই উপাদানের ক্ষেত্রে কোনও ধরণের, স্পষ্ট বা অন্তর্নিহিত ওয়ারেন্টি দেয় না, যার মধ্যে রয়েছে, তবে সীমাবদ্ধ নয়, কোনও নির্দিষ্ট উদ্দেশ্যে ব্যবসায়িকতা এবং উপযুক্ততার অন্তর্নিহিত ওয়ারেন্টি।
নিবন্ধিত ট্রেডমার্ক (®)
সিনোপসিস, এওএন, AMPS, Astro, Behavior Extracting Synthesis Technology, Cadabra, CATS, Certify, CHIPit, CoMET, CODE V, Design Compiler, DesignWare, EMBED-IT!, Formality, Galaxy Custom Designer, Global Synthesis, HAPS, HapsTrak, HDL Analyst, HSIM, HSPICE, Identify, Leda, LightTools, MAST, METeor, ModelTools, NanoSim, NOVeA, OpenVera, ORA, PathMill, Physical Compiler, PrimeTime, SCOPE, Simply Better Results, SiVL, SNUG, SolvNet, Sonic Focus, STAR Memory System, Syndicated, Synplicity, the Synplicity logo, Synplify, Synplify Pro, Synthesis Constraints Optimization Environment, TetraMAX, UMRBus, VCS, Vera, এবং YIELDirector হল Synopsys, Inc.-এর নিবন্ধিত ট্রেডমার্ক।
ট্রেডমার্ক (TM)
AFGen, Apollo, ARC, ASAP, Astro-Rail, Astro-Xtalk, Aurora, AvanWaves, BEST, Columbia, Columbia-CE, Cosmos, CosmosLE, CosmosScope, CRITIC, CustomExplorer, CustomSim, DC Expert, DC Professional, DC Ultra, Design Analyzer, Design Vision, DesignerHDL, DesignPower, DFTMAX, Direct Silicon Access, Discovery, Eclypse, Encore, EPIC, Galaxy, HANEX, HDL Compiler, Hercules, Hierarchical Optimization Technology, High-performance ASIC Prototyping System, HSIMplus, i-Virtual Stepper, IICE, in-Sync, iN-Tandem, Intelli, Jupiter, Jupiter-DP, JupiterXT, JupiterXT-ASIC, Liberty, Libra-Passport, Library Compiler, Macro-PLUS, Magellan, Mars, Mars-Rail, Mars-Xtalk, Milkyway, ModelSource, Module Compiler, MultiPoint, ORAengineering, Physical Analyst, প্ল্যানেট, প্ল্যানেট-পিএল, পোলারিস, পাওয়ার কম্পাইলার, রাফেল, রিপলডমিক্সার, স্যাটার্ন, সিরোকো, সিরোকো-আই, সিওয়্যার, স্টার-আরসিএক্সটি, স্টার-সিমএক্সটি, স্টারআরসি, সিস্টেম কম্পাইলার, সিস্টেম ডিজাইনার, টরাস, টোটালরিকল, টিএসইউপিআরএম-৪, ভিসিএসআই, ভিএইচডিএল কম্পাইলার, ভিএমসি এবং ওয়ার্কশিট বাফার হল সিনোপসিস, ইনকর্পোরেটেডের ট্রেডমার্ক।

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

সার্ভিস মার্কস (sm)
MAP-in, SVP Café, এবং TAP-in হল Synopsys, Inc. এর সার্ভিস মার্ক। SystemC হল Open SystemC Initiative এর একটি ট্রেডমার্ক এবং লাইসেন্সের অধীনে ব্যবহৃত হয়। ARM এবং AMBA হল ARM Limited এর নিবন্ধিত ট্রেডমার্ক। Saber হল SabreMark Limited Partnership এর একটি নিবন্ধিত ট্রেডমার্ক এবং লাইসেন্সের অধীনে ব্যবহৃত হয়। অন্যান্য সমস্ত পণ্য বা কোম্পানির নাম তাদের নিজ নিজ মালিকদের ট্রেডমার্ক হতে পারে।
মার্কিন যুক্তরাষ্ট্রে মুদ্রিত অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

LO
মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

বিষয়বস্তু

অধ্যায় 1: ভূমিকা
সিনোপসিস এফপিজিএ এবং প্রোটোটাইপিং পণ্য . . . . . . ১৭
নথির পরিধি . .
শুরু করা . .
ইউজার ইন্টারফেস ওভারview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24
অধ্যায় ২: FPGA সংশ্লেষণ নকশা প্রবাহ
লজিক সিন্থেসিস ডিজাইন ফ্লো। .
অধ্যায় ৩: ইনপুট প্রস্তুত করা
HDL উৎস সেট আপ করা হচ্ছে File. File. File. Fileবিল্ট-ইন টেক্সট এডিটর সহ। . File.
মিশ্র ভাষার উৎস ব্যবহার File.
ইনক্রিমেন্টাল কম্পাইলার ব্যবহার করা হচ্ছে।
স্ট্রাকচারাল ভেরিলোগ প্রবাহ ব্যবহার করে। .

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

সীমাবদ্ধতার সাথে কাজ করা File. Fileসোর্স কোডের উপর। . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53 সীমাবদ্ধতার জন্য একটি টেক্সট এডিটর ব্যবহার করা Files (উত্তরাধিকার) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54 সীমাবদ্ধতার জন্য Tcl সিনট্যাক্স নির্দেশিকা File. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55 সীমাবদ্ধতা পরীক্ষা করা Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56
অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা
প্রকল্প স্থাপন File. File . File . View ডিসপ্লে প্রেফারেন্সেস . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63 পুরনো প্রজেক্টে Verilog ইনক্লুড পাথ আপডেট করা হচ্ছে File.
প্রকল্প পরিচালনা File ক্রমবিন্যাস . . . . . . . 66 কাস্টম ম্যানিপুলেট করা Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70
বাস্তবায়ন সেট আপ করা হচ্ছে .
লজিক সংশ্লেষণ বাস্তবায়নের বিকল্পগুলি সেট করা . . . 75 বিশ্বব্যাপী ফ্রিকোয়েন্সি এবং সীমাবদ্ধতা নির্দিষ্ট করা Files .80 ফলাফলের বিকল্পগুলি নির্দিষ্ট করা . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82
বৈশিষ্ট্য এবং নির্দেশিকা নির্দিষ্ট করা . . . . . . . . . . . . . . . . . . . . . . . . . 90 সীমাবদ্ধতার মধ্যে বৈশিষ্ট্যগুলি নির্দিষ্ট করা File . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97
অনুসন্ধান করা হচ্ছে File. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98 সনাক্তকরণ Fileঅনুসন্ধানের জন্য . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99 ফিল্টার করা Fileঅনুসন্ধানের জন্য . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99 অনুসন্ধান শুরু করা হচ্ছে . . . . . . . . . . . . . . . . . . . . . . . . . . 100 LO
সংরক্ষণাগার Fileগুলি এবং প্রকল্পগুলি . .

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

একটি প্রকল্প অনুলিপি করুন। .
অধ্যায় ৫: সীমাবদ্ধতা নির্দিষ্ট করা
SCOPE এডিটর ব্যবহার করে .
স্কোপ সীমাবদ্ধতা নির্দিষ্ট করা . ১২১ ইনপুট এবং আউটপুট সীমাবদ্ধতা নির্ধারণ করা। . View SCOPE GUI এর .
সময় ব্যতিক্রমগুলি নির্দিষ্ট করা . ১৩৪ মিথ্যা পথের সংজ্ঞা দেওয়া। .
Tcl দিয়ে বস্তু খোঁজা find and expand . ১৩৬ সংগ্রহ সংজ্ঞায়িত করতে Tcl Find কমান্ড ব্যবহার করা। . . . . ১৪২
সংগ্রহ ব্যবহার করা . ১৪৫ টিসিএল কমান্ড ব্যবহার করে সংগ্রহ তৈরি করা। . ViewTcl কমান্ড ব্যবহার করে সংগ্রহগুলি পরিচালনা এবং পরিচালনা করা। . . . . . . . . . . . . . . . . . 150
SDC কে FDC তে রূপান্তর করা হচ্ছে। .
SCOPE এডিটর (লিগ্যাসি) ব্যবহার করে . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155 SCOPE সীমাবদ্ধতা (লিগ্যাসি) প্রবেশ এবং সম্পাদনা . . . . . 157 ইনপুট এবং আউটপুট সীমাবদ্ধতা (উত্তরাধিকার) সংজ্ঞায়িত করা .

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৬: ফলাফল সংশ্লেষণ এবং বিশ্লেষণ
আপনার নকশা সংশ্লেষণ করা . . . . ১৭৪
লগ পরীক্ষা করা হচ্ছে File ফলাফল . Viewলগ তৈরি এবং কাজ করা File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179 নির্দিষ্ট প্রতিবেদনগুলি দ্রুত অ্যাক্সেস করা .183 দ্রুত নির্দিষ্ট প্রতিবেদনগুলি অ্যাক্সেস করা . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . File রিপোর্ট . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189 ওয়াচ উইন্ডো ব্যবহার করা .
বার্তা পরিচালনা করা। . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193 বার্তায় ফলাফল পরীক্ষা করা Viewer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193 বার্তায় বার্তা ফিল্টার করা Viewer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 195 কমান্ড লাইন থেকে বার্তা ফিল্টার করা . File বার্তা নিয়ন্ত্রণ .
ত্রুটিতে চালিয়ে যান ব্যবহার করা হচ্ছে। .
অধ্যায় ৭: এইচডিএল বিশ্লেষক এবং এফএসএম এর সাথে বিশ্লেষণ Viewer
স্কিম্যাটিকের কাজ করা View. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208 HDL বিশ্লেষকের মধ্যে পার্থক্য করা View. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 209 খোলা হচ্ছে Views . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 209 Viewঅবজেক্ট প্রোপার্টিজ ing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 210 RTL/প্রযুক্তিতে অবজেক্ট নির্বাচন করা View. Viewএকটি স্কিম্যাটিক উইন্ডোতে গুলি। . . . . . . . . . . . . . . . . . . . . . . . . . . . 218 স্কিম্যাটিক সেট করা View পছন্দসমূহ .
নকশা শ্রেণিবিন্যাস অন্বেষণ করা . .
বস্তু খোঁজা . Views . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 230 অনুক্রমিক এবং সীমাবদ্ধ অনুসন্ধানের জন্য Find ব্যবহার করা .

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

অনুসন্ধানগুলিকে পরিমার্জন করার জন্য ফিল্টারিংয়ের সাথে অনুসন্ধানের সমন্বয় করা। .
ক্রসপ্রোবিং . View . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 243 RTL/প্রযুক্তি থেকে ক্রসপ্রোবিং View . Viewer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 250
HDL বিশ্লেষক টুল দিয়ে বিশ্লেষণ করা। . Viewনকশার শ্রেণিবিন্যাস এবং প্রেক্ষাপট . . . . . . . . . . . 252 সম্প্রসারণ এবং Viewসংযোগ স্থাপন করা .
FSM ব্যবহার করে Viewএর . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 267
অষ্টম অধ্যায়: সময় বিশ্লেষণ
স্কিম্যাটিক পদ্ধতিতে সময় বিশ্লেষণ করা View. Viewসময় সংক্রান্ত তথ্য . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 274 স্কিম্যাটিকের সময় সংক্রান্ত তথ্য টীকাবদ্ধ করা View. . . . . . . . . . . . . . . . . . . . 275 RTL-এ ঘড়ির গাছ বিশ্লেষণ করা View । । । । । । । । । । । । । । । । । । । । । । । । । । । । । । 277 View.
STA দিয়ে কাস্টম টাইমিং রিপোর্ট তৈরি করা। . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 281
বিশ্লেষণ নকশা সীমাবদ্ধতা ব্যবহার করা। File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 286 অ্যাডসিতে বস্তুর নাম সঠিকভাবে ব্যবহার করা File . . . . . . . . . . . . . . . . . . . . . . . . . 290
স্বয়ংক্রিয় সীমাবদ্ধতা ব্যবহার করা হচ্ছে .
অধ্যায় ৯: উচ্চ-স্তরের বস্তুর অনুমান করা
সংশ্লেষণের জন্য কালো বাক্সের সংজ্ঞা দেওয়া। 298 ব্ল্যাক বক্সের সময়সীমার সীমাবদ্ধতা যোগ করা .

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

সংশ্লেষণের জন্য স্টেট মেশিনের সংজ্ঞা দেওয়া। . 307 বৈশিষ্ট্য এবং নির্দেশিকা সহ FSM নির্দিষ্ট করা .
নিরাপদ FSM নির্দিষ্ট করা . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 311
স্বয়ংক্রিয় RAM অনুমান . .
RAM আরম্ভ করা হচ্ছে . .
অধ্যায় ১০: ডিজাইন-স্তরের অপ্টিমাইজেশন নির্দিষ্ট করা
অপ্টিমাইজেশনের জন্য টিপস . .
রিটাইমিং .ampলে . .
বস্তুগুলিকে অপ্টিমাইজ করা থেকে দূরে রাখা। .
ফ্যানআউট অপ্টিমাইজ করা . .
সম্পদ ভাগাভাগি করা। .
I/Os সন্নিবেশ করানো হচ্ছে .
স্টেট মেশিন অপ্টিমাইজ করা . . . . . . 354 FSM এক্সপ্লোরার চালানো .
প্রোব ঢোকানো হচ্ছে .

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

সোর্স কোডে প্রোব নির্দিষ্ট করা।
অধ্যায় ১১: কম্পাইল পয়েন্ট নিয়ে কাজ করা
কম্পাইল পয়েন্ট বেসিকস .tagকম্পাইল পয়েন্ট ডিজাইনের es . . . . . . . . . . . . . . 366 কম্পাইল পয়েন্টের ধরণ .
কম্পাইল পয়েন্ট সংশ্লেষণের মূলনীতি। . File. . . . . . 375 কম্পাইল পয়েন্ট সংশ্লেষণ .
কম্পাইল পয়েন্ট সংশ্লেষণ করা . File কম্পাইল পয়েন্টের জন্য . . . . . . . . . . . . . . . . . . . . 388
অন্যান্য বৈশিষ্ট্য সহ কম্পাইল পয়েন্ট ব্যবহার করা। .
ক্রমবর্ধমানভাবে পুনঃসংশ্লেষণ করা .
অধ্যায় ১২: আইপি ইনপুট নিয়ে কাজ করা
SYNCore দিয়ে IP তৈরি করা হচ্ছে . . . . . . . . . . . . 402 SYNCore দিয়ে বাইট-সক্ষম RAM নির্দিষ্ট করা . 402 SYNCore সহ কাউন্টার নির্দিষ্ট করা .
সিনোপসিস এফপিজিএ আইপি এনক্রিপশন ফ্লো। .view সিনোপসিস এফপিজিএ আইপি ফ্লো এর।
এনক্রিপ্ট করা আইপি দিয়ে কাজ করা। .

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

আপনার আইপি এনক্রিপ্ট করা . . 446 স্ক্রিপ্ট আউটপুট পদ্ধতি নির্দিষ্ট করা .
হাইপার সোর্স ব্যবহার করা . . . . . . . . . . . 460 একটি IP এর নকশা শ্রেণীবিন্যাসের মাধ্যমে থ্রেডিং সংকেত . . . . . . . . . . . . . . . . . . . . 460
অধ্যায় ১৩: উৎপাদনশীলতার জন্য প্রক্রিয়াগুলি অপ্টিমাইজ করা
ব্যাচ মোড ব্যবহার করা। . File . . . ৪৬৯
Tcl স্ক্রিপ্ট এবং কমান্ডের সাথে কাজ করা . . . . . . . 472 সমান্তরাল কাজের সংখ্যা নির্ধারণ . 472 Tcl ভেরিয়েবল ব্যবহার করে বিভিন্ন টার্গেট টেকনোলজি চেষ্টা করা। .
synhooks.tcl এর সাহায্যে স্বয়ংক্রিয় প্রবাহ। . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 479
অধ্যায় ১৪: মাল্টিপ্রসেসিং ব্যবহার
কম্পাইল পয়েন্ট সহ মাল্টিপ্রসেসিং। . . . . . . . . . . . . . ৪৮৫
অধ্যায় ১৫: মাইক্রোসেমি ডিজাইনের জন্য অপ্টিমাইজেশন
মাইক্রোসেমি ডিজাইন অপ্টিমাইজ করা . . . . . . . . 488 রাধারার্ড ডিজাইনের সাথে কাজ করা .
অধ্যায় ১৬: সংশ্লেষণ আউটপুট নিয়ে কাজ করা
পিএন্ডআর টুলগুলিতে তথ্য প্রেরণ করা। . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 494

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

পিনের অবস্থান নির্দিষ্ট করা .
বিক্রেতা-নির্দিষ্ট আউটপুট তৈরি করা . . . . . . ৪৯৭
অধ্যায় ১৭: সংশ্লেষণ-পরবর্তী কার্যক্রম পরিচালনা
সংশ্লেষণের পরে স্বয়ংক্রিয়ভাবে P&R চালানো হচ্ছে। .
আইডেন্টিফাই টুল দিয়ে কাজ করা। .
VCS টুল দিয়ে সিমুলেট করা হচ্ছে। .

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

LO
মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

অধ্যায় 1
ভূমিকা
Synplify Pro® সফ্টওয়্যারের এই ভূমিকাটি নিম্নলিখিত বিষয়গুলি বর্ণনা করে:
· সিনোপসিস FPGA এবং প্রোটোটাইপিং পণ্য, পৃষ্ঠা ১৬-তে · ডকুমেন্টের পরিধি, পৃষ্ঠা ২১-এ · শুরু করা, পৃষ্ঠা ২২-এ · ব্যবহারকারী ইন্টারফেস ওভারview, পৃষ্ঠা 24 এ

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় 1: ভূমিকা

সিনোপসিস এফপিজিএ এবং প্রোটোটাইপিং পণ্য

সিনোপসিস এফপিজিএ এবং প্রোটোটাইপিং পণ্য
নিচের চিত্রটি Synopsys FPGA এবং প্রোটোটাইপিং পণ্য পরিবারের চিত্র প্রদর্শন করে।

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

LO
মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

সিনোপসিস এফপিজিএ এবং প্রোটোটাইপিং পণ্য

অধ্যায় 1: ভূমিকা

FPGA বাস্তবায়ন সরঞ্জাম
সিনপ্লিফাই প্রো এবং সিনপ্লিফাই প্রিমিয়ার পণ্যগুলি হল RTL সংশ্লেষণ সরঞ্জাম যা বিশেষভাবে FPGA (ফিল্ড প্রোগ্রামেবল গেট অ্যারে) এবং CPLD (জটিল প্রোগ্রামেবল লজিক ডিভাইস) এর জন্য ডিজাইন করা হয়েছে।

সিঙ্ক্লিফাই প্রো সিন্থেসিস সফটওয়্যার
সিনপ্লিফাই প্রো এফপিজিএ সিন্থেসিস সফটওয়্যারটি উচ্চ-কার্যক্ষমতাসম্পন্ন, সাশ্রয়ী এফপিজিএ ডিজাইন তৈরির জন্য ডি ফ্যাক্টো ইন্ডাস্ট্রি স্ট্যান্ডার্ড। এটি অনন্য
আচরণ নিষ্কাশন সংশ্লেষণ প্রযুক্তি® (BEST) অ্যালগরিদম, সম্পাদন করে
নির্দিষ্ট FPGA লজিকে RTL কোড সংশ্লেষণের আগে উচ্চ-স্তরের অপ্টিমাইজেশন। এই পদ্ধতিটি FPGA জুড়ে উচ্চতর অপ্টিমাইজেশন, দ্রুত রানটাইম এবং খুব বড় ডিজাইন পরিচালনা করার ক্ষমতা প্রদান করে। Synplify Pro সফ্টওয়্যারটি SystemVerilog এবং VHDL 2008 সহ সর্বশেষ VHDL এবং Verilog ভাষার গঠনগুলিকে সমর্থন করে। এই টুলটি প্রযুক্তি-নির্ভর যা FPGA ডিভাইস এবং বিক্রেতাদের মধ্যে একটি একক নকশা প্রকল্প থেকে দ্রুত এবং সহজে পুনঃলক্ষ্যকরণের অনুমতি দেয়।

সিঙ্কপ্লিফাই প্রিমিয়ার সিন্থেসিস সফটওয়্যার
সিনপ্লিফাই প্রিমিয়ার কার্যকারিতা হল সিনপ্লিফাই প্রো টুলের একটি সুপারসেট, যা চূড়ান্ত FPGA বাস্তবায়ন এবং ডিবাগ পরিবেশ প্রদান করে। এতে উন্নত FPGA ডিজাইনারদের জন্য সরঞ্জাম এবং প্রযুক্তির একটি বিস্তৃত স্যুট রয়েছে এবং এটি একক FPGA-ভিত্তিক প্রোটোটাইপগুলিকে লক্ষ্য করে ASIC প্রোটোটাইপারগুলির জন্য সংশ্লেষণ ইঞ্জিন হিসাবেও কাজ করে।
সিনপ্লিফাই প্রিমিয়ার পণ্যটি একক FPGA গুলিকে লক্ষ্য করে FPGA ডিজাইনার এবং ASIC প্রোটোটাইপার উভয়কেই অফার করে, যা ডিজাইন বাস্তবায়ন এবং ডিবাগ করার সবচেয়ে দক্ষ পদ্ধতি ব্যবহার করে। ডিজাইন বাস্তবায়নের ক্ষেত্রে, এতে টাইমিং ক্লোজার, লজিক যাচাইকরণ, IP ব্যবহার, ASIC সামঞ্জস্যতা এবং DSP বাস্তবায়নের কার্যকারিতা অন্তর্ভুক্ত রয়েছে, পাশাপাশি FPGA বিক্রেতা ব্যাক-এন্ড সরঞ্জামগুলির সাথে একটি শক্ত ইন্টিগ্রেশনও রয়েছে। ডিবাগের ক্ষেত্রে, এটি FPGA গুলির ইন-সিস্টেম যাচাইকরণের ব্যবস্থা করে যা ডিবাগ প্রক্রিয়াটিকে নাটকীয়ভাবে ত্বরান্বিত করে এবং অধরা ডিজাইন সমস্যাগুলি খুঁজে বের করার জন্য একটি দ্রুত এবং ক্রমবর্ধমান পদ্ধতিও অন্তর্ভুক্ত করে।

Synopsys FPGA টুলের বৈশিষ্ট্য
এই টেবিলটি Synplify Pro, Synplify, Synplify Premier এবং Synplify Premier with Design Planner পণ্যগুলির প্রধান কার্যকারিতার মধ্যে পার্থক্য করে।

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় 1: ভূমিকা

সিনোপসিস এফপিজিএ এবং প্রোটোটাইপিং পণ্য

Synplify Synplify Pro সম্পর্কে

কর্মক্ষমতা

আচরণ নিষ্কাশন সংশ্লেষণ

x

x

টেকনোলজি® (বেস্ট™)

বিক্রেতা-উত্পাদিত কোর/আইপি

x

সহায়তা (নির্দিষ্ট প্রযুক্তি)

FSM কম্পাইলার

x

x

FSM Explorer সম্পর্কে

x

গেটেড ঘড়ি রূপান্তর

x

পাইপলাইনিং নিবন্ধন করুন

x

রেজিস্টার রিটাইমিং

x

SCOPE® সীমাবদ্ধ প্রবেশ

x

x

উচ্চ নির্ভরযোগ্যতা বৈশিষ্ট্য

x

সমন্বিত স্থান-এবং-রুট

x

x

বিশ্লেষণ

এইচডিএল বিশ্লেষক®

অপশন

x

টাইমিং অ্যানালাইজার

x

পয়েন্ট টু পয়েন্ট

এফএসএম Viewer

x

ক্রসপ্রোবিং

x

প্রোব পয়েন্ট তৈরি

x

Identify® Instrumentor সম্পর্কে

x

ডিবাগার সনাক্ত করুন

শক্তি বিশ্লেষণ (SAIF)

শারীরিক নকশা

নকশা পরিকল্পনা File

LO

অঞ্চলগুলিতে যুক্তিবিদ্যার অ্যাসাইনমেন্ট

সিঙ্ক্লিফাই প্রিমিয়ার
x
x
xxxxxxx
xx
xxxxxx

সিঙ্ক্লিফাই প্রিমিয়ার ডিপি
x
x
xxxxxxx
xx
xxxxxx
xx

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

সিনোপসিস এফপিজিএ এবং প্রোটোটাইপিং পণ্য

অধ্যায় 1: ভূমিকা

এলাকা অনুমান এবং অঞ্চল ক্ষমতা পিন অ্যাসাইনমেন্ট শারীরিক অপ্টিমাইজেশন শারীরিক সংশ্লেষণ শারীরিক বিশ্লেষক সিনোপসিস ডিজাইনওয়্যার® ফাউন্ডেশন লাইব্রেরি রানটাইম হায়ারার্কিকাল ডিজাইন বর্ধিত অপ্টিমাইজেশন দ্রুত সংশ্লেষণ মাল্টিপ্রসেসিং কম্পাইল অন ত্রুটি দল নকশা মিশ্র ভাষা নকশা কম্পাইল পয়েন্ট হায়ারার্কিকাল ডিজাইন সত্য ব্যাচ মোড (শুধুমাত্র ভাসমান লাইসেন্স) GUI ব্যাচ মোড (ভাসমান লাইসেন্স) ব্যাচ মোড P&R পি&আর ডেটার ব্যাক-অ্যানোটেশন আনুষ্ঠানিক যাচাইকরণ

Synplify Synplify Pro সম্পর্কে

x

xxxx

x

x

x

x

ইন্টিগ্রেশন সনাক্ত করুন

লিমিটেড

x

সিঙ্ক্লিফাই প্রিমিয়ার
xxx
xxxxx
xxxx
x
x লজিক সংশ্লেষণ মোড x

সিঙ্ক্লিফাই প্রিমিয়ার ডিপি
x
xxxxx
xxxxx
xxxx
x
xx লজিক সংশ্লেষণ মোড
x

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় 1: ভূমিকা

সিনোপসিস এফপিজিএ এবং প্রোটোটাইপিং পণ্য

পিএন্ডআর ডেটা ডিজাইন এনভায়রনমেন্ট টেক্সট এডিটরের ব্যাক-টীকা View ওয়াচ উইন্ডো মেসেজ উইন্ডো টিসিএল উইন্ডো একাধিক বাস্তবায়ন বিক্রেতা প্রযুক্তি সহায়তা প্রোটোটাইপিং বৈশিষ্ট্য রানটাইম বৈশিষ্ট্য কম্পাইল পয়েন্ট গেটেড ক্লক রূপান্তর ত্রুটির উপর কম্পাইল

Synplify Synplify Pro সম্পর্কে

x

x

x

x

x

x

x

x

x

সিঙ্ক্লিফাই প্রিমিয়ার
xxxxx নির্বাচিত
xxxx

সিঙ্ক্লিফাই প্রিমিয়ার ডিপি
x
xxxxx নির্বাচিত
xxxx

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

LO
মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

নথির পরিধি

অধ্যায় 1: ভূমিকা

নথির পরিধি
নিম্নলিখিতটি এই নথির পরিধি এবং উদ্দিষ্ট পাঠকদের ব্যাখ্যা করে।

ডকুমেন্ট সেট
এই ব্যবহারকারী নির্দেশিকাটি একটি ডকুমেন্ট সেটের অংশ যার মধ্যে একটি রেফারেন্স ম্যানুয়াল এবং একটি টিউটোরিয়াল রয়েছে। এটি সেটের অন্যান্য ডকুমেন্টের সাথে ব্যবহারের জন্য তৈরি। এটি সাধারণ কাজগুলি সম্পন্ন করার জন্য Synopsys FPGA সফ্টওয়্যার কীভাবে ব্যবহার করতে হয় তা বর্ণনা করার উপর মনোনিবেশ করে। এর অর্থ হল নিম্নলিখিতগুলি:
· ব্যবহারকারী নির্দেশিকাটি কেবল সাধারণ কাজগুলি করার জন্য প্রয়োজনীয় বিকল্পগুলি ব্যাখ্যা করে।
ম্যানুয়ালটিতে বর্ণিত। এটি প্রতিটি উপলব্ধ কমান্ড এবং বিকল্প বর্ণনা করে না। সমস্ত কমান্ড বিকল্প এবং বাক্য গঠনের সম্পূর্ণ বিবরণের জন্য, ইউজার ইন্টারফেস ওভার দেখুন।view সিনোপসিস এফপিজিএ সিনথেসিস রেফারেন্স ম্যানুয়ালের অধ্যায়।
· ব্যবহারকারী নির্দেশিকাটিতে কার্য-ভিত্তিক তথ্য রয়েছে। এর একটি ভাঙ্গনের জন্য
তথ্য কীভাবে সংগঠিত করা হয়, পৃষ্ঠা ২২-এ সহায়তা পাওয়া দেখুন।

শ্রোতা
Synplify Pro সফটওয়্যার টুলটি FPGA সিস্টেম ডেভেলপারের জন্য তৈরি। ধরে নেওয়া হচ্ছে যে আপনি নিম্নলিখিত বিষয়গুলি সম্পর্কে জ্ঞানী:
· ডিজাইন সংশ্লেষণ · আরটিএল · এফপিজিএ · ভেরিলগ/ভিএইচডিএল

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় 1: ভূমিকা

শুরু করা

শুরু করা
এই বিভাগটি আপনাকে Synopsys FPGA সংশ্লেষণ সফ্টওয়্যার দিয়ে কীভাবে শুরু করবেন তা দেখায়। এটি নিম্নলিখিত বিষয়গুলি বর্ণনা করে, কিন্তু লাইসেন্সিং এবং ইনস্টলেশন সম্পর্কে ইনস্টলেশন নির্দেশাবলীতে থাকা তথ্যকে বাদ দেয় না:
· সফটওয়্যার শুরু করা, পৃষ্ঠা ২২ · সাহায্য নেওয়া, পৃষ্ঠা ২২

সফটওয়্যার শুরু হচ্ছে
১. যদি আপনি ইতিমধ্যেই এটি না করে থাকেন, তাহলে ইনস্টলেশন নির্দেশাবলী অনুসারে Synopsys FPGA সংশ্লেষণ সফ্টওয়্যারটি ইনস্টল করুন।
2. সফটওয়্যারটি শুরু করুন।
আপনি যদি একটি Windows প্ল্যাটফর্মে কাজ করেন, তাহলে নির্বাচন করুন
স্টার্ট বোতাম থেকে Programs->Synopsys->পণ্য সংস্করণ।
আপনি যদি UNIX প্ল্যাটফর্মে কাজ করেন, তাহলে উপযুক্ত টাইপ করুন
কমান্ড লাইনে কমান্ড:
synplify_pro সম্পর্কে
· কমান্ডটি সিন্থেসিস টুলটি শুরু করে এবং প্রজেক্ট উইন্ডোটি খোলে। যদি
আপনি যদি আগে সফটওয়্যারটি চালান, তাহলে উইন্ডোটি পূর্ববর্তী প্রকল্পটি প্রদর্শন করবে। ইন্টারফেস সম্পর্কে আরও তথ্যের জন্য, ইউজার ইন্টারফেস ওভার দেখুন।view রেফারেন্স ম্যানুয়ালের অধ্যায়।

সাহায্য পাচ্ছি
Synopsys সাপোর্টে কল করার আগে, নথিভুক্ত তথ্যগুলি দেখুন। আপনি সহায়তা মেনু থেকে অনলাইনে তথ্য অ্যাক্সেস করতে পারেন, অথবা PDF সংস্করণটি দেখুন। নিম্নলিখিত টেবিলটি আপনাকে তথ্য কীভাবে সংগঠিত করা হয় তা দেখায়।

LO

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

শুরু করা
সাহায্যের জন্য... সফ্টওয়্যার বৈশিষ্ট্য ব্যবহার করে কিভাবে...
প্রবাহ তথ্য
ত্রুটি বার্তা লাইসেন্সিং বৈশিষ্ট্য এবং নির্দেশাবলী সংশ্লেষণ বৈশিষ্ট্য ভাষা এবং বাক্য গঠন Tcl বাক্য গঠন Tcl সংশ্লেষণ কমান্ড পণ্য আপডেট

অধ্যায় 1: ভূমিকা
Synopsys FPGA সংশ্লেষণ ব্যবহারকারী নির্দেশিকা Synopsys FPGA সংশ্লেষণ ব্যবহারকারী নির্দেশিকা, সহায়তার উপর অ্যাপ্লিকেশন নোটগুলি দেখুন। web সাইট সিনোপসিস এফপিজিএ সিনথেসিস ব্যবহারকারী নির্দেশিকা, সহায়তার উপর অ্যাপ্লিকেশন নোট web সাইট অনলাইন সাহায্য (সহায়তা->ত্রুটি বার্তা নির্বাচন করুন) Synopsys SolvNet Webসাইট সিনোপসিস এফপিজিএ সিনথেসিস রেফারেন্স ম্যানুয়াল সিনোপসিস এফপিজিএ সিনথেসিস রেফারেন্স ম্যানুয়াল সিনোপসিস এফপিজিএ সিনথেসিস রেফারেন্স ম্যানুয়াল অনলাইন সহায়তা (সহায়তা->টিসিএল সহায়তা নির্বাচন করুন) সিনোপসিস এফপিজিএ সিনথেসিস রেফারেন্স ম্যানুয়াল সিনোপসিস এফপিজিএ সিনথেসিস রেফারেন্স ম্যানুয়াল (Web মেনু কমান্ড)

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় 1: ভূমিকা

ইউজার ইন্টারফেস ওভারview

ইউজার ইন্টারফেস ওভারview
ইউজার ইন্টারফেস (UI) একটি প্রধান উইন্ডো নিয়ে গঠিত, যাকে বলা হয় প্রজেক্ট view, এবং বিশেষায়িত জানালা অথবা viewবিভিন্ন কাজের জন্য। প্রতিটি বৈশিষ্ট্য সম্পর্কে বিস্তারিত জানার জন্য, অধ্যায় 2, ব্যবহারকারী ইন্টারফেস ওভার দেখুনview সিনোপসিস এফপিজিএ সিনথেসিস রেফারেন্স ম্যানুয়াল।

সিঙ্কপ্লিফাই প্রো ইন্টারফেস

বোতাম প্যানেল

টুলবার প্রকল্প view

স্ট্যাটাস

বাস্তবায়ন ফলাফল view

অ্যাক্সেস করার জন্য ট্যাব views

Tcl স্ক্রিপ্ট/বার্তা উইন্ডো LO

ওয়াচ উইন্ডো

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

অধ্যায় 2
FPGA সংশ্লেষণ নকশা প্রবাহ
এই অধ্যায়ে ২৬ পৃষ্ঠায় লজিক সিন্থেসিস ডিজাইন প্রবাহ বর্ণনা করা হয়েছে।

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ২: FPGA সংশ্লেষণ নকশা প্রবাহ

লজিক সংশ্লেষণ নকশা প্রবাহ

লজিক সংশ্লেষণ নকশা প্রবাহ

Synopsys FPGA টুলগুলি প্রথমে RTL উৎসকে প্রযুক্তি-স্বাধীন লজিক কাঠামোতে সংকলন করে এবং তারপর প্রযুক্তি-নির্দিষ্ট রিসোর্সে লজিককে অপ্টিমাইজ এবং ম্যাপ করে যুক্তি সংশ্লেষণ করে। লজিক সংশ্লেষণের পরে, টুলটি একটি বিক্রেতা-নির্দিষ্ট নেটলিস্ট এবং সীমাবদ্ধতা তৈরি করে। file যা আপনি প্লেস-এন্ড-রুট (P&R) টুলের ইনপুট হিসেবে ব্যবহার করতে পারেন।
নিচের চিত্রটিতে লজিক সংশ্লেষণের জন্য ব্যবহৃত পর্যায় এবং সরঞ্জাম এবং কিছু প্রধান ইনপুট এবং আউটপুট দেখানো হয়েছে। আপনি এই প্রবাহের জন্য Synplify Pro সংশ্লেষণ সফ্টওয়্যার ব্যবহার করতে পারেন। ইন্টারেক্টিভ সময় বিশ্লেষণ ঐচ্ছিক। যদিও প্রবাহটি বিক্রেতার সীমাবদ্ধতা দেখায় files কে P&R টুলে সরাসরি ইনপুট হিসেবে ব্যবহার করলে, আপনার এগুলো যোগ করা উচিত fileব্ল্যাক বক্সের সময় নির্ধারণের জন্য সংশ্লেষণ প্রকল্পের জন্য।

সিনোপসিস এফপিজিএ টুল

আরটিএল

আরটিএল সংকলন

এফডিসি

লজিক সংশ্লেষণ

সংশ্লেষিত নেটলিস্ট সংশ্লেষণ সীমাবদ্ধতা বিক্রেতার সীমাবদ্ধতা
বিক্রেতা টুল
স্থান ও পথ

লজিক সংশ্লেষণ পদ্ধতি

নির্দিষ্ট ডিজাইনের উপর ভিত্তি করে ধাপে ধাপে নির্দেশাবলী সহ একটি ডিজাইন প্রবাহের জন্য

তথ্য, টিউটোরিয়ালটি ডাউনলোড করুন থেকে webসাইট। নিম্নলিখিত ধাপগুলি সংক্ষিপ্ত করা হল

নকশা সংশ্লেষণের পদ্ধতি, যা চিত্রিত করা হয়েছে

এর পরের চিত্র।

LO

1. একটি প্রকল্প তৈরি করুন।

2. উৎস যোগ করুন fileপ্রকল্পে এস.

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

লজিক সংশ্লেষণ নকশা প্রবাহ

অধ্যায় ২: FPGA সংশ্লেষণ নকশা প্রবাহ

৩. ডিজাইনের জন্য বৈশিষ্ট্য এবং সীমাবদ্ধতা নির্ধারণ করুন।
৪. বাস্তবায়ন বিকল্প ডায়ালগ বাক্সে বাস্তবায়নের জন্য বিকল্পগুলি সেট করুন।
৫. লজিক সিনথেসিস চালানোর জন্য রান এ ক্লিক করুন।
৬. লগের মতো সরঞ্জাম ব্যবহার করে ফলাফল বিশ্লেষণ করুন file, এইচডিএল বিশ্লেষক পরিকল্পিত views, বার্তা উইন্ডো এবং ওয়াচ উইন্ডো।
নকশা সম্পন্ন করার পরে, আপনি আউটপুট ব্যবহার করতে পারেন fileবিক্রেতা টুল দিয়ে প্লেস-এন্ড-রুট চালানো এবং FPGA বাস্তবায়ন করা।
নিম্নলিখিত চিত্রটি প্রবাহের প্রধান ধাপগুলি তালিকাভুক্ত করে:

প্রকল্প তৈরি করুন
উৎস যোগ করুন Files
সীমাবদ্ধতা সেট করুন
বিকল্প সেট করুন
সফটওয়্যারটি চালান
ফলাফল বিশ্লেষণ করুন কোন লক্ষ্য অর্জন হয়নি?
হ্যাঁ স্থান এবং রুট

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ২: FPGA সংশ্লেষণ নকশা প্রবাহ

লজিক সংশ্লেষণ নকশা প্রবাহ

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

LO
মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

অধ্যায় 3
ইনপুট প্রস্তুত করা হচ্ছে
যখন আপনি একটি নকশা সংশ্লেষণ করেন, তখন আপনাকে দুই ধরণের সেট আপ করতে হবে fileগুলি: এইচডিএল fileযা আপনার নকশা এবং প্রকল্পের বর্ণনা দেয় fileনকশা পরিচালনা করার জন্য। এই অধ্যায়ে এইগুলি সেট আপ করার পদ্ধতিগুলি বর্ণনা করা হয়েছে files এবং প্রকল্প। এটি নিম্নলিখিত বিষয়গুলি কভার করে:
· HDL উৎস সেট আপ করা Files, পৃষ্ঠা ৩০-এ · মিশ্র ভাষার উৎস ব্যবহার Files, পৃষ্ঠা ৪৪-এ · ইনক্রিমেন্টাল কম্পাইলার ব্যবহার করা, পৃষ্ঠা ৪৯-এ · স্ট্রাকচারাল ভেরিলগ ফ্লো ব্যবহার করা, পৃষ্ঠা ৫১-এ · সীমাবদ্ধতার সাথে কাজ করা Files, পৃষ্ঠা 53-এ

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৩: ইনপুট প্রস্তুত করা

HDL উৎস সেট আপ করা হচ্ছে Files

HDL উৎস সেট আপ করা হচ্ছে Files
এই বিভাগটি আপনার উৎস কীভাবে সেট আপ করবেন তা বর্ণনা করে। fileপ্রকল্প file সেটআপ প্রকল্প সেটআপে বর্ণনা করা হয়েছে Files, পৃষ্ঠা ৫৮-এ। উৎস files Verilog অথবা VHDL-এ হতে পারে। গঠন সম্পর্কে তথ্যের জন্য fileসংশ্লেষণের জন্য, রেফারেন্স ম্যানুয়ালটি দেখুন। এই বিভাগে নিম্নলিখিত বিষয়গুলি নিয়ে আলোচনা করা হয়েছে:
· HDL উৎস তৈরি করা Files, পৃষ্ঠা ৩০-এ · কনটেক্সট হেল্প এডিটর ব্যবহার করে, পৃষ্ঠা ৩২-এ · HDL উৎস পরীক্ষা করা হচ্ছে Files, পৃষ্ঠা ৩৪-এ · HDL উৎস সম্পাদনা করা হচ্ছে Fileবিল্ট-ইন টেক্সট এডিটর সহ, পৃষ্ঠা ৩৫ · একটি বহিরাগত টেক্সট এডিটর ব্যবহার, পৃষ্ঠা ৪১ · সম্পাদনা উইন্ডো পছন্দ নির্ধারণ, পৃষ্ঠা ৩৯ · Verilog লাইব্রেরির জন্য লাইব্রেরি এক্সটেনশন ব্যবহার করা Files, পৃষ্ঠা 42-এ

এইচডিএল উৎস তৈরি করা হচ্ছে Files
এই বিভাগে সোর্স তৈরি করতে বিল্ট-ইন টেক্সট এডিটর কীভাবে ব্যবহার করবেন তা বর্ণনা করা হয়েছে files, কিন্তু কী তার বিশদে যান না files ধারণ করে। আপনি কী অন্তর্ভুক্ত করতে পারবেন এবং কী অন্তর্ভুক্ত করতে পারবেন না তার বিশদ বিবরণের জন্য, সেইসাথে বিক্রেতা-নির্দিষ্ট তথ্যের জন্য, রেফারেন্স ম্যানুয়ালটি দেখুন। যদি আপনার ইতিমধ্যেই উৎস থাকে files, আপনি সিনট্যাক্স পরীক্ষা করতে বা সম্পাদনা করতে টেক্সট এডিটর ব্যবহার করতে পারেন file (এইচডিএল উৎস পরীক্ষা করা দেখুন) Files, পৃষ্ঠা 34-এ এবং HDL উৎস সম্পাদনা File(পৃষ্ঠা ৩৫-এ বিল্ট-ইন টেক্সট এডিটর সহ)।
তুমি তোমার উৎসের জন্য Verilog অথবা VHDL ব্যবহার করতে পারো। files দ files-এর v (Verilog) অথবা vhd (VHDL) আছে file এক্সটেনশন, যথাক্রমে। আপনি Verilog এবং VHDL ব্যবহার করতে পারেন fileএকই ডিজাইনে। Verilog এবং VHDL ইনপুটের মিশ্রণ ব্যবহার সম্পর্কে তথ্যের জন্য files, মিশ্র ভাষার উৎস ব্যবহার দেখুন Files, পৃষ্ঠা 44 এ।
১. একটি নতুন উৎস তৈরি করতে file হয় HDL-এ ক্লিক করুন file আইকন ( ) অথবা নিম্নলিখিতগুলি করুন:
নির্বাচন করুন File->নতুন অথবা Ctrl-n টিপুন।
নতুন ডায়ালগ বাক্সে, উৎসের ধরণ নির্বাচন করুন file তুমি তৈরি করতে চাও,
Verilog অথবা VHDL। NotLeOযে Verilog ডিজাইনগুলিতে SystemVerilog কনস্ট্রাক্ট থাকে, সেগুলির জন্য আপনি Context Help Editor ব্যবহার করতে পারেন।

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

HDL উৎস সেট আপ করা হচ্ছে Files

অধ্যায় ৩: ইনপুট প্রস্তুত করা

fileআরও তথ্যের জন্য, পৃষ্ঠা ৩২-এ প্রসঙ্গ সহায়তা সম্পাদক ব্যবহার দেখুন।
যদি আপনি Verilog 2001 ফর্ম্যাট অথবা SystemVerilog ব্যবহার করেন, তাহলে synthesis (Project->Implementation Options->Verilog ট্যাব) চালানোর আগে Verilog 2001 অথবা System Verilog বিকল্পটি সক্রিয় করতে ভুলবেন না। ডিফল্ট Verilog file নতুন প্রকল্পের জন্য ফর্ম্যাট হল SystemVerilog।

এর জন্য একটি নাম এবং অবস্থান টাইপ করুন file এবং ঠিক আছে ক্লিক করুন। একটি ফাঁকা সম্পাদনা
বাম দিকে লাইন নম্বর সহ উইন্ডোটি খোলে।
২. উইন্ডোতে উৎসের তথ্য টাইপ করুন, অথবা কেটে পেস্ট করুন। HDL উৎস সম্পাদনা দেখুন Fileসম্পাদনা উইন্ডোতে কাজ করার বিষয়ে আরও তথ্যের জন্য ৩৫ পৃষ্ঠায় বিল্ট-ইন টেক্সট এডিটর সহ।

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৩: ইনপুট প্রস্তুত করা

HDL উৎস সেট আপ করা হচ্ছে Files

সেরা সংশ্লেষণের ফলাফলের জন্য, রেফারেন্স ম্যানুয়ালটি পরীক্ষা করুন এবং নিশ্চিত করুন যে আপনি উপলব্ধ গঠন এবং বিক্রেতা-নির্দিষ্ট বৈশিষ্ট্য এবং নির্দেশাবলী কার্যকরভাবে ব্যবহার করছেন।
3. সংরক্ষণ করুন file নির্বাচন করে File->সংরক্ষণ করুন অথবা সংরক্ষণ আইকন ( )।
একবার আপনি একটি উৎস তৈরি করলে file, আপনি পরীক্ষা করতে পারেন যে আপনার সঠিক বাক্য গঠন আছে কিনা, যেমনটি HDL উৎস পরীক্ষা করা হচ্ছে বিভাগে বর্ণিত হয়েছে। Files, পৃষ্ঠা 34 এ।

কনটেক্সট হেল্প এডিটর ব্যবহার করা
যখন আপনি একটি Verilog ডিজাইন তৈরি করেন বা খোলেন file, সোর্সে Verilog/SystemVerilog কনস্ট্রাক্টের কোডিংয়ে সাহায্য করার জন্য উইন্ডোর নীচে প্রদর্শিত Context Help বোতামটি ব্যবহার করুন। file অথবা আপনার Tcl-তে Tcl সীমাবদ্ধতা কমান্ড file.
কনটেক্সট হেল্প এডিটর ব্যবহার করতে:
১. এই টেক্সট এডিটরটি প্রদর্শন করতে কনটেক্সট হেল্প বোতামে ক্লিক করুন।

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

LO
মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

HDL উৎস সেট আপ করা হচ্ছে Files

অধ্যায় ৩: ইনপুট প্রস্তুত করা

২. যখন আপনি উইন্ডোর বাম দিকে একটি কনস্ট্রাক্ট নির্বাচন করেন, তখন কনস্ট্রাক্টের জন্য অনলাইন সহায়তার বিবরণ প্রদর্শিত হয়। যদি নির্বাচিত কনস্ট্রাক্টে এই বৈশিষ্ট্যটি সক্ষম করা থাকে, তাহলে অনলাইন সহায়তার বিষয় উইন্ডোর উপরে প্রদর্শিত হয় এবং নীচে সেই কনস্ট্রাক্টের জন্য একটি জেনেরিক কোড বা কমান্ড টেমপ্লেট প্রদর্শিত হয়।

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৩: ইনপুট প্রস্তুত করা

HDL উৎস সেট আপ করা হচ্ছে Files

৩. "টেমপ্লেট সন্নিবেশ করুন" বোতামটিও সক্রিয় করা আছে। যখন আপনি "টেমপ্লেট সন্নিবেশ করুন" বোতামটি ক্লিক করেন, তখন টেমপ্লেট উইন্ডোতে প্রদর্শিত কোড বা কমান্ডটি আপনার "টেমপ্লেট" উইন্ডোতে সন্নিবেশ করা হয়। file কার্সারের অবস্থানে। এটি আপনাকে সহজেই কোড বা কমান্ড সন্নিবেশ করতে এবং আপনি যে নকশাটি সংশ্লেষণ করতে যাচ্ছেন তার জন্য এটি পরিবর্তন করতে দেয়।
৪. যদি আপনি টেমপ্লেটের শুধুমাত্র কিছু অংশ কপি করতে চান, তাহলে আপনি যে কোড বা কমান্ডটি সন্নিবেশ করতে চান তা নির্বাচন করুন এবং কপি ক্লিক করুন। তারপর আপনি এটি আপনার file.

এইচডিএল উৎস পরীক্ষা করা হচ্ছে Files

সফটওয়্যারটি স্বয়ংক্রিয়ভাবে আপনার HDL উৎস পরীক্ষা করে। files ব্যবহার করে, কিন্তু যদি আপনি সংশ্লেষণের আগে আপনার সোর্স কোড পরীক্ষা করতে চান, তাহলে নিম্নলিখিত পদ্ধতিটি ব্যবহার করুন। সংশ্লেষণ সফ্টওয়্যারে আপনি দুই ধরণের পরীক্ষা করেন: সিনট্যাক্স এবং সংশ্লেষণ।

১. উৎস নির্বাচন করুন fileতুমি কি পরীক্ষা করতে চাও।
সমস্ত উৎস পরীক্ষা করার জন্য fileএকটি প্রকল্পে, সবগুলো অনির্বাচন করুন files মধ্যে
প্রকল্পের তালিকা, এবং নিশ্চিত করুন যে এর কোনটিই নয় fileগুলি একটি সক্রিয় উইন্ডোতে খোলা আছে। যদি আপনার একটি সক্রিয় উৎস থাকে file, সফ্টওয়্যারটি শুধুমাত্র সক্রিয় পরীক্ষা করে file.
একটি একক পরীক্ষা করার জন্য file, খুলুন file সঙ্গে File-> খুলুন বা ডাবল ক্লিক করুন
file প্রজেক্ট উইন্ডোতে। যদি আপনার একাধিক থাকে file খুলুন এবং তাদের মধ্যে শুধুমাত্র একটি পরীক্ষা করতে চান, আপনার কার্সারটি উপযুক্ত স্থানে রাখুন file উইন্ডোটি সক্রিয় কিনা তা নিশ্চিত করতে।

2. সিনট্যাক্স পরীক্ষা করতে, Run->Syntax Check নির্বাচন করুন অথবা Shift+F7 টিপুন।

সফ্টওয়্যারটি ভুল কীওয়ার্ড এবং বিরামচিহ্নের মতো বাক্য গঠনের ত্রুটি সনাক্ত করে এবং কোনও ত্রুটি পৃথক লগে রিপোর্ট করে। file (syntax.log)। যদি কোন ত্রুটি সনাক্ত না হয়, তাহলে এর নীচে একটি সফল সিনট্যাক্স পরীক্ষা রিপোর্ট করা হবে। file.

৩. একটি সংশ্লেষণ পরীক্ষা চালানোর জন্য, Run->Synthesis Check নির্বাচন করুন অথবা Shift+F3 টিপুন।

সফ্টওয়্যারটি হার্ডওয়্যার-সম্পর্কিত ত্রুটি সনাক্ত করে যেমন ভুলভাবে কোড করা

ফ্লিপ-ফ্লপ করে এবং কোনও ত্রুটি আলাদা লগে রিপোর্ট করে file (syntax.log)। যদি থাকে

কোন ত্রুটি নেই, এর নীচে একটি সফল সিনট্যাক্স চেক রিপোর্ট করা হয়েছে

file.

LO

4. পুনরায়view syntax.log খোলার মাধ্যমে ত্রুটিগুলি দূর করুন file যখন অনুরোধ করা হবে এবং ত্রুটি বার্তাটি সনাক্ত করতে Find ব্যবহার করুন (@E অনুসন্ধান করুন)। ডাবল-ক্লিক করুন

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

HDL উৎস সেট আপ করা হচ্ছে Files

অধ্যায় ৩: ইনপুট প্রস্তুত করা

৫-অক্ষরের ত্রুটি কোড অথবা বার্তার টেক্সটে ক্লিক করুন এবং অনলাইন ত্রুটি বার্তা সহায়তা প্রদর্শন করতে F5 চাপুন।
৫. syntax.log-এ মেসেজ টেক্সটের উপর ডাবল ক্লিক করে ত্রুটির জন্য দায়ী কোডের অংশটি সনাক্ত করুন। file. টেক্সট এডিটর উইন্ডোটি উপযুক্ত উৎসটি খোলে file এবং ত্রুটির কারণ কোডটি হাইলাইট করে।
৬. সমস্ত বাক্য গঠন এবং সংশ্লেষণ ত্রুটি সংশোধন না হওয়া পর্যন্ত ৪ এবং ৫ ধাপ পুনরাবৃত্তি করুন।
বার্তাগুলিকে ত্রুটি, সতর্কতা, অথবা নোট হিসাবে শ্রেণীবদ্ধ করা যেতে পারে।view সকল বার্তা এবং যেকোনো ত্রুটি সমাধান করুন। সতর্কতাগুলি ত্রুটির চেয়ে কম গুরুতর, তবে আপনাকে অবশ্যই সেগুলি পড়তে হবে এবং বুঝতে হবে, এমনকি যদি আপনি সেগুলি সব সমাধান নাও করতে পারেন। নোটগুলি তথ্যবহুল এবং সমাধান করার প্রয়োজন নেই।

HDL উৎস সম্পাদনা করা হচ্ছে Fileবিল্ট-ইন টেক্সট এডিটর সহ
বিল্ট-ইন টেক্সট এডিটর আপনার HDL সোর্স কোড তৈরি করা সহজ করে তোলে, view এটি ব্যবহার করুন, অথবা ত্রুটিগুলি ঠিক করার প্রয়োজন হলে এটি সম্পাদনা করুন। যদি আপনি একটি বহিরাগত টেক্সট এডিটর ব্যবহার করতে চান, তাহলে ৪১ পৃষ্ঠায় একটি বহিরাগত টেক্সট এডিটর ব্যবহার দেখুন।
১. একটি উৎস খুলতে নিম্নলিখিতগুলির মধ্যে একটি করুন file জন্য viewঅন্তর্ভুক্তি বা সম্পাদনা:
প্রথমটি স্বয়ংক্রিয়ভাবে খুলতে file ত্রুটিযুক্ত তালিকায়, F5 টিপুন।
একটি নির্দিষ্ট খোলার জন্য file, ডাবল ক্লিক করুন file প্রজেক্ট উইন্ডোতে অথবা
ব্যবহার File-> খুলুন (Ctrl-o) এবং উৎস উল্লেখ করুন file.
টেক্সট এডিটর উইন্ডোটি খোলে এবং সোর্সটি প্রদর্শন করে file। লাইনগুলি সংখ্যাযুক্ত। কীওয়ার্ডগুলি নীল রঙে এবং মন্তব্যগুলি সবুজ রঙে। স্ট্রিং মানগুলি লাল রঙে। আপনি যদি এই রঙগুলি পরিবর্তন করতে চান, তাহলে পৃষ্ঠা 39-এ "সম্পাদনা উইন্ডো পছন্দগুলি সেট করা" দেখুন।

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৩: ইনপুট প্রস্তুত করা

HDL উৎস সেট আপ করা হচ্ছে Files

2. একটি সম্পাদনা করতে file, উইন্ডোতে সরাসরি টাইপ করুন।
এই টেবিলে আপনার ব্যবহৃত সাধারণ সম্পাদনা ক্রিয়াকলাপগুলির সারসংক্ষেপ দেওয়া হয়েছে। আপনি কমান্ডের পরিবর্তে কীবোর্ড শর্টকাটও ব্যবহার করতে পারেন।

প্রতি…

করো...

কাট, কপি এবং পেস্ট করুন; পপআপ থেকে কমান্ডটি নির্বাচন করুন (ডান মাউস বোতামটি দিয়ে পূর্বাবস্থায় ফেরান, অথবা পুনরায় করুন) অথবা সম্পাদনা মেনু।

একটি নির্দিষ্ট লাইনে যান

Ctrl-g টিপুন অথবা Edit->Go To নির্বাচন করুন, লাইন নম্বরটি টাইপ করুন এবং OK ক্লিক করুন।

টেক্সট খুঁজুন

Ctrl-f টিপুন অথবা Edit ->Find নির্বাচন করুন। আপনি যে টেক্সটটি খুঁজে পেতে চান তা টাইপ করুন এবং OK ক্লিক করুন।

টেক্সট প্রতিস্থাপন করুন

Ctrl-h টিপুন অথবা Edit->Replace নির্বাচন করুন। আপনি যে টেক্সটটি খুঁজে পেতে চান এবং যে টেক্সটটি দিয়ে এটি প্রতিস্থাপন করতে চান তা টাইপ করুন। OK ক্লিক করুন।

একটি কীওয়ার্ড পূরণ করুন

কীওয়ার্ডটি স্বতন্ত্রভাবে সনাক্ত করার জন্য পর্যাপ্ত অক্ষর টাইপ করুন এবং Esc টিপুন।

ডানদিকে টেক্সট ইন্ডেন্ট করুন ব্লকটি নির্বাচন করুন এবং ট্যাব টিপুন। বাম দিকে টেক্সট ইন্ডেন্ট করুন LS ব্লকটি নির্বাচন করুন এবং Shift-Tab টিপুন।

বড় হাতের অক্ষরে পরিবর্তন করুন। লেখাটি নির্বাচন করুন, এবং তারপর Edit->Advanced ->Uppercase নির্বাচন করুন অথবা Ctrl-Shift-u টিপুন।

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

HDL উৎস সেট আপ করা হচ্ছে Files

অধ্যায় ৩: ইনপুট প্রস্তুত করা

প্রতি… ছোট হাতের অক্ষরে পরিবর্তন করুন ব্লক মন্তব্য যোগ করুন
কলাম সম্পাদনা করুন

করো...
টেক্সটটি নির্বাচন করুন, এবং তারপর Edit->Advanced ->Lowercase নির্বাচন করুন অথবা Ctrl-u টিপুন।
মন্তব্য লেখার শুরুতে কার্সারটি রাখুন এবং সম্পাদনা->উন্নত->মন্তব্য কোড নির্বাচন করুন অথবা Alt-c টিপুন।
Alt টিপুন, এবং কলামটি নির্বাচন করতে বাম মাউস বোতামটি ব্যবহার করুন। কিছু প্ল্যাটফর্মে, আপনাকে সেই কী ব্যবহার করতে হবে যার সাথে Alt কার্যকারিতা ম্যাপ করা হয়, যেমন Meta বা diamond key।

৩. পিডিএফ ডকুমেন্টের একটি অংশ কেটে পেস্ট করতে, টি-আকৃতির টেক্সট সিলেক্ট আইকনটি নির্বাচন করুন, আপনার প্রয়োজনীয় টেক্সটটি হাইলাইট করুন এবং কপি করে আপনার ফাইলে পেস্ট করুন। file. টেক্সট সিলেক্ট আইকন আপনাকে ডকুমেন্টের কিছু অংশ নির্বাচন করতে দেয়।
৪. আপনার বুকমার্ক তৈরি এবং কাজ করার জন্য file, নিম্নলিখিত টেবিলটি দেখুন।
বুকমার্কগুলি দীর্ঘ পথ নেভিগেট করার একটি সুবিধাজনক উপায় files অথবা আপনি যে কোডটি প্রায়শই উল্লেখ করেন তার বিন্দুতে যেতে। এই ক্রিয়াকলাপগুলির জন্য আপনি সম্পাদনা টুলবারের আইকনগুলি ব্যবহার করতে পারেন। যদি আপনি আপনার উইন্ডোর একেবারে ডানদিকে সম্পাদনা টুলবারটি দেখতে না পান, তাহলে অন্যান্য টুলবারগুলির আকার পরিবর্তন করুন।

প্রতি... একটি বুকমার্ক ঢোকান
একটি বুকমার্ক মুছুন
সমস্ত বুকমার্ক মুছুন

করো...
আপনি যে লাইনটি বুকমার্ক করতে চান তার যেকোনো জায়গায় ক্লিক করুন। Edit->Toggle Bookmarks নির্বাচন করুন, Ctrl-F2 টিপুন, অথবা Edit টুলবারের প্রথম আইকনটি নির্বাচন করুন। লাইন নম্বরটি হাইলাইট করা হয় যাতে বোঝা যায় যে লাইনের শুরুতে একটি বুকমার্ক আছে।
বুকমার্কের লাইনের যেকোনো জায়গায় ক্লিক করুন। Edit->Toggle Bookmarks নির্বাচন করুন, Ctrl-F2 টিপুন, অথবা Edit টুলবারের প্রথম আইকনটি নির্বাচন করুন। বুকমার্ক মুছে ফেলার পরে লাইন নম্বরটি আর হাইলাইট করা হয় না।
Edit->Delete all Bookmarks নির্বাচন করুন, Ctrl-Shift-F2 টিপুন, অথবা Edit টুলবারের শেষ আইকনটি নির্বাচন করুন। বুকমার্ক মুছে ফেলার পরে লাইন নম্বরগুলি আর হাইলাইট করা হয় না।

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৩: ইনপুট প্রস্তুত করা

HDL উৎস সেট আপ করা হচ্ছে Files

প্রতি…
নেভিগেট করুন a file বুকমার্ক ব্যবহার করে

করো...
আপনার পছন্দসই বুকমার্কে নেভিগেট করতে Edit মেনু থেকে Next Bookmark (F2) এবং Previous Bookmark (Shift-F2) কমান্ড ব্যবহার করুন অথবা Edit টুলবার থেকে সংশ্লিষ্ট আইকনগুলি ব্যবহার করুন।

৫. ত্রুটি সংশোধন করতে অথবা পুনরায়view সোর্স কোডে সতর্কতা থাকলে, নিম্নলিখিতগুলি করুন:
এইচডিএল খুলুন file ত্রুটি বা সতর্কতার সাথে ডাবল-ক্লিক করে file
প্রকল্পের তালিকায়।
প্রথম ত্রুটি, সতর্কতা, অথবা নোটে যেতে F5 টিপুন file। এ
সম্পাদনা উইন্ডোর নীচে, আপনি বার্তার পাঠ্য দেখতে পাবেন।
পরবর্তী ত্রুটি, সতর্কতা, অথবা নোটে যেতে, Run->Next Error/Warning নির্বাচন করুন।
অথবা F5 টিপুন। যদি আর কোন বার্তা না থাকে file, আপনি সম্পাদনা উইন্ডোর নীচে "No More Errors/Warnings/Notes" বার্তাটি দেখতে পাবেন। Run->Next Error/Warning নির্বাচন করুন অথবা পরবর্তী ত্রুটি, সতর্কতা বা নোটে যেতে F5 টিপুন। file.
পূর্ববর্তী ত্রুটি, সতর্কতা, বা নোটে ফিরে যেতে, নির্বাচন করুন
চালান->পূর্ববর্তী ত্রুটি/সতর্কতা অথবা Shift-F5 টিপুন।
৬. ত্রুটির বার্তা আনতে ত্রুটির সম্পূর্ণ বিবরণ, সতর্কতা, অথবা নোটের জন্য সাহায্য নিন:
টেক্সট-ফর্ম্যাট লগ খুলুন file (ক্লিক করুন View লগ) এবং হয় ডাবল ক্লিক করুন
৫-অক্ষরের ত্রুটি কোডটি টিপুন অথবা বার্তার টেক্সটে ক্লিক করুন এবং F5 টিপুন।
HTML লগ খুলুন file এবং ৫-অক্ষরের ত্রুটি কোডে ক্লিক করুন।
Tcl উইন্ডোতে, Messages ট্যাবে ক্লিক করুন এবং 5-অক্ষরের উপর ক্লিক করুন
আইডি কলামে ত্রুটি কোড।
৭. সোর্স কোড উইন্ডো থেকে অন্য উইন্ডোতে ক্রসপ্রোব করা views, খুলুন view এবং কোডের অংশটি নির্বাচন করুন। বিস্তারিত জানার জন্য পৃষ্ঠা 246-এ টেক্সট এডিটর উইন্ডো থেকে ক্রসপ্রোবিং দেখুন।
৮. যখন আপনি সমস্ত ত্রুটি ঠিক করে ফেলবেন, তখন নির্বাচন করুন File-> সংরক্ষণ করুন অথবা সংরক্ষণ করতে সংরক্ষণ আইকনে ক্লিক করুন file.

LO

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

HDL উৎস সেট আপ করা হচ্ছে Files

অধ্যায় ৩: ইনপুট প্রস্তুত করা

সম্পাদনা উইন্ডো পছন্দগুলি সেট করা হচ্ছে
আপনি টেক্সট এডিটিং উইন্ডোতে ব্যবহৃত ফন্ট এবং রঙগুলি কাস্টমাইজ করতে পারেন।
১. বিকল্প->সম্পাদক বিকল্প এবং Synopsys Editor অথবা External Editor নির্বাচন করুন। বহিরাগত সম্পাদক সম্পর্কে আরও তথ্যের জন্য, পৃষ্ঠা ৪১-এ বহিরাগত পাঠ্য সম্পাদক ব্যবহার দেখুন।
2. তারপর ধরণের উপর নির্ভর করে file আপনি যখন খুলবেন, তখন আপনি টেক্সট এডিটরের সাথে ব্যবহারের জন্য ব্যাকগ্রাউন্ড, সিনট্যাক্স রঙ এবং ফন্ট পছন্দ সেট করতে পারবেন।

দ্রষ্টব্য: এরপর, আপনি এর জন্য টেক্সট সম্পাদনা পছন্দগুলি সেট করেছেন file সকলের জন্য প্রযোজ্য হবে fileএর গুলি file টাইপ

টেক্সট এডিটিং উইন্ডোটি প্রকল্পের জন্য পছন্দ সেট করতে ব্যবহার করা যেতে পারে files, উৎস files (ভেরিলগ/ভিএইচডিএল), লগ fileগুলি, টিসিএল files, সীমাবদ্ধতা files, অথবা অন্য ডিফল্ট fileসম্পাদক বিকল্প ডায়ালগ বক্স থেকে s।
৩. আপনি কিছু সাধারণ সিনট্যাক্স বিকল্পের জন্য সিনট্যাক্স রঙ সেট করতে পারেন, যেমন কীওয়ার্ড, স্ট্রিং এবং মন্তব্য। উদাহরণস্বরূপampলগ ইন file, সতর্কতা এবং ত্রুটিগুলি সহজে সনাক্তকরণের জন্য রঙিন কোডেড করা যেতে পারে।
রঙ প্যালেট প্রদর্শনের জন্য সিনট্যাক্স রঙ ক্ষেত্রের সংশ্লিষ্ট বস্তুর জন্য ফোরগ্রাউন্ড বা ব্যাকগ্রাউন্ড ক্ষেত্রে ক্লিক করুন।

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৩: ইনপুট প্রস্তুত করা

HDL উৎস সেট আপ করা হচ্ছে Files

আপনি মৌলিক রঙ নির্বাচন করতে পারেন অথবা কাস্টম রঙ নির্ধারণ করতে পারেন এবং আপনার কাস্টম রঙ প্যালেটে যোগ করতে পারেন। আপনার পছন্দসই রঙ নির্বাচন করতে ঠিক আছে ক্লিক করুন।
৪. টেক্সট এডিটরের জন্য ফন্ট এবং ফন্টের আকার সেট করতে, পুল-ডাউন মেনু ব্যবহার করুন।
৫. ট্যাব সেটিংস সক্ষম করতে Keep Tabs চেক করুন, তারপর ট্যাব আকারের জন্য উপরে বা নীচের তীর ব্যবহার করে ট্যাব স্পেসিং সেট করুন।

LO 6. সম্পাদক বিকল্প ফর্মে ঠিক আছে ক্লিক করুন।
© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

HDL উৎস সেট আপ করা হচ্ছে Files

অধ্যায় ৩: ইনপুট প্রস্তুত করা

একটি বহিরাগত টেক্সট এডিটর ব্যবহার করা
আপনি বিল্ট-ইন টেক্সট এডিটরের পরিবর্তে vi অথবা emacs এর মতো একটি এক্সটার্নাল টেক্সট এডিটর ব্যবহার করতে পারেন। এক্সটার্নাল টেক্সট এডিটর সক্রিয় করতে নিম্নলিখিতগুলি করুন। বিল্ট-ইন টেক্সট এডিটর ব্যবহার সম্পর্কে তথ্যের জন্য, HDL সোর্স সম্পাদনা দেখুন। File৩৫ পৃষ্ঠায় বিল্ট-ইন টেক্সট এডিটর সহ।
১. অপশন->এডিটর অপশন নির্বাচন করুন এবং এক্সটার্নাল এডিটর অপশনটি চালু করুন।
২. আপনার অপারেটিং সিস্টেমের জন্য উপযুক্ত পদ্ধতি ব্যবহার করে বহিরাগত সম্পাদক নির্বাচন করুন।
আপনি যদি উইন্ডোজ প্ল্যাটফর্মে কাজ করেন, তাহলে …(ব্রাউজ করুন) বোতামে ক্লিক করুন।
এবং এক্সিকিউটেবল এক্সটার্নাল টেক্সট এডিটর নির্বাচন করুন।
একটি UNIX বা Linux প্ল্যাটফর্ম থেকে একটি টেক্সট এডিটরের জন্য যা নিজস্ব তৈরি করে
উইন্ডোতে, … ​​ব্রাউজ বোতামে ক্লিক করুন এবং এক্সিকিউটেবল এক্সটার্নাল টেক্সট এডিটর নির্বাচন করুন।
একটি UNIX প্ল্যাটফর্ম থেকে এমন একটি টেক্সট এডিটরের জন্য যা নিজস্ব তৈরি করে না
উইন্ডোতে, … ​​ব্রাউজ বোতামটি ব্যবহার করবেন না। পরিবর্তে xterm -e এডিটর টাইপ করুন। নিম্নলিখিত চিত্রটি VI কে বহিরাগত সম্পাদক হিসাবে উল্লেখ করা দেখায়।

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৩: ইনপুট প্রস্তুত করা

HDL উৎস সেট আপ করা হচ্ছে Files

লিনাক্স প্ল্যাটফর্ম থেকে, এমন একটি টেক্সট এডিটরের জন্য যা নিজস্ব তৈরি করে না
উইন্ডোতে, … ​​ব্রাউজ বোতামটি ব্যবহার করবেন না। পরিবর্তে, gnome-terminal -x editor টাইপ করুন। ex এর জন্য emacs ব্যবহার করতেample, টাইপ করুন gnome-terminal -x emacs।
সফটওয়্যারটি emacs এবং vi টেক্সট এডিটর দিয়ে পরীক্ষা করা হয়েছে।
3। ঠিক আছে ক্লিক করুন।

Verilog লাইব্রেরির জন্য লাইব্রেরি এক্সটেনশন ব্যবহার করা Files
লাইব্রেরি এক্সটেনশনগুলি ভেরিলগ লাইব্রেরিতে যোগ করা যেতে পারে fileপ্রকল্পের জন্য আপনার নকশায় অন্তর্ভুক্ত। যখন আপনি Verilog লাইব্রেরি ধারণকারী ডিরেক্টরিগুলিতে অনুসন্ধানের পথ প্রদান করেন files, আপনি এই নতুন লাইব্রেরি এক্সটেনশনগুলির পাশাপাশি Verilog এবং SystemVerilog (.v এবং .sv) নির্দিষ্ট করতে পারেন। file এক্সটেনশন
এটি করতে:
১. বাস্তবায়ন বিকল্প প্যানেলের Verilog ট্যাবটি নির্বাচন করুন।
২. ভেরিলগ লাইব্রেরির জন্য লাইব্রেরি ডিরেক্টরিগুলির অবস্থান উল্লেখ করুন। fileপ্রকল্পের জন্য আপনার নকশায় অন্তর্ভুক্ত করা হবে।
৩. লাইব্রেরি এক্সটেনশনগুলি নির্দিষ্ট করুন।
যেকোনো লাইব্রেরি এক্সটেনশন নির্দিষ্ট করা যেতে পারে, যেমন .av, .bv, .cv, .xxx, .va, .vas (একটি স্পেস সহ পৃথক লাইব্রেরি এক্সটেনশন)।
নিচের চিত্রটি আপনাকে ডায়ালগ বক্সে লাইব্রেরি এক্সটেনশনগুলি কোথায় প্রবেশ করতে হবে তা দেখায়।

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

LO
মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

HDL উৎস সেট আপ করা হচ্ছে Files

অধ্যায় ৩: ইনপুট প্রস্তুত করা

এই প্রাক্তনের জন্য Tcl সমতুল্যample হল নিম্নলিখিত কমান্ড:
set_option -libext .av .bv .cv .dv .ev
বিস্তারিত জানার জন্য, কমান্ড রেফারেন্সের ৫৭ পৃষ্ঠায় libext দেখুন।
৪. নকশাটি কম্পাইল করার পর, আপনি লগে যাচাই করতে পারেন file যে লাইব্রেরি fileএই এক্সটেনশনগুলির সাথে গুলি লোড করা এবং পড়া হয়েছে। উদাহরণস্বরূপampLe:
@N: SystemVerilog মোডে Verilog কম্পাইলার চালানো হচ্ছে @I::”C:dirtop.v” @N: CG1180 :”C:dirtop.v”:8:0:8:3|লোড হচ্ছে file নির্দিষ্ট লাইব্রেরি ডিরেক্টরি থেকে C:dirlib1sub1.av C:dirlib1 @I::”C:dirlib1sub1.av” @N: CG1180 :”C:dirtop.v”:10:0:10:3|লোড হচ্ছে file নির্দিষ্ট লাইব্রেরি ডিরেক্টরি থেকে C:dirlib2sub2.bv C:dirlib2 @I::”C:dirlib2sub2.bv” @N: CG1180 :”C:dirtop.v”:12:0:12:3|লোড হচ্ছে file

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৩: ইনপুট প্রস্তুত করা

মিশ্র ভাষার উৎস ব্যবহার Files

নির্দিষ্ট লাইব্রেরি ডিরেক্টরি থেকে C:dirlib3sub3.cv C:dirlib3 @I::”C:dirlib3sub3.cv” @N: CG1180 :”C:dirtop.v”:14:0:14:3|লোড হচ্ছে file নির্দিষ্ট লাইব্রেরি ডিরেক্টরি থেকে C:dirlib4sub4.dv C:dirlib4 @I::”C:dirlib4sub4.dv” @N: CG1180 :”C:dirtop.v”:16:0:16:3|লোড হচ্ছে file নির্দিষ্ট লাইব্রেরি ডিরেক্টরি থেকে C:dirlib5sub5.ev C:dirlib5 @I::”C:dirlib5sub5.ev” Verilog সিনট্যাক্স পরীক্ষা সফল হয়েছে!

মিশ্র ভাষার উৎস ব্যবহার Files
Synplify Pro সফটওয়্যারের সাহায্যে, আপনি VHDL এবং Verilog ইনপুটের মিশ্রণ ব্যবহার করতে পারেন fileআপনার প্রকল্পে। উদাহরণস্বরূপampভিএইচডিএল এবং ভেরিলোগের কিছু তথ্য files, রেফারেন্স ম্যানুয়াল দেখুন।
১. মনে রাখবেন যে Verilog অবাধ VHDL পোর্ট সমর্থন করে না এবং মিশ্র ভাষা নকশা সেট আপ করে files অনুযায়ী।
২. যদি আপনি Verilog এবং VHDL সংগঠিত করতে চান fileবিভিন্ন ফোল্ডারে s, বিকল্প->প্রকল্প নির্বাচন করুন View বিকল্প এবং টগল অন করুন View প্রকল্প Fileফোল্ডার অপশনে s।
যখন আপনি যোগ করবেন fileপ্রকল্পের জন্য, ভেরিলগ এবং ভিএইচডিএল fileগুলি প্রকল্পের পৃথক ফোল্ডারে রয়েছে view.
৩. যখন আপনি একটি প্রকল্প খুলবেন বা একটি নতুন প্রকল্প তৈরি করবেন, তখন Verilog এবং VHDL যোগ করুন fileনিম্নরূপ s:
প্রকল্প নির্বাচন করুন->উৎস যোগ করুন File কমান্ড দিন অথবা Add এ ক্লিক করুন। File বোতাম। ফর্মে, সেট করুন Fileএইচডিএল টাইপের Files (*.vhd, *.vhdl, *.v)। Verilog এবং VHDL নির্বাচন করুন। fileতুমি কি চাও এবং সেগুলো তোমার
প্রকল্প। ঠিক আছে ক্লিক করুন। যোগ করার বিশদ বিবরণের জন্য fileএকটি প্রকল্পের জন্য, পৃষ্ঠা 62-এ একটি প্রকল্পে পরিবর্তন করা দেখুন।
LO

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

মিশ্র ভাষার উৎস ব্যবহার Files

অধ্যায় ৩: ইনপুট প্রস্তুত করা

দ fileআপনার যোগ করা তথ্যগুলি প্রকল্পে প্রদর্শিত হবে viewএই চিত্রটি দেখায় যে fileআলাদা ফোল্ডারে সাজানো।
৪. যখন আপনি ডিভাইস অপশন (ইমপ্লিমেন্টেশন অপশন বাটন) সেট করবেন, তখন শীর্ষ-স্তরের মডিউলটি নির্দিষ্ট করুন। ডিভাইস অপশন সেট করার বিষয়ে আরও তথ্যের জন্য, ৭৫ পৃষ্ঠায় লজিক সংশ্লেষণ বাস্তবায়ন বিকল্পগুলি সেট করা দেখুন।
যদি শীর্ষ-স্তরের মডিউলটি Verilog হয়, তাহলে Verilog ট্যাবে ক্লিক করুন এবং টাইপ করুন
শীর্ষ-স্তরের মডিউলের নাম।
যদি শীর্ষ-স্তরের মডিউলটি VHDL হয়, তাহলে VHDL ট্যাবে ক্লিক করুন এবং নামটি টাইপ করুন
শীর্ষ-স্তরের সত্তার। যদি শীর্ষ-স্তরের মডিউলটি ডিফল্ট ওয়ার্ক লাইব্রেরিতে না থাকে, তাহলে আপনাকে অবশ্যই সেই লাইব্রেরিটি নির্দিষ্ট করতে হবে যেখানে কম্পাইলার মডিউলটি খুঁজে পেতে পারে। এটি কীভাবে করবেন সে সম্পর্কে তথ্যের জন্য, পৃষ্ঠা 200-এ VHDL প্যানেল দেখুন।

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৩: ইনপুট প্রস্তুত করা

মিশ্র ভাষার উৎস ব্যবহার Files

আপনাকে অবশ্যই শীর্ষ-স্তরের মডিউলটি স্পষ্টভাবে উল্লেখ করতে হবে, কারণ এটিই শুরুর বিন্দু যেখান থেকে ম্যাপার একটি মার্জ করা নেটলিস্ট তৈরি করে।
৫. একই ফর্মের "ইমপ্লিমেন্টেশন রেজাল্টস" ট্যাবটি নির্বাচন করুন এবং আউটপুটের জন্য একটি আউটপুট HDL ফর্ম্যাট নির্বাচন করুন। fileসফ্টওয়্যার দ্বারা তৈরি করা হয়েছে। ডিভাইস বিকল্পগুলি সেট করার বিষয়ে আরও তথ্যের জন্য, পৃষ্ঠা 75-এ লজিক সংশ্লেষণ বাস্তবায়ন বিকল্পগুলি সেট করা দেখুন।
Verilog আউটপুট নেটলিস্টের জন্য, Write Verilog Netlist নির্বাচন করুন। VHDL আউটপুট নেটলিস্টের জন্য, Write VHDL Netlist নির্বাচন করুন। অন্য যেকোনো ডিভাইস বিকল্প সেট করুন এবং OK ক্লিক করুন।
এখন আপনি আপনার নকশা সংশ্লেষিত করতে পারেন। সফ্টওয়্যারটি উৎসের মিশ্র বিন্যাসে পাঠ করে। files এবং একটি একক srs তৈরি করে file যা সংশ্লেষণের জন্য ব্যবহৃত হয়।
৬. যদি আপনার কোন সমস্যা হয়, তাহলে অতিরিক্ত তথ্য এবং টিপসের জন্য ৪৭ পৃষ্ঠায় "মিশ্র ভাষা নকশার সমস্যা সমাধান" দেখুন।
LO

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

মিশ্র ভাষার উৎস ব্যবহার Files

অধ্যায় ৩: ইনপুট প্রস্তুত করা

মিশ্র ভাষা নকশার সমস্যা সমাধান
এই বিভাগটি মিশ্র ভাষার নকশা তৈরি করতে পারে এমন নির্দিষ্ট পরিস্থিতি মোকাবেলার টিপস প্রদান করে।

ভিএইচডিএল File অর্ডার
শুধুমাত্র VHDL-এর জন্য ডিজাইন বা মিশ্র ডিজাইন যেখানে শীর্ষ স্তর নির্দিষ্ট করা নেই, FPGA সংশ্লেষণ সরঞ্জামগুলি স্বয়ংক্রিয়ভাবে VHDL পুনরায় সাজিয়ে তোলে fileযাতে VHDL প্যাকেজগুলি সঠিক ক্রমে সংকলিত হয়।
তবে, যদি আপনার একটি মিশ্র-ভাষা নকশা থাকে যেখানে আপনি শীর্ষ স্তর নির্দিষ্ট করেছেন, তাহলে আপনাকে অবশ্যই VHDL নির্দিষ্ট করতে হবে file টুলের জন্য অর্ডার করুন। আপনাকে এটি শুধুমাত্র একবার করতে হবে, Run->Arrange VHDL নির্বাচন করে files কমান্ড। যদি আপনি এটি না করেন, তাহলে আপনি একটি ত্রুটি বার্তা পাবেন।

ভিএইচডিএল গ্লোবাল সিগন্যালস
বর্তমানে, মিশ্র ভাষার ডিজাইনে VHDL গ্লোবাল সিগন্যাল ব্যবহার করা যাবে না, কারণ টুলটি শুধুমাত্র VHDL-কেবল ডিজাইনেই এই সিগন্যালগুলি প্রয়োগ করে।

VHDL বুলিয়ান জেনেরিক্সকে Verilog প্যারামিটারে স্থানান্তর করা
এই টুলটি বুলিয়ান জেনেরিক সহ একটি VHDL কম্পোনেন্টের জন্য একটি ব্ল্যাক বক্স অনুমান করে, যদি সেই কম্পোনেন্টটি Verilog ডিজাইনে ইনস্ট্যান্টিয়েট করা হয়। এর কারণ হল Verilog বুলিয়ান ডেটা টাইপ চিনতে পারে না, তাই বুলিয়ান মান সঠিকভাবে উপস্থাপন করতে হবে। যদি VHDL বুলিয়ান জেনেরিকের মান TRUE হয় এবং Verilog আক্ষরিক অর্থে 1 দ্বারা প্রতিনিধিত্ব করা হয়, তাহলে Verilog কম্পাইলার এটিকে একটি ব্ল্যাক বক্স হিসাবে ব্যাখ্যা করে।
ব্ল্যাক বক্স অনুমান করা এড়াতে, VHDL বুলিয়ান জেনেরিকের জন্য Verilog আক্ষরিক অর্থ TRUE তে সেট করা হলে 1'b1 হতে হবে, 1 নয়। একইভাবে, যদি VHDL বুলিয়ান জেনেরিক FALSE হয়, তাহলে সংশ্লিষ্ট Verilog আক্ষরিক অর্থ 1 নয়, 0'b0 হতে হবে। নিম্নলিখিত উদাহরণample দেখায় কিভাবে বুলিয়ান জেনেরিকগুলিকে উপস্থাপন করতে হয় যাতে তারা সঠিকভাবে VHDL-Verilog সীমানা অতিক্রম করে, কোনও কালো বাক্স অনুমান না করে।

ভিএইচডিএল সত্তা ঘোষণা

ভেরিলগ ইনস্ট্যান্সিয়েশন

সত্তা abc জেনেরিক (
সংখ্যা_বিট বিভাজন_বিট );

: পূর্ণসংখ্যা : বুলিয়ান

:= ০; := মিথ্যা;

abc #( .সংখ্যা_বিট (16), .বিট_ভাগ করুন (1'b0)
)

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৩: ইনপুট প্রস্তুত করা

মিশ্র ভাষার উৎস ব্যবহার Files

ব্ল্যাক বক্স না বের করেই VHDL জেনেরিক পাস করা
যে ক্ষেত্রে একটি Verilog কম্পোনেন্ট প্যারামিটার, (উদাহরণস্বরূপample [0:0] RSR = 1'b0) সংশ্লিষ্ট VHDL কম্পোনেন্ট জেনেরিক (RSR : পূর্ণসংখ্যা := 0) এর আকারের সাথে মেলে না, টুলটি একটি কালো বাক্স অনুমান করে।
আপনি Verilog-এ [0:0] এর বাস প্রস্থের স্বরলিপিটি সরিয়ে এই সমস্যা সমাধান করতে পারেন। files. মনে রাখবেন যে আপনাকে অবশ্যই একটি VHDL জেনেরিক টাইপের পূর্ণসংখ্যা ব্যবহার করতে হবে কারণ অন্যান্য টাইপগুলি Verilog কম্পোনেন্টের সঠিক বাঁধাইয়ের অনুমতি দেয় না।

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

LO
মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

ইনক্রিমেন্টাল কম্পাইলার ব্যবহার করা

অধ্যায় ৩: ইনপুট প্রস্তুত করা

ইনক্রিমেন্টাল কম্পাইলার ব্যবহার করা
বড় ডিজাইনের জন্য কম্পাইলার রানটাইম উল্লেখযোগ্যভাবে কমাতে ইনক্রিমেন্টাল কম্পাইলার ফ্লো ব্যবহার করুন। সফ্টওয়্যারটি শুধুমাত্র প্রাসঙ্গিক fileযখন ডিজাইন পরিবর্তন করা হয় এবং কম্পাইলার ডাটাবেস পুনরায় ব্যবহার করা হয়। কম্পাইলার SRS পুনরায় তৈরি করে file শুধুমাত্র প্রভাবিত মডিউল এবং তাৎক্ষণিক মূল মডিউলের জন্য।
এই প্রবাহটি চালানোর জন্য, নিম্নলিখিতগুলি করুন:
১. ভেরিলগ অথবা ভিএইচডিএল যোগ করুন fileনকশা জন্য s.
২. ইমপ্লিমেন্টেশন অপশন প্যানেলের ভেরিলগ অথবা ভিএইচডিএল ট্যাব থেকে ইনক্রিমেন্টাল কম্পাইল অপশনটি সক্রিয় করুন।
একটি এসআরএস file সিনওয়ার্ক ডিরেক্টরিতে প্রতিটি ডিজাইন মডিউলের জন্য তৈরি করা হয়।

৩. প্রথমবারের জন্য কম্পাইলারটি চালান।
৪. যদি ডিজাইনে কোন পরিবর্তন করা হয়, তাহলে কম্পাইলারটি পুনরায় চালান।
কম্পাইলার ডাটাবেস বিশ্লেষণ করে এবং নির্ধারণ করে যে SRS fileগুলি আপ-টু-ডেট থাকে, তারপর শুধুমাত্র পরিবর্তিত মডিউলগুলি এবং তাৎক্ষণিক প্যারেন্ট মডিউলগুলি পুনরায় তৈরি করা হয়। এটি ডিজাইনের রানটাইম উন্নত করতে সাহায্য করতে পারে।

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৩: ইনপুট প্রস্তুত করা

ইনক্রিমেন্টাল কম্পাইলার ব্যবহার করা

সীমাবদ্ধতা
ইনক্রিমেন্টাল কম্পাইলারটি সমর্থন করে না:
· কনফিগারেশন fileভেরিলগ অথবা ভিএইচডিএল প্রবাহের মধ্যে অন্তর্ভুক্ত · মিশ্র এইচডিএল প্রবাহ · ক্রস মডিউল রেফারেন্সিং সহ ডিজাইন (এক্সএমআর)

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

LO
মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

স্ট্রাকচারাল ভেরিলগ প্রবাহ ব্যবহার করে

অধ্যায় ৩: ইনপুট প্রস্তুত করা

স্ট্রাকচারাল ভেরিলগ প্রবাহ ব্যবহার করে
সংশ্লেষণ সরঞ্জামটি কাঠামোগত ভেরিলগ গ্রহণ করে fileআপনার ডিজাইন প্রকল্পের জন্য ইনপুট হিসেবে s ব্যবহার করুন। স্ট্রাকচারাল ভেরিলগ কম্পাইলার রানটাইম উন্নত করার জন্য তার হালকা-ওজন পার্সার ব্যবহার করে সিনট্যাক্স সিমেন্টিক চেক করে। এই কম্পাইলার জটিল হার্ডওয়্যার এক্সট্রাকশন বা RTL অপ্টিমাইজেশন অপারেশন করে না, তাই, সফ্টওয়্যারটি স্ট্রাকচারাল ভেরিলগের দ্রুত সংকলন চালায়। files. সফ্টওয়্যারটি এই তৈরি স্ট্রাকচারাল ভেরিলগগুলি পড়তে পারে files, যদি এতে থাকে:
· প্রযুক্তির আদিমতার তাৎপর্য
· সহজ বরাদ্দ বিবৃতি
· Verilog 2001 এবং তার আগের ফর্ম্যাটে নির্দিষ্ট বৈশিষ্ট্য
· অ্যাট্রিবিউট ব্যতীত সকল গঠন অবশ্যই Verilog 95 ফর্ম্যাটে নির্দিষ্ট করতে হবে।
স্ট্রাকচারাল ভেরিলগ ইনপুট ব্যবহার করতে files:
১. আপনাকে কাঠামোগত ভেরিলগ নির্দিষ্ট করতে হবে fileআপনার ডিজাইনে অন্তর্ভুক্ত করতে হবে। এটি করার জন্য, যোগ করুন file নিম্নলিখিত পদ্ধতিগুলির মধ্যে একটি ব্যবহার করে প্রকল্পে প্রবেশ করুন:
প্রকল্প->উৎস যোগ করুন File অথবা যোগ করুন File প্রকল্পের বোতাম view Tcl কমান্ড: add_file -স্ট্রাকচার fileনাম
এই প্রবাহে কেবল কাঠামোগত ভেরিলগ থাকতে পারে files অথবা মিশ্র HDL fileকাঠামোগত ভেরিলগ নেটলিস্ট সহ s (ভেরিলগ/ভিএইচডিএল/ইডিএফ/এসআরএস) files. তবে, Verilog/VHDL/EDF/SRS ইনস্ট্যান্সগুলি একটি কাঠামোগত Verilog মডিউলের মধ্যে সমর্থিত নয়।
2. কাঠামোগত ভেরিলগ fileপ্রকল্পের স্ট্রাকচারাল ভেরিলগ ফোল্ডারে s যোগ করা হয়েছে view। আপনি যোগ করতে পারেন fileএই ডিরেক্টরিতে s, যখন আপনি নিম্নলিখিতগুলি সম্পাদন করেন:
কাঠামোগত ভেরিলগ নির্বাচন করুন file. ডান-ক্লিক করুন এবং নির্বাচন করুন File বিকল্পগুলি। থেকে স্ট্রাকচারাল ভেরিলগ নির্বাচন করুন File ড্রপ-ডাউন মেনু টাইপ করুন।
৩. সংশ্লেষণ চালান।
সংশ্লেষণ সরঞ্জামটি একটি ভিএম বা ইডিএফ নেটলিস্ট তৈরি করে file নির্দিষ্ট প্রযুক্তির উপর নির্ভর করে। এই প্রক্রিয়াটি ডিফল্ট সংশ্লেষণ প্রবাহের অনুরূপ।

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৩: ইনপুট প্রস্তুত করা

স্ট্রাকচারাল ভেরিলগ প্রবাহ ব্যবহার করে

সীমাবদ্ধতা
কাঠামোগত ভেরিলগ প্রবাহের সীমাবদ্ধতা নিম্নলিখিত বিষয়গুলিকে সমর্থন করে না:
· অন্য যেকোনো ক্ষেত্রে RTL উদাহরণ file প্রকারভেদ · হায়ারার্কিকাল প্রজেক্ট ম্যানেজমেন্ট (HPM) প্রবাহ · জটিল অ্যাসাইনমেন্ট · কম্পাইলার-নির্দিষ্ট মোড এবং সুইচ

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

LO
মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

সীমাবদ্ধতার সাথে কাজ করা Files

অধ্যায় ৩: ইনপুট প্রস্তুত করা

সীমাবদ্ধতার সাথে কাজ করা Files
সীমাবদ্ধতা files হল পাঠ্য files যা স্বয়ংক্রিয়ভাবে SCOPE ইন্টারফেস দ্বারা তৈরি হয় (পৃষ্ঠা ১১৯-এ SCOPE সীমাবদ্ধতা নির্দিষ্টকরণ দেখুন), অথবা যা আপনি একটি টেক্সট এডিটর দিয়ে ম্যানুয়ালি তৈরি করেন। এগুলিতে Tcl কমান্ড বা বৈশিষ্ট্য রয়েছে যা সংশ্লেষণ চালানোকে সীমাবদ্ধ করে। বিকল্পভাবে, আপনি সোর্স কোডে সীমাবদ্ধতা সেট করতে পারেন, তবে এটি পছন্দসই পদ্ধতি নয়।
এই বিভাগে তথ্য রয়েছে
· কখন সীমাবদ্ধতা ব্যবহার করবেন Fileসোর্স কোডের উপরে, পৃষ্ঠা ৫৩-এ
· সীমাবদ্ধতার জন্য একটি টেক্সট এডিটর ব্যবহার করা Files (উত্তরাধিকার), পৃষ্ঠা ৫৪-এ
· সীমাবদ্ধতার জন্য Tcl সিনট্যাক্স নির্দেশিকা Files, পৃষ্ঠা 55-এ
· সীমাবদ্ধতা পরীক্ষা করা Files, পৃষ্ঠা 56-এ
· এই প্রতিবেদনের বিস্তারিত জানার জন্য, সীমাবদ্ধতা যাচাই প্রতিবেদন দেখুন,
রেফারেন্স ম্যানুয়ালের পৃষ্ঠা ২৭০, পৃষ্ঠা ৫৬-এ

কখন সীমাবদ্ধতা ব্যবহার করবেন Fileসোর্স কোডের উপর
আপনি সীমাবদ্ধতার মধ্যে সীমাবদ্ধতা যোগ করতে পারেন files (SCOPE ইন্টারফেস দ্বারা তৈরি অথবা টেক্সট এডিটরে প্রবেশ করানো) অথবা সোর্স কোডে। সাধারণভাবে, সীমাবদ্ধতা ব্যবহার করা ভালো files, কারণ সীমাবদ্ধতাগুলি কার্যকর হওয়ার জন্য আপনাকে পুনরায় কম্পাইল করতে হবে না। এটি আপনার সোর্স কোডকে আরও পোর্টেবল করে তোলে। আরও তথ্যের জন্য পৃষ্ঠা ১১২-এ SCOPE সম্পাদক ব্যবহার দেখুন।
তবে, যদি আপনার syn_tco, syn_tpd, এবং syn_tsu এর মতো ব্ল্যাক বক্স টাইমিং সীমাবদ্ধতা থাকে, তাহলে আপনাকে অবশ্যই সোর্স কোডে নির্দেশিকা হিসেবে এগুলি প্রবেশ করাতে হবে। বৈশিষ্ট্যের বিপরীতে, নির্দেশিকাগুলি কেবল সোর্স কোডে যোগ করা যেতে পারে, সীমাবদ্ধতা নয়। files. সোর্স কোডে নির্দেশিকা যোগ করার বিষয়ে আরও তথ্যের জন্য পৃষ্ঠা 90-এ বৈশিষ্ট্য এবং নির্দেশিকা উল্লেখ করা দেখুন।

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৩: ইনপুট প্রস্তুত করা

সীমাবদ্ধতার সাথে কাজ করা Files

সীমাবদ্ধতার জন্য একটি টেক্সট এডিটর ব্যবহার করা Fileগুলি (উত্তরাধিকার)
SDC সীমাবদ্ধতার জন্য আপনি Legacy SCOPE সম্পাদক ব্যবহার করতে পারেন। fileসংস্করণ G-2012.09 প্রকাশের আগে তৈরি করা হয়েছে। তবে, আপনার SDC অনুবাদ করার পরামর্শ দেওয়া হচ্ছে fileএফডিসিতে এস. fileSCOPE এডিটরের সর্বশেষ সংস্করণ সক্ষম করতে এবং টুলে বর্ধিত টাইমিং সীমাবদ্ধতা হ্যান্ডলিং ব্যবহার করতে।
যদি আপনি লিগ্যাসি SCOPE এডিটর ব্যবহার করতে চান, তাহলে এই বিভাগটি আপনাকে দেখাবে কিভাবে ম্যানুয়ালি একটি Tcl কনস্ট্রেইন্ট তৈরি করতে হয়। file। সফ্টওয়্যারটি স্বয়ংক্রিয়ভাবে এটি তৈরি করে file যদি আপনি লিগ্যাসি SCOPE এডিটর ব্যবহার করে সীমাবদ্ধতাগুলি প্রবেশ করান। Tcl সীমাবদ্ধতা file শুধুমাত্র সাধারণ সময়ের সীমাবদ্ধতা রয়েছে। সোর্স কোডে ব্ল্যাক বক্স সীমাবদ্ধতা প্রবেশ করাতে হবে। অতিরিক্ত তথ্যের জন্য, কখন সীমাবদ্ধতা ব্যবহার করবেন তা দেখুন File৫৩ পৃষ্ঠায়, সোর্স কোডের উপরে।
1. খোলা ক file সম্পাদনার জন্য।
নিশ্চিত করুন যে আপনি SCOPE উইন্ডোটি বন্ধ করেছেন, অথবা আপনি
পূর্ববর্তী সীমাবদ্ধতাগুলি ওভাররাইট করুন।
একটি নতুন তৈরি করতে file, নির্বাচন করুন File->নতুন, এবং সীমাবদ্ধতা নির্বাচন করুন File
(স্কোপ) বিকল্প। এর জন্য একটি নাম টাইপ করুন file এবং ওকে ক্লিক করুন।
একটি বিদ্যমান সম্পাদনা করতে file, নির্বাচন করুন File-> খুলুন, সেট করুন Fileটাইপ ফিল্টারের s
সীমাবদ্ধতা Files (sdc) এবং খুলুন file আপনি চান
2. সীমাবদ্ধতার জন্য Tcl সিনট্যাক্স নির্দেশিকাগুলিতে সিনট্যাক্স নির্দেশিকা অনুসরণ করুন। Files, পৃষ্ঠা 55 এ।
৩. আপনার প্রয়োজনীয় সময়ের সীমাবদ্ধতা লিখুন। সিনট্যাক্সের জন্য, রেফারেন্স ম্যানুয়ালটি দেখুন। যদি আপনার ব্ল্যাক বক্সের সময়ের সীমাবদ্ধতা থাকে, তাহলে আপনাকে অবশ্যই সেগুলি সোর্স কোডে লিখতে হবে।
৪. আপনি সীমাবদ্ধতার মধ্যে বিক্রেতা-নির্দিষ্ট বৈশিষ্ট্যও যোগ করতে পারেন file define_attribute ব্যবহার করে। সীমাবদ্ধতাগুলিতে বৈশিষ্ট্য নির্দিষ্টকরণ দেখুন File, আরও তথ্যের জন্য পৃষ্ঠা 97-এ।
5. সংরক্ষণ করুন file.
6. যোগ করুন file ৬২ পৃষ্ঠায় "Making Changes to a Project" বিভাগে বর্ণিত প্রকল্পে প্রবেশ করুন এবং সংশ্লেষণ চালান।

LO

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

সীমাবদ্ধতার সাথে কাজ করা Files

অধ্যায় ৩: ইনপুট প্রস্তুত করা

সীমাবদ্ধতার জন্য Tcl সিনট্যাক্স নির্দেশিকা Files
এই বিভাগে সীমাবদ্ধতার জন্য Tcl ব্যবহারের সাধারণ নির্দেশিকা অন্তর্ভুক্ত রয়েছে। files:
· Tcl কেস-সংবেদনশীল।
· বস্তুর নামকরণের জন্য: বস্তুর নাম অবশ্যই HDL কোডের নামের সাথে মিলতে হবে। c এর মধ্যে ইনস্ট্যান্স এবং পোর্টের নাম সংযুক্ত করুন।urly ব্রেস { }। নামের মধ্যে স্পেস ব্যবহার করবেন না। হায়ারার্কিকাল নাম আলাদা করতে ডট (.) ব্যবহার করুন। Verilog মডিউলে, নিম্নলিখিত সিনট্যাক্স ব্যবহার করুন উদাহরণস্বরূপ, পোর্ট, এবং
নেট নাম:
v: কোষ [উপসর্গ:] বস্তুর নাম
যেখানে সেল হল ডিজাইন সত্তার নাম, প্রিফিক্স হল একই নামের বস্তু সনাক্ত করার জন্য একটি প্রিফিক্স, objectName হল ডট (.) বিভাজক সহ একটি ইনস্ট্যান্স পাথ। প্রিফিক্সটি নিম্নলিখিত যেকোনো একটি হতে পারে:

উপসর্গ (ছোট হাতের অক্ষর) i: p: b: n:

অবজেক্ট ইনস্ট্যান্সের নাম পোর্টের নাম (পুরো পোর্ট) একটি পোর্টের বিট স্লাইস নেট নাম

VHDL মডিউলগুলিতে, নিম্নলিখিত সিনট্যাক্স ব্যবহার করুন, উদাহরণস্বরূপ, পোর্ট, এবং নেট
VHDL মডিউলের নাম:
v: কোষ [.view] [উপসর্গ:]বস্তুর নাম
যেখানে v: এটিকে a হিসেবে চিহ্নিত করে view অবজেক্ট, lib হল লাইব্রেরির নাম, সেল হল ডিজাইন সত্তার নাম, view হল আর্কিটেকচারের জন্য একটি নাম, প্রিফিক্স হল একই নামের বস্তু সনাক্ত করার জন্য একটি প্রিফিক্স, এবং objectName হল ডট (.) বিভাজক সহ একটি ইনস্ট্যান্স পাথ। View ডিজাইনের জন্য একাধিক আর্কিটেকচার থাকলেই কেবল এটি প্রয়োজন। বস্তুর উপসর্গের জন্য উপরের টেবিলটি দেখুন।

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৩: ইনপুট প্রস্তুত করা

সীমাবদ্ধতার সাথে কাজ করা Files

· নামের সাথে মিলে যাওয়া ওয়াইল্ডকার্ডগুলি হল * (তারকাচিহ্ন যেকোনো সংখ্যার সাথে মিলে যায়
অক্ষর) এবং ? (প্রশ্ন চিহ্ন একটি একক অক্ষরের সাথে মিলে যায়)। এই অক্ষরগুলি অনুক্রম বিভাজক হিসাবে ব্যবহৃত বিন্দুগুলির সাথে মেলে না। উদাহরণস্বরূপample, নিম্নলিখিত স্ট্রিংটি statemod মডিউলে statereg ইনস্ট্যান্সের সমস্ত বিট সনাক্ত করে:
i:statemod.statereg[*]

সীমাবদ্ধতা পরীক্ষা করা হচ্ছে Files
আপনি আপনার সীমাবদ্ধতার উপর সিনট্যাক্স এবং অন্যান্য প্রাসঙ্গিক তথ্য পরীক্ষা করতে পারেন files-এর জন্য Constraint Check কমান্ড ব্যবহার করা হচ্ছে। একটি Constraint রিপোর্ট তৈরি করতে, নিম্নলিখিতগুলি করুন:
১. একটি সীমাবদ্ধতা তৈরি করুন file এবং এটি আপনার প্রকল্পে যুক্ত করুন।
২. রান->কনসট্রাইন্ট চেক নির্বাচন করুন।
এই কমান্ডটি একটি প্রতিবেদন তৈরি করে যা FPGA সংশ্লেষণ সীমাবদ্ধতার সময় সীমাবদ্ধতার বাক্য গঠন এবং প্রযোজ্যতা পরীক্ষা করে। fileআপনার প্রকল্পের জন্য। প্রতিবেদনটি projectName_cck.rpt-এ লেখা হয়েছে file এবং নিম্নলিখিত তথ্য তালিকাভুক্ত করে:
যেসব সীমাবদ্ধতা প্রযোজ্য নয়, সেগুলো নকশার জন্য বৈধ এবং প্রযোজ্য। যেসব সীমাবদ্ধতা আছে, সেগুলোর উপর ওয়াইল্ডকার্ড সম্প্রসারণ। যেসব বস্তুর অস্তিত্ব নেই, সেগুলোর উপর সীমাবদ্ধতা।
এই প্রতিবেদনের বিস্তারিত জানার জন্য, রেফারেন্স ম্যানুয়ালের পৃষ্ঠা 270-এ সীমাবদ্ধতা পরীক্ষা প্রতিবেদন দেখুন।

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

LO
মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

অধ্যায় 4
একটি লজিক সিনথেসিস প্রকল্প স্থাপন করা
যখন আপনি Synopsys FPGA সংশ্লেষণ সরঞ্জামগুলির সাহায্যে একটি নকশা সংশ্লেষণ করেন, তখন আপনাকে অবশ্যই আপনার নকশার জন্য একটি প্রকল্প সেট আপ করতে হবে। নিম্নলিখিতগুলি লজিক সংশ্লেষণের জন্য একটি প্রকল্প সেট আপ করার পদ্ধতিগুলি বর্ণনা করে:
· প্রকল্প স্থাপন Files, পৃষ্ঠা ৫৮ · প্রকল্প পরিচালনা File শ্রেণিবিন্যাস, পৃষ্ঠা ৬৬ · বাস্তবায়ন সেট আপ করা, পৃষ্ঠা ৭২ · যুক্তি সংশ্লেষণ বাস্তবায়ন বিকল্প সেট করা, পৃষ্ঠা ৭৫ · বৈশিষ্ট্য এবং নির্দেশিকা নির্দিষ্ট করা, পৃষ্ঠা ৯০ · অনুসন্ধান করা Files, পৃষ্ঠা ৯৮-এ · সংরক্ষণাগারভুক্ত করা হচ্ছে Fileগুলি এবং প্রকল্প, পৃষ্ঠা ১০১-এ

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা

প্রকল্প স্থাপন Files

প্রকল্প স্থাপন Files
এই বিভাগটি একটি প্রকল্প কীভাবে সেট আপ এবং পরিচালনা করতে হয় তার মূল বিষয়গুলি বর্ণনা করে। file আপনার নকশার জন্য, নিম্নলিখিত তথ্য সহ:
· একটি প্রকল্প তৈরি করা File, পৃষ্ঠা ৫৮ -এ · একটি বিদ্যমান প্রকল্প খোলা File, পৃষ্ঠা ৬১-এ · একটি প্রকল্পে পরিবর্তন করা, পৃষ্ঠা ৬২-এ · প্রকল্প নির্ধারণ করা View ৬৩ পৃষ্ঠায় পছন্দগুলি প্রদর্শন করুন · Verilog আপডেট করা হচ্ছে পুরনো প্রকল্পে পাথ অন্তর্ভুক্ত করা হচ্ছে Files, পৃষ্ঠা 65-এ
একটি নির্দিষ্ট প্রাক্তনের জন্যampএকটি প্রকল্প স্থাপনের বিষয়ে file, আপনি যে টুলটি ব্যবহার করছেন তার টিউটোরিয়ালটি দেখুন।

একটি প্রকল্প তৈরি করা File
আপনাকে একটি প্রকল্প সেট আপ করতে হবে। file প্রতিটি প্রকল্পের জন্য। একটি প্রকল্পে একটি নির্দিষ্ট নকশার জন্য প্রয়োজনীয় তথ্য থাকে: উৎসের তালিকা files, সংশ্লেষণের ফলাফল file, এবং আপনার ডিভাইস বিকল্প সেটিংস। নিম্নলিখিত পদ্ধতিটি আপনাকে দেখায় কিভাবে একটি প্রকল্প সেট আপ করতে হয় file পৃথক কমান্ড ব্যবহার করে।
১. নিম্নলিখিতগুলির মধ্যে একটি নির্বাচন করে শুরু করুন: File-> প্রকল্প তৈরি করুন, File->প্রকল্প খুলুন, অথবা P আইকনটি ক্লিক করুন। নতুন প্রকল্পে ক্লিক করুন।
প্রজেক্ট উইন্ডোটি একটি নতুন প্রজেক্ট দেখায়। অ্যাড করুন এ ক্লিক করুন। File বোতাম, F4 টিপুন, অথবা প্রকল্প->উৎস যোগ করুন নির্বাচন করুন File কমান্ড। যোগ করুন Files থেকে Project ডায়ালগ বক্স খোলে।
2. উৎস যোগ করুন fileপ্রকল্পে এস.
নিশ্চিত করুন যে ফর্মের উপরের দিকে "Look in" ক্ষেত্রটি ডানদিকে নির্দেশ করছে।
ডিরেক্টরি। দ্য fileগুলি বাক্সে তালিকাভুক্ত করা হয়েছে। যদি আপনি দেখতে না পান files, পরীক্ষা করে দেখুন যে Fileটাইপ ক্ষেত্রটি সঠিক প্রদর্শনের জন্য সেট করা আছে file টাইপ করুন। যদি আপনার মিশ্র ইনপুট থাকে files, মিশ্র ভাষা উৎস ব্যবহারে বর্ণিত পদ্ধতি অনুসরণ করুন Files, পৃষ্ঠা 44 এ।

LO

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

প্রকল্প স্থাপন Files

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা

সব যোগ করতে fileডিরেক্টরিতে একবারে s, Add All বোতামে ক্লিক করুন
ফর্মের ডান দিক। যোগ করতে fileপৃথকভাবে, ক্লিক করুন file তালিকায় এবং তারপর যোগ করুন বোতামে ক্লিক করুন, অথবা ডাবল-ক্লিক করুন file নাম
তুমি সব যোগ করতে পারো fileডিরেক্টরিতে থাকা ফাইলগুলি দেখুন এবং তারপর Remove বোতামটি ব্যবহার করে আপনার যেগুলি প্রয়োজন নেই সেগুলি সরিয়ে ফেলুন।
যদি আপনি VHDL যোগ করেন files, VHDL Library পপআপ মেনু থেকে উপযুক্ত লাইব্রেরি নির্বাচন করুন। আপনার নির্বাচিত লাইব্রেরিটি সমস্ত VHDL-এ প্রয়োগ করা হবে fileযখন আপনি ডায়ালগ বক্সে OK ক্লিক করবেন।
আপনার প্রকল্প উইন্ডোতে একটি নতুন প্রকল্প প্রদর্শিত হবে file। যদি আপনি প্রকল্পের পাশের প্লাস চিহ্নে ক্লিক করেন এবং এটি প্রসারিত করেন, তাহলে আপনি নিম্নলিখিতটি দেখতে পাবেন:
উৎস সহ একটি ফোল্ডার (মিশ্র ভাষার নকশার জন্য দুটি ফোল্ডার) files.
যদি আপনার fileগুলি প্রকল্প ডিরেক্টরির অধীনে কোনও ফোল্ডারে নেই, আপনি বিকল্প->প্রকল্প নির্বাচন করে এই পছন্দটি সেট করতে পারেন View বিকল্পগুলি এবং পরীক্ষা করা হচ্ছে View প্রকল্প fileফোল্ডার বাক্সে s। এটি এক ধরণের আলাদা করে file প্রকল্পের অন্য একজনের কাছ থেকে view আলাদা ফোল্ডারে রেখে।

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা

প্রকল্প স্থাপন Files

বাস্তবায়ন, ডিফল্টরূপে rev_1 নামে পরিচিত। বাস্তবায়নগুলি হল
সংশ্লেষণ সফ্টওয়্যারের প্রেক্ষাপটে আপনার নকশার সংশোধন, এবং বহিরাগত সোর্স কোড নিয়ন্ত্রণ সফ্টওয়্যার এবং প্রক্রিয়াগুলিকে প্রতিস্থাপন করে না। একাধিক বাস্তবায়ন আপনাকে নকশা বিকল্পগুলি অন্বেষণ করার জন্য ডিভাইস এবং সংশ্লেষণ বিকল্পগুলি পরিবর্তন করতে দেয়। Synplify Pro-তে আপনার একাধিক বাস্তবায়ন থাকতে পারে। প্রতিটি বাস্তবায়নের নিজস্ব সংশ্লেষণ এবং ডিভাইস বিকল্প রয়েছে এবং এর নিজস্ব প্রকল্প-সম্পর্কিত files.

৩. পূর্ববর্তী ধাপে বর্ণিত পদ্ধতি ব্যবহার করে Verilog অথবা VHDL লাইব্রেরি যোগ করার জন্য আপনার প্রয়োজনীয় যেকোনো লাইব্রেরি যোগ করুন। file.
বিক্রেতা-নির্দিষ্ট লাইব্রেরির জন্য, উপযুক্ত লাইব্রেরি যোগ করুন file থেকে
প্রকল্প। মনে রাখবেন যে কিছু পরিবারের জন্য, লাইব্রেরিগুলি স্বয়ংক্রিয়ভাবে লোড হয় এবং আপনাকে প্রকল্পে স্পষ্টভাবে সেগুলি যুক্ত করার প্রয়োজন নেই। file.
একটি তৃতীয় পক্ষের VHDL প্যাকেজ লাইব্রেরি যোগ করতে, উপযুক্ত .vhd যোগ করুন file ধাপ ২-এ বর্ণিত নকশায়। ডান ক্লিক করুন file প্রকল্পে view এবং নির্বাচন করুন File বিকল্পগুলি, অথবা প্রকল্প-> VHDL লাইব্রেরি সেট করুন নির্বাচন করুন। সিমুলেটরগুলির সাথে সামঞ্জস্যপূর্ণ একটি লাইব্রেরির নাম উল্লেখ করুন। উদাহরণস্বরূপample, MYLIB। নিশ্চিত করুন যে এই প্যাকেজ লাইব্রেরিটি তালিকার শীর্ষ স্তরের নকশার আগে রয়েছে fileপ্রকল্পে view.
Verilog এবং VHDL সেটিং সম্পর্কে তথ্যের জন্য file বিকল্পগুলির জন্য, পৃষ্ঠা 84-এ Verilog এবং VHDL বিকল্পগুলি সেট করা দেখুন। আপনি এগুলিও সেট করতে পারেন file সংশ্লেষণ চালানোর আগে, পরে বিকল্পগুলি।
ভেন্ডর ম্যাক্রো লাইব্রেরি এবং কালো bLoOxes ব্যবহার সম্পর্কে অতিরিক্ত ভেন্ডর-নির্দিষ্ট তথ্যের জন্য, পৃষ্ঠা 487-এ মাইক্রোসেমি ডিজাইনের জন্য অপ্টিমাইজিং দেখুন।
জেনেরিক প্রযুক্তি উপাদানগুলির জন্য, আপনি হয় যোগ করতে পারেন
সফটওয়্যারের সাথে সরবরাহ করা প্রযুক্তি-স্বাধীন ভেরিলগ লাইব্রেরি

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

প্রকল্প স্থাপন Files

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা

(install_dir/lib/generic_ technology/gtech.v) আপনার ডিজাইনে যোগ করুন, অথবা আপনার নিজস্ব জেনেরিক কম্পোনেন্ট লাইব্রেরি যোগ করুন। উভয় একসাথে ব্যবহার করবেন না কারণ এতে দ্বন্দ্ব হতে পারে।
4. চেক করুন file প্রকল্পে অর্ডার করুন view. File VHDL-এর জন্য ক্রম বিশেষভাবে গুরুত্বপূর্ণ files.
ভিএইচডিএলের জন্য files, আপনি স্বয়ংক্রিয়ভাবে অর্ডার করতে পারেন fileগুলি দ্বারা
রান->অ্যারেঞ্জ ভিএইচডিএল নির্বাচন করা হচ্ছে Files. বিকল্পভাবে, ম্যানুয়ালি সরান fileপ্রকল্পে view. প্যাকেজ files তালিকার প্রথমে থাকা উচিত কারণ এগুলি ব্যবহারের আগে সংকলিত হয়। যদি আপনার ডিজাইন ব্লকগুলি অনেক জায়গায় ছড়িয়ে থাকে files, নিশ্চিত করুন যে আপনার নিম্নলিখিতগুলি আছে file আদেশ: দ্য file সত্তা ধারণকারী প্রথমে হতে হবে, তারপরে স্থাপত্য file, এবং অবশেষে file কনফিগারেশন সহ।
প্রকল্পে view, শেষটা পরীক্ষা করে দেখুন file প্রকল্পে view হয়
শীর্ষ-স্তরের উৎস file. বিকল্পভাবে, আপনি শীর্ষ-স্তরের file যখন আপনি ডিভাইসের বিকল্পগুলি সেট করেন।
5. নির্বাচন করুন File-> সংরক্ষণ করুন, প্রকল্পের জন্য একটি নাম টাইপ করুন এবং সংরক্ষণ করুন এ ক্লিক করুন। প্রকল্প উইন্ডোটি আপনার পরিবর্তনগুলি প্রতিফলিত করে।
৬. একটি প্রকল্প বন্ধ করতে file, প্রকল্প বন্ধ করুন বোতামটি নির্বাচন করুন অথবা File->প্রকল্প বন্ধ করুন।

একটি বিদ্যমান প্রকল্প খোলা File
একটি প্রকল্প খোলার দুটি উপায় আছে file: ওপেন প্রজেক্ট এবং জেনেরিক File -> কমান্ড খুলুন।
১. আপনি যে প্রকল্পটি খুলতে চান তা যদি এমন হয় যেখানে আপনি সম্প্রতি কাজ করেছেন, তাহলে আপনি সরাসরি এটি নির্বাচন করতে পারেন: File->সাম্প্রতিক প্রকল্প->প্রকল্পের নাম।
2. যেকোনো প্রকল্প খোলার জন্য নিম্নলিখিত পদ্ধতিগুলির মধ্যে একটি ব্যবহার করুন file:

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা

প্রকল্প স্থাপন Files

প্রজেক্ট কমান্ড খুলুন

File-> কমান্ড খুলুন

নির্বাচন করুন File->প্রজেক্ট খুলুন, প্রজেক্ট উইন্ডোর বাম দিকে "ওপেন প্রজেক্ট" বোতামে ক্লিক করুন, অথবা P আইকনে ক্লিক করুন।
সাম্প্রতিক একটি প্রকল্প খুলতে, সাম্প্রতিক প্রকল্পের তালিকা থেকে এটিতে ডাবল ক্লিক করুন।
অন্যথায়, "ওপেন" ডায়ালগ বক্স খুলতে "বিদ্যমান প্রকল্প" বোতামে ক্লিক করুন এবং প্রকল্পটি নির্বাচন করুন।

নির্বাচন করুন File->খুলুন।
"Look In:" ক্ষেত্রে সঠিক ডিরেক্টরিটি উল্লেখ করুন।
সেট File প্রকল্পের ধরণ Files (*.prj)। বাক্সটি প্রকল্পের তালিকা করে files.
আপনি যে প্রকল্পটি খুলতে চান তাতে ডাবল ক্লিক করুন।

প্রকল্পটি প্রকল্প উইন্ডোতে খোলে।

একটি প্রকল্পে পরিবর্তন আনা
সাধারণত, আপনি যোগ করেন, মুছে ফেলেন, অথবা প্রতিস্থাপন করেন files.
১. উৎস বা সীমাবদ্ধতা যোগ করতে fileএকটি প্রকল্পে যোগ করুন নির্বাচন করুন। Files বোতাম অথবা Project->Add Source File Select খুলতে Fileপ্রকল্পে যোগ করার জন্য s ডায়ালগ বক্স। একটি প্রকল্প তৈরি করা দেখুন File, বিস্তারিত জানার জন্য পৃষ্ঠা ৫৮-এ।
2. একটি মুছে ফেলার জন্য file একটি প্রকল্প থেকে, ক্লিক করুন file প্রজেক্ট উইন্ডোতে, এবং ডিলিট কী টিপুন।
৩. একটি প্রতিস্থাপন করতে file একটি প্রকল্পে,
নির্বাচন করুন file আপনি প্রজেক্ট উইন্ডোতে পরিবর্তন করতে চান।
পরিবর্তন ক্লিক করুন File বোতাম, অথবা প্রকল্প->পরিবর্তন নির্বাচন করুন File.
উৎসে File যে ডায়ালগ বক্সটি খোলে, সেখানে "Look In" ডিরেক্টরিতে সেট করুন।
যেখানে নতুন file অবস্থিত। নতুন file অবশ্যই একই ধরণের হতে হবে যেমন file আপনি প্রতিস্থাপন করতে চান.
যদি তুমি তোমার file তালিকাভুক্ত, এর ধরণ নির্বাচন করুন file তোমার দরকার
দ Fileটাইপ ক্ষেত্রের s।
ডাবল-ক্লিক করুন file. নতুন file প্রকল্পে পুরাতনটি প্রতিস্থাপন করে
তালিকা। LO
৪. প্রকল্পটি কীভাবে করা হবে তা নির্দিষ্ট করতে fileগুলি প্রকল্পে সংরক্ষিত আছে, a-তে ডান ক্লিক করুন file প্রকল্পে view এবং নির্বাচন করুন File বিকল্প। সংরক্ষণ করুন সেট করুন File রিলেটিভ টু প্রজেক্ট অথবা অ্যাবসোলিউট পাথের বিকল্প।

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

প্রকল্প স্থাপন Files

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা

৫. সময় পরীক্ষা করার জন্য stamp উপর a file, a-তে ডান ক্লিক করুন file প্রকল্পে view এবং নির্বাচন করুন File বিকল্প। সময় পরীক্ষা করুন যে file শেষবার পরিবর্তন করা হয়েছিল। ঠিক আছে ক্লিক করুন।

প্রকল্প স্থাপন View পছন্দ প্রদর্শন করুন
আপনি প্রকল্পের সংগঠন এবং প্রদর্শন কাস্টমাইজ করতে পারেন files. 1. বিকল্প->প্রকল্প নির্বাচন করুন View বিকল্প। প্রকল্প View অপশন ফর্মটি খোলে।

2. বিভিন্ন ধরণের ইনপুট সংগঠিত করা fileআলাদা ফোল্ডারে আছে, চেক করুন View প্রকল্প Fileফোল্ডারে s.
এই বিকল্পটি চেক করলে প্রকল্পে পৃথক ফোল্ডার তৈরি হয়। view সীমাবদ্ধতার জন্য files এবং উৎস files.

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা

প্রকল্প স্থাপন Files

3. নিয়ন্ত্রণ file নিম্নলিখিতগুলি সহ প্রদর্শন করুন:
স্বয়ংক্রিয়ভাবে সমস্ত প্রদর্শন করুন files, Show Project Library চেক করে। যদি
এটি অনির্ধারিত, প্রকল্পটি view প্রদর্শন করে না fileযতক্ষণ না আপনি প্লাস চিহ্নে ক্লিক করেন এবং প্রসারিত করেন fileএকটি ফোল্ডারে s.
প্রকল্পের যেকোনো একটি বাক্সে টিক চিহ্ন দিন। File নাম প্রদর্শন বিভাগ
কিভাবে নির্ধারণ করার জন্য ফর্ম fileনাম প্রদর্শিত হয়। আপনি কেবল প্রদর্শন করতে পারেন fileনাম, আপেক্ষিক পথ, অথবা পরম পথ।
4. প্রতি view প্রকল্প fileকাস্টমাইজড কাস্টম ফোল্ডারে s, চেক করুন View প্রকল্প Fileকাস্টম ফোল্ডারে s। আরও তথ্যের জন্য, পৃষ্ঠা 66-এ কাস্টম ফোল্ডার তৈরি করা দেখুন। একটি কাস্টম ফোল্ডারে একাধিক প্রকার থাকলেই কেবল টাইপ ফোল্ডার প্রদর্শিত হয়।

কাস্টম ফোল্ডার
© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

LO
মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

প্রকল্প স্থাপন Files

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা

৫. একই প্রকল্পে একাধিক বাস্তবায়ন খোলা view, একাধিক প্রকল্প খোলার অনুমতি দিন চেক করুন।
প্রকল্প 1

প্রকল্প 2

৬. আউটপুট নিয়ন্ত্রণ করুন file নিম্নলিখিতগুলি সহ প্রদর্শন করুন:
"সব দেখাও" দেখুন। Fileসমস্ত আউটপুট প্রদর্শনের জন্য ফলাফল ডিরেক্টরি বাক্সে s
fileসংশ্লেষণের পরে উৎপন্ন হয়।
আউটপুট পরিবর্তন করুন file হেডার বারগুলির একটিতে ক্লিক করে সংগঠন
বাস্তবায়ন ফলাফলে view. তুমি গ্রুপ করতে পারো fileটাইপ অনুসারে গুলি নির্বাচন করুন অথবা শেষবার পরিবর্তনের তারিখ অনুসারে সাজান।
7. প্রতি view file তথ্য, নির্বাচন করুন file প্রকল্পে view, ডান-ক্লিক করুন, এবং নির্বাচন করুন File বিকল্প। উদাহরণস্বরূপampলে, তুমি তারিখটি পরীক্ষা করতে পারো a file সংশোধন করা হয়েছিল।
পুরোনো প্রকল্পে Verilog অন্তর্ভুক্ত পাথ আপডেট করা হচ্ছে Files
আপনার যদি একটি প্রকল্প থাকে file সফ্টওয়্যারের একটি পুরোনো সংস্করণ (8.1 এর আগে) দিয়ে তৈরি, Verilog এতে পাথ অন্তর্ভুক্ত করে file ফলাফল ডিরেক্টরি বা উৎসের সাথে সম্পর্কিত file `অন্তর্ভুক্ত বিবৃতি সহ। 8.1 এর পরে প্রকাশিত সংস্করণগুলিতে, প্রকল্পটি file `প্রকল্পের সাথে সম্পর্কিত পাথগুলি অন্তর্ভুক্ত করুন file শুধুমাত্র। সাম্প্রতিক রিলিজগুলিতে GUI স্বয়ংক্রিয়ভাবে পুরানো prj আপগ্রেড করে না fileনতুন নিয়ম মেনে চলার জন্য। পুরাতন প্রকল্প আপগ্রেড এবং ব্যবহার করার জন্য file, এখান থেকে যে কোন একটি করুন:
· ম্যানুয়ালি prj সম্পাদনা করুন file একটি টেক্সট এডিটরে এবং নিম্নলিখিতটি যোগ করুন
প্রতিটি set_option -include_path এর আগে লাইন:
সেট_অপশন -প্রজেক্ট_রিলেটিভ_ইনক্লুডস ১
· সফটওয়্যারের নতুন সংস্করণ দিয়ে একটি নতুন প্রকল্প শুরু করুন এবং মুছে ফেলুন
পুরাতন প্রকল্প। এটি নতুন প্রকল্প তৈরি করবে file নতুন নিয়ম মেনে চলুন যেখানে অন্তর্ভুক্তগুলি prj-এর সাথে সম্পর্কিত file.

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা

প্রকল্প পরিচালনা File অনুক্রম

প্রকল্প পরিচালনা File অনুক্রম
নিম্নলিখিত বিভাগগুলি বর্ণনা করে যে আপনি কীভাবে কাস্টমাইজড ফোল্ডার তৈরি এবং পরিচালনা করতে পারেন এবং fileপ্রকল্পে view:
· কাস্টম ফোল্ডার তৈরি করা · কাস্টম প্রজেক্ট ফোল্ডারগুলি ম্যানিপুলেট করা · কাস্টম ম্যানিপুলেট করা Files

কাস্টম ফোল্ডার তৈরি করা হচ্ছে
আপনি লজিক্যাল ফোল্ডার তৈরি করতে এবং কাস্টমাইজ করতে পারেন fileআপনার প্রকল্পের মধ্যে বিভিন্ন শ্রেণিবিন্যাসের গ্রুপিংয়ে view. এই ফোল্ডারগুলি যেকোনো নাম বা অনুক্রমের স্তর দিয়ে নির্দিষ্ট করা যেতে পারে। উদাহরণস্বরূপampহ্যাঁ, আপনি ইচ্ছামত আপনার অপারেটিং সিস্টেমটি মেলাতে পারেন file কাঠামো বা HDL লজিক শ্রেণিবিন্যাস। কাস্টম ফোল্ডারগুলি তাদের নীল রঙের দ্বারা আলাদা করা হয়।

কাস্টম ফোল্ডার তৈরি করার এবং তারপর যোগ করার বিভিন্ন উপায় রয়েছে fileএকটি প্রকল্পে তাদের কাছে s। নিম্নলিখিত পদ্ধতিগুলির মধ্যে একটি ব্যবহার করুন:

১. একটি প্রকল্পে ডান-ক্লিক করুন file অথবা অন্য কোনও কাস্টম ফোল্ডারে যান এবং পপআপ মেনু থেকে ফোল্ডার যোগ করুন নির্বাচন করুন। তারপর নিম্নলিখিত যেকোনো একটি সম্পাদন করুন file অপারেশন:

­

ডান-ক্লিক করলে দেখা যাবে যে

তার উপর

অনুসরণ

নির্বাচন করুন নির্বাচন করুন

ফোল্ডারে রাখুন। একটি সাব-মেনুতে একটি বিদ্যমান ফোল্ডার বা তৈরি করুন

a

নতুন ফোল্ডার.

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

প্রকল্প পরিচালনা File অনুক্রম

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা

মনে রাখবেন যে আপনি ইচ্ছামত ফোল্ডারের নামকরণ করতে পারেন, তবে (/) অক্ষরটি ব্যবহার করবেন না কারণ এটি একটি শ্রেণিবিন্যাস বিভাজক প্রতীক।
একটি ফোল্ডারের নাম পরিবর্তন করতে, ফোল্ডারটিতে ডান-ক্লিক করুন এবং Rename from নির্বাচন করুন
পপআপ মেনু। ফোল্ডারের নাম পরিবর্তন করুন ডায়ালগ বক্স প্রদর্শিত হবে; একটি নতুন নাম উল্লেখ করুন।
2. অ্যাড ব্যবহার করুন Fileএকটি ফোল্ডার অনুক্রমের সম্পূর্ণ বিষয়বস্তু যোগ করার জন্য এবং ঐচ্ছিকভাবে স্থাপন করার জন্য প্রজেক্ট ডায়ালগ বক্সে s fileডায়ালগ বক্স ডিসপ্লেতে তালিকাভুক্ত OS ফোল্ডার শ্রেণিবিন্যাসের সাথে সম্পর্কিত কাস্টম ফোল্ডারগুলিতে s।

এটি করার জন্য, যোগ করুন নির্বাচন করুন File প্রকল্পের বোতাম view.
ডায়ালগ বক্স থেকে dsp এর মতো যেকোনো অনুরোধকৃত ফোল্ডার নির্বাচন করুন, তারপর
যোগ করুন বোতামে ক্লিক করুন। এটি সমস্ত স্থাপন করে filedsp অনুক্রম থেকে আপনার তৈরি করা কাস্টম ফোল্ডারে s।

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা

প্রকল্প পরিচালনা File অনুক্রম

স্বয়ংক্রিয়ভাবে স্থাপন করতে fileসংশ্লিষ্ট কাস্টম ফোল্ডারে s
ওএস ফোল্ডার হায়ারার্কি, অ্যাড নামক বিকল্পটি পরীক্ষা করুন Fileডায়ালগ বক্সে কাস্টম ফোল্ডারে s।
ডিফল্টরূপে, কাস্টম ফোল্ডারের নাম ফোল্ডারের নাম অনুসারে একই থাকে।
ধারণকারী fileপ্রকল্পে কোন ফোল্ডার বা ফোল্ডার যোগ করতে হবে। তবে, আপনি ফোল্ডারগুলির নামকরণ কীভাবে করা হবে তা পরিবর্তন করতে পারেন, ফোল্ডার অপশন বোতামে ক্লিক করে। নিম্নলিখিত ডায়ালগ বক্সটি প্রদর্শিত হবে।

ব্যবহার করতে:
শুধুমাত্র যে ফোল্ডারটিতে আছে fileফোল্ডারের নামের জন্য s, Use OS এ ক্লিক করুন
ফোল্ডারের নাম।
নির্বাচিত ফোল্ডারের পাথের নাম যার স্তর নির্ধারণ করা হবে
কাস্টম ফোল্ডার পাথের জন্য অনুক্রম প্রতিফলিত হয়।

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

LO
মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

প্রকল্প পরিচালনা File অনুক্রম

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা

৩. আপনি টেনে এনে ফেলে দিতে পারেন fileএকটি OS Explorer অ্যাপ্লিকেশন থেকে s এবং ফোল্ডারগুলিকে প্রজেক্টে viewএই বৈশিষ্ট্যটি KDE চালিত Windows এবং Linux ডেস্কটপে উপলব্ধ।
যখন আপনি একটি টেনে আনবেন এবং ফেলে দেবেন file, এটি অবিলম্বে প্রকল্পে যোগ করা হয়।
যদি কোন প্রকল্প খোলা না থাকে, তাহলে সফ্টওয়্যারটি একটি প্রকল্প তৈরি করে।
যখন আপনি একটি টেনে আনবেন এবং ফেলে দেবেন file একটি ফোল্ডারের উপরে, এটি সেখানে স্থাপন করা হবে
ফোল্ডার। প্রাথমিকভাবে, যোগ করুন Files to Project ডায়ালগ বক্স প্রদর্শিত হবে যেখানে আপনাকে নিশ্চিত করতে বলা হবে fileপ্রকল্পে যোগ করতে হবে। আপনি ঠিক আছে ক্লিক করে গ্রহণ করতে পারেন files. যদি আপনি পরিবর্তন করতে চান, তাহলে আপনি "সকল সরান" বোতামে ক্লিক করতে পারেন এবং একটি নতুন ফিল্টার বা বিকল্প নির্দিষ্ট করতে পারেন।

দ্রষ্টব্য: প্রকল্পে কাস্টম ফোল্ডার প্রদর্শন করতে view, বিকল্প->প্রকল্প নির্বাচন করুন View বিকল্প মেনু, তারপর এর জন্য চেক বক্সটি সক্ষম/অক্ষম করুন View প্রকল্প Fileডায়ালগ বক্সের কাস্টম ফোল্ডারে s।

কাস্টম প্রজেক্ট ফোল্ডারগুলি ম্যানিপুলেট করা
নিম্নলিখিত পদ্ধতিতে বর্ণনা করা হয়েছে কিভাবে আপনি অপসারণ করতে পারেন fileফোল্ডার থেকে s, ফোল্ডার মুছে ফেলুন, এবং ফোল্ডার অনুক্রম পরিবর্তন করুন।
1. অপসারণ করা a file একটি কাস্টম ফোল্ডার থেকে, হয়:
এটিকে অন্য ফোল্ডারে বা প্রকল্পে টেনে আনুন। হাইলাইট করুন file, ডান-ক্লিক করুন এবং ফোল্ডার থেকে সরান নির্বাচন করুন
পপআপ মেনু।
ডিলিট (DEL) কী ব্যবহার করবেন না, কারণ এটি মুছে ফেলে file প্রকল্প থেকে।
২. একটি কাস্টম ফোল্ডার মুছে ফেলার জন্য, এটি হাইলাইট করুন তারপর ডান-ক্লিক করুন এবং পপআপ মেনু থেকে Delete নির্বাচন করুন অথবা DEL কী টিপুন। যখন আপনি একটি ফোল্ডার মুছে ফেলবেন, তখন নিম্নলিখিত পছন্দগুলির মধ্যে একটি করুন:
ফোল্ডারটি মুছে ফেলতে হ্যাঁ ক্লিক করুন এবং fileফোল্ডারে থাকা s থেকে
প্রকল্পটি।
ফোল্ডারটি মুছে ফেলার জন্য "না" ক্লিক করুন।

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা

প্রকল্প পরিচালনা File অনুক্রম

৩. কাস্টম ফোল্ডারের অনুক্রম পরিবর্তন করতে:
ফোল্ডারটিকে অন্য ফোল্ডারের মধ্যে টেনে আনুন যাতে এটি একটি সাব-
ফোল্ডারে অথবা প্রকল্পের উপরে এটিকে শীর্ষ-স্তরে স্থানান্তর করতে।
একটি কাস্টম ফোল্ডারের শীর্ষ-স্তরের অনুক্রম অপসারণ করতে, টেনে আনুন এবং ছেড়ে দিন
প্রকল্পের উপর কাঙ্ক্ষিত উপ-স্তরের অনুক্রম। তারপর ফোল্ডারের জন্য খালি রুট ডিরেক্টরিটি মুছে ফেলুন।
প্রাক্তন জন্যample, যদি বিদ্যমান কাস্টম ফোল্ডার ডিরেক্টরিটি হয়:
/প্রাক্তনampলেস/ভেরিলগ/আরটিএল
ধরুন আপনি শুধুমাত্র একটি একক-স্তরের RTL অনুক্রম চান, তারপর প্রকল্পের উপর RTL টেনে আনুন এবং ছেড়ে দিন। এরপর, আপনি /Ex মুছে ফেলতে পারেনamples/Verilog ডিরেক্টরি।

কাস্টম ম্যানিপুলেট করা Files
অতিরিক্তভাবে, আপনি নিম্নলিখিত ধরণের কাস্টম সম্পাদন করতে পারেন file অপারেশন:
১. এর প্রদর্শন দমন করতে fileটাইপ ফোল্ডারে s, প্রজেক্টে ডান ক্লিক করুন view এবং প্রকল্প নির্বাচন করুন View বিকল্পগুলি অথবা বিকল্পগুলি->প্রকল্প নির্বাচন করুন View বিকল্প। বিকল্পটি নিষ্ক্রিয় করুন View প্রকল্প Fileডায়ালগ বক্সের টাইপ ফোল্ডারে s।
2. প্রদর্শন করা fileপ্রকল্পের ক্রম অনুসারে না করে বর্ণানুক্রমিকভাবে, Sort চেক করুন Fileপ্রকল্পের s বোতাম view কন্ট্রোল প্যানেল। কন্ট্রোল প্যানেল চালু এবং বন্ধ করতে প্যানেলের নীচের বাম কোণে ডাউন তীর কীটি ক্লিক করুন।

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

LO
মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

প্রকল্প পরিচালনা File অনুক্রম

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা

কন্ট্রোল প্যানেল টগল
৩. এর ক্রম পরিবর্তন করতে fileপ্রকল্পে:
কাস্টম ফোল্ডার এবং সাজানোর কাজ বন্ধ করে দিন। files. টেনে আনুন এবং ছেড়ে দিন a file তালিকার কাঙ্ক্ষিত অবস্থানে files.
4. পরিবর্তন করতে file টাইপ করুন, টেনে এনে নতুন টাইপ ফোল্ডারে ফেলে দিন। সফটওয়্যারটি আপনাকে যাচাইয়ের জন্য অনুরোধ করবে।

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা

বাস্তবায়ন সেট আপ করা হচ্ছে

বাস্তবায়ন সেট আপ করা হচ্ছে
একটি বাস্তবায়ন হল একটি প্রকল্পের একটি সংস্করণ, যা নির্দিষ্ট সীমাবদ্ধতা এবং অন্যান্য সেটিংসের সাথে বাস্তবায়িত হয়। একটি প্রকল্পে একাধিক বাস্তবায়ন থাকতে পারে, প্রতিটির নিজস্ব সেটিংস থাকে।

একাধিক বাস্তবায়নের সাথে কাজ করা
Synplify Pro টুল আপনাকে একই ডিজাইনের একাধিক বাস্তবায়ন তৈরি করতে এবং তারপর ফলাফল তুলনা করতে দেয়। এটি আপনাকে একই ডিজাইনের জন্য বিভিন্ন সেটিংস নিয়ে পরীক্ষা করতে দেয়। বাস্তবায়নগুলি হল সংশ্লেষণ সফ্টওয়্যারের প্রেক্ষাপটে আপনার ডিজাইনের সংশোধন, এবং বহিরাগত সোর্স কোড নিয়ন্ত্রণ সফ্টওয়্যার এবং প্রক্রিয়াগুলিকে প্রতিস্থাপন করে না।
১. Add Implementation বাটনে ক্লিক করুন অথবা Project->New Implementation নির্বাচন করুন এবং নতুন ডিভাইস অপশন (ডিভাইস ট্যাব), নতুন অপশন (বিকল্প ট্যাব), অথবা একটি নতুন সীমাবদ্ধতা সেট করুন। file (সীমাবদ্ধতা ট্যাব)।
সফ্টওয়্যারটি প্রকল্পে আরেকটি বাস্তবায়ন তৈরি করে view। নতুন বাস্তবায়নের নাম পূর্ববর্তীটির মতোই, কিন্তু একটি ভিন্ন সংখ্যা প্রত্যয় সহ। নিম্নলিখিত চিত্রটি দুটি বাস্তবায়ন দেখায়, rev1 এবং rev2, বর্তমান (সক্রিয়) বাস্তবায়ন হাইলাইট করে।

নতুন বাস্তবায়ন একই সোর্স কোড ব্যবহার করে files, কিন্তু বিভিন্ন ডিভাইস বিকল্প এবং সীমাবদ্ধতা। এটি কিছু কপি করে fileপূর্ববর্তী বাস্তবায়ন থেকে s: tlg লগ file, srs RTL নেটলিস্ট file, এবং design_fsm.sdc file FSM এক্সপ্লোরার দ্বারা তৈরি। সফ্টওয়্যারটি সংশ্লেষণের একটি পুনরাবৃত্তিযোগ্য ইতিহাস সংরক্ষণ করে।

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

LO
মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

বাস্তবায়ন সেট আপ করা হচ্ছে

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা

2. নতুন সেটিংস দিয়ে আবার সংশ্লেষণ চালান।
শুধুমাত্র বর্তমান বাস্তবায়ন চালানোর জন্য, রান ক্লিক করুন।
একটি প্রকল্পের সমস্ত বাস্তবায়ন চালানোর জন্য, Run->Run All নির্বাচন করুন
বাস্তবায়ন।
আপনি একাধিক বাস্তবায়ন ব্যবহার করে একটি ভিন্ন অংশ চেষ্টা করতে পারেন অথবা একটি ভিন্ন ফ্রিকোয়েন্সি নিয়ে পরীক্ষা করতে পারেন। সেটিং বিকল্প সম্পর্কে তথ্যের জন্য পৃষ্ঠা ৭৫-এ সেটিং লজিক সংশ্লেষণ বাস্তবায়ন বিকল্পগুলি দেখুন।
প্রকল্প view সক্রিয় বাস্তবায়ন হাইলাইট এবং সংশ্লিষ্ট আউটপুট সহ সমস্ত বাস্তবায়ন দেখায় fileবাস্তবায়ন ফলাফলে প্রদর্শিত সক্রিয় বাস্তবায়নের জন্য তৈরি করা হয়েছে view ডানদিকে; সক্রিয় বাস্তবায়ন পরিবর্তন করলে আউটপুট পরিবর্তন হয় file প্রদর্শন। ওয়াচ উইন্ডোটি সক্রিয় বাস্তবায়ন পর্যবেক্ষণ করে। আপনি যদি এই উইন্ডোটি সমস্ত বাস্তবায়ন দেখার জন্য কনফিগার করেন, তাহলে নতুন বাস্তবায়নটি স্বয়ংক্রিয়ভাবে উইন্ডোতে আপডেট হয়ে যাবে।
৩. ফলাফল তুলনা করুন।
নির্বাচিত মানদণ্ডের তুলনা করতে ওয়াচ উইন্ডো ব্যবহার করুন। সেট করতে ভুলবেন না
কনফিগার ওয়াচ কমান্ডের সাথে আপনি যে বাস্তবায়নগুলি তুলনা করতে চান। বিস্তারিত জানার জন্য পৃষ্ঠা 190-এ ওয়াচ উইন্ডো ব্যবহার দেখুন।

বিশদ তুলনা করতে, লগটি তুলনা করুন file ফলাফল
৪. একটি বাস্তবায়নের নাম পরিবর্তন করতে, প্রকল্পের বাস্তবায়নের নামের উপর ডান মাউস বোতামটি ক্লিক করুন। view, পপআপ মেনু থেকে Change Implementation Name নির্বাচন করুন এবং একটি নতুন নাম টাইপ করুন।
মনে রাখবেন যে বর্তমান UI বাস্তবায়নটিকে ওভাররাইট করে; 9.0 এর আগের রিলিজগুলি বাস্তবায়নটিকে পুনঃনামকরণের জন্য সংরক্ষণ করে।
৫. একটি বাস্তবায়ন কপি করতে, প্রকল্পের বাস্তবায়ন নামের উপর ডান মাউস বোতামে ক্লিক করুন। view, পপআপ মেনু থেকে Copy Implementation নির্বাচন করুন, এবং কপির জন্য একটি নতুন নাম টাইপ করুন।

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা

বাস্তবায়ন সেট আপ করা হচ্ছে

৬. একটি বাস্তবায়ন মুছে ফেলতে, প্রকল্পের বাস্তবায়ন নামের উপর ডান মাউস বোতামে ক্লিক করুন। view, এবং পপআপ মেনু থেকে Remove Implementation নির্বাচন করুন।

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

LO
মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

লজিক সংশ্লেষণ বাস্তবায়নের বিকল্পগুলি নির্ধারণ করা অধ্যায় 4: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা
লজিক সংশ্লেষণ বাস্তবায়নের বিকল্পগুলি নির্ধারণ করা
তুমি তোমার সংশ্লেষণ বাস্তবায়নের জন্য বিশ্বব্যাপী বিকল্পগুলি সেট করতে পারো, যার মধ্যে কিছু প্রযুক্তি-নির্দিষ্ট। এই বিভাগটি বর্ণনা করে যে কীভাবে বিশ্বব্যাপী বিকল্পগুলি সেট করতে হয় যেমন ডিভাইস, অপ্টিমাইজেশন, এবং file বাস্তবায়ন বিকল্প কমান্ড সহ বিকল্পগুলি। বাস্তবায়নের জন্য সীমাবদ্ধতা নির্ধারণ সম্পর্কে তথ্যের জন্য, পৃষ্ঠা ১১৯-এ SCOPE সীমাবদ্ধতা নির্দিষ্টকরণ দেখুন। পৃথক বৈশিষ্ট্য বা নির্দেশিকা সহ বিশ্বব্যাপী সেটিংস ওভাররাইড করার তথ্যের জন্য, পৃষ্ঠা ৯০-এ বৈশিষ্ট্য এবং নির্দেশিকা নির্দিষ্টকরণ দেখুন।
এই বিভাগে নিম্নলিখিত বিষয়গুলি নিয়ে আলোচনা করা হয়েছে:
· ডিভাইসের বিকল্পগুলি সেট করা, পৃষ্ঠা ৭৫-এ · অপ্টিমাইজেশন বিকল্পগুলি সেট করা, পৃষ্ঠা ৭৮-এ · গ্লোবাল ফ্রিকোয়েন্সি এবং সীমাবদ্ধতা নির্দিষ্ট করা Files, পৃষ্ঠা ৮০-তে · ফলাফলের বিকল্পগুলি নির্দিষ্ট করা, পৃষ্ঠা ৮২-তে · সময় নির্ধারণ রিপোর্ট আউটপুট, পৃষ্ঠা ৮৪-তে · Verilog এবং VHDL বিকল্পগুলি সেট করা, পৃষ্ঠা ৮৪-তে
ডিভাইস বিকল্প সেট করা হচ্ছে
ডিভাইস অপশনগুলি হল গ্লোবাল অপশনগুলির অংশ যা আপনি সিন্থেসিস রানের জন্য সেট করতে পারেন। এর মধ্যে রয়েছে পার্ট সিলেকশন (প্রযুক্তি, পার্ট এবং স্পিড গ্রেড) এবং ইমপ্লিমেন্টেশন অপশন (I/O ইনসার্শন এবং ফ্যানআউট)। এই অপশনগুলির অপশন এবং ইমপ্লিমেন্টেশন প্রযুক্তি ভেদে ভিন্ন হতে পারে, তাই আপনার বিক্রেতা অপশন সম্পর্কে তথ্যের জন্য রেফারেন্স ম্যানুয়ালের বিক্রেতা অধ্যায়গুলি দেখুন।
১. বাস্তবায়ন বিকল্প ফর্মটি খুলুন বাস্তবায়ন বিকল্প বোতামে ক্লিক করে অথবা প্রকল্প-> বাস্তবায়ন বিকল্প নির্বাচন করে, এবং যদি এটি ইতিমধ্যে নির্বাচিত না থাকে তবে উপরের ডিভাইস ট্যাবে ক্লিক করুন।
2. প্রযুক্তি, যন্ত্রাংশ, প্যাকেজ এবং গতি নির্বাচন করুন। আপনার পছন্দের প্রযুক্তির উপর নির্ভর করে উপলব্ধ বিকল্পগুলি পরিবর্তিত হয়।

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা লজিক সংশ্লেষণ বাস্তবায়নের বিকল্প নির্ধারণ করা
৩. ডিভাইস ম্যাপিং অপশন সেট করুন। আপনার পছন্দের প্রযুক্তির উপর নির্ভর করে অপশনগুলি পরিবর্তিত হয়।
যদি আপনি নিশ্চিত না হন যে কোন বিকল্পের অর্থ কী, তাহলে দেখতে বিকল্পটিতে ক্লিক করুন
নীচের বাক্সে একটি বিবরণ। বিকল্পগুলির সম্পূর্ণ বিবরণের জন্য, F1 ক্লিক করুন অথবা রেফারেন্স ম্যানুয়ালের উপযুক্ত বিক্রেতা অধ্যায়টি দেখুন।
একটি বিকল্প সেট করতে, মানটি টাইপ করুন অথবা এটি সক্রিয় করতে বাক্সটি চেক করুন।
ফ্যানআউট সীমা নির্ধারণ এবং রিটাইমিং সম্পর্কে আরও তথ্যের জন্য, যথাক্রমে পৃষ্ঠা 348-এ ফ্যানআউট সীমা নির্ধারণ এবং পৃষ্ঠা 334-এ রিটাইমিং দেখুন। অন্যান্য বিক্রেতা-নির্দিষ্ট বিকল্প সম্পর্কে বিশদ জানতে, রেফারেন্স ম্যানুয়ালে উপযুক্ত বিক্রেতা অধ্যায় এবং প্রযুক্তি পরিবার দেখুন।

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

LO
মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

লজিক সংশ্লেষণ বাস্তবায়নের বিকল্পগুলি নির্ধারণ করা অধ্যায় 4: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা

৪. প্রয়োজনে অন্যান্য বাস্তবায়ন বিকল্প সেট করুন (পছন্দের তালিকার জন্য পৃষ্ঠা ৭৫-এ লজিক সংশ্লেষণ বাস্তবায়ন বিকল্প সেট করা দেখুন)। ঠিক আছে ক্লিক করুন।
৫. ডিজাইনটি সংশ্লেষিত করতে রান বোতামে ক্লিক করুন। সফ্টওয়্যারটি আপনার সেট করা বিকল্পগুলি ব্যবহার করে ডিজাইনটি কম্পাইল এবং ম্যাপ করে।
৬. স্ক্রিপ্টের মাধ্যমে ডিভাইস অপশন সেট করতে, set_option Tcl কমান্ডটি ব্যবহার করুন। নিম্নলিখিত টেবিলে ডিভাইস ট্যাবে ডিভাইস অপশনগুলির একটি বর্ণানুক্রমিক তালিকা রয়েছে যা সমতুল্য Tcl কমান্ডের সাথে ম্যাপ করা হয়েছে। যেহেতু বিকল্পগুলি প্রযুক্তি- এবং পরিবার-ভিত্তিক, টেবিলে তালিকাভুক্ত সমস্ত বিকল্প নির্বাচিত প্রযুক্তিতে উপলব্ধ নাও হতে পারে। সমস্ত কমান্ড set_option দিয়ে শুরু হয়, তারপরে কলামে প্রদর্শিত বাক্য গঠন অনুসরণ করে। আপনার বিক্রেতার জন্য বিকল্পগুলির সবচেয়ে বিস্তৃত তালিকার জন্য রেফারেন্স ম্যানুয়ালটি দেখুন।
নিম্নলিখিত টেবিলটি বেশিরভাগ ডিভাইস বিকল্পগুলি দেখায়।

বিশ্লেষকের জন্য অপশন অ্যানোটেটেড প্রোপার্টিজ I/O ইনসার্শন ফ্যানআউট গাইড অক্ষম করুন

Tcl কমান্ড (set_option…) -run_prop_extract {1|0} -disable_io_insertion {1|0} -fanout_limit fanout_value

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা লজিক সংশ্লেষণ বাস্তবায়নের বিকল্প নির্ধারণ করা

অপশন

Tcl কমান্ড (set_option…)

প্যাকেজ

-প্যাকেজ pkg_name

অংশ

-অংশ অংশ_নাম

মিশ্র ড্রাইভার সমাধান করুন

-সমাধান_মাল্টিপল_ড্রাইভার {1|0}

গতি

-গতি_গ্রেড গতি_গ্রেড

প্রযুক্তি

-প্রযুক্তি কীওয়ার্ড

কম্পাইল পয়েন্ট টাইমিং ডেটা আপডেট করুন -update_models_cp {0|1}

এইচডিএল বিশ্লেষক ডাটাবেস জেনারেশন -hdl_qload {1|0}

অপ্টিমাইজেশন বিকল্প সেট করা
অপ্টিমাইজেশন বিকল্পগুলি হল বিশ্বব্যাপী বিকল্পগুলির একটি অংশ যা আপনি বাস্তবায়নের জন্য সেট করতে পারেন। এই বিভাগটি আপনাকে ফ্রিকোয়েন্সি এবং রিসোর্স শেয়ারিংয়ের মতো বিশ্বব্যাপী অপ্টিমাইজেশন বিকল্পগুলি কীভাবে সেট করবেন তা বলে। আপনি UI-তে উপযুক্ত বোতামগুলির সাহায্যে এই বিকল্পগুলির কিছু সেট করতে পারেন।
১. বাস্তবায়ন বিকল্প বোতামে ক্লিক করে অথবা প্রকল্প-> বাস্তবায়ন বিকল্প নির্বাচন করে বাস্তবায়ন বিকল্প ফর্মটি খুলুন এবং উপরের বিকল্প ট্যাবে ক্লিক করুন।
২. আপনার পছন্দের অপ্টিমাইজেশন বিকল্পগুলিতে ক্লিক করুন, হয় ফর্মে অথবা প্রজেক্টে। view। প্রযুক্তির উপর নির্ভর করে আপনার পছন্দগুলি পরিবর্তিত হয়। যদি আপনার প্রযুক্তির জন্য কোনও বিকল্প উপলব্ধ না থাকে, তবে এটি ধূসর হয়ে যায়। এক জায়গায় বিকল্পটি সেট করলে এটি স্বয়ংক্রিয়ভাবে অন্য জায়গায় আপডেট হয়।

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

LO
মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

লজিক সংশ্লেষণ বাস্তবায়নের বিকল্পগুলি নির্ধারণ করা অধ্যায় 4: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা

প্রকল্প View

অপ্টিমাইজেশন অপশন বাস্তবায়ন অপশন->বিকল্প

এই অপ্টিমাইজেশনগুলি ব্যবহার সম্পর্কে বিস্তারিত জানার জন্য নিম্নলিখিত বিভাগগুলি দেখুন:

FSM কম্পাইলার FSM এক্সপ্লোরার
রিসোর্স শেয়ারিং রিটাইমিং

৩৫৪ পৃষ্ঠায়, স্টেট মেশিন অপ্টিমাইজ করা
৩৫৯ পৃষ্ঠায় FSM এক্সপ্লোরার চালানো দ্রষ্টব্য: শুধুমাত্র মাইক্রোসেমি প্রযুক্তির একটি উপসেট FSM এক্সপ্লোরার বিকল্পটি সমর্থন করে। আপনার টুলে নির্দিষ্ট করা ডিভাইসের জন্য এই বিকল্পটি সমর্থিত কিনা তা নির্ধারণ করতে প্রকল্প->বাস্তবায়ন বিকল্প->বিকল্প প্যানেল ব্যবহার করুন।
সম্পদ ভাগাভাগি, পৃষ্ঠা ৩৫২-এ
রিটাইমিং, পৃষ্ঠা ৩৩৪-এ

সমতুল্য Tcl set_option কমান্ডের বিকল্পগুলি নিম্নরূপ:

অপশন এফএসএম কম্পাইলার এফএসএম এক্সপ্লোরার রিসোর্স শেয়ারিং রিটাইমিং

set_option Tcl কমান্ড অপশন -symbolic_fsm_compiler {1|0} -use_fsm_explorer {1|0} -resource_sharing {1|0} -retimming {1|0}

৪. প্রয়োজনে অন্যান্য বাস্তবায়ন বিকল্প সেট করুন (পছন্দের তালিকার জন্য পৃষ্ঠা ৭৫-এ লজিক সংশ্লেষণ বাস্তবায়ন বিকল্প সেট করা দেখুন)। ঠিক আছে ক্লিক করুন।

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা লজিক সংশ্লেষণ বাস্তবায়নের বিকল্প নির্ধারণ করা
৪. সংশ্লেষণ চালানোর জন্য রান বোতামে ক্লিক করুন।
সফ্টওয়্যারটি আপনার সেট করা বিকল্পগুলি ব্যবহার করে নকশাটি কম্পাইল এবং ম্যাপ করে।
এইচডিএল বিশ্লেষক ডাটাবেস জেনারেশন
ডিফল্টরূপে, সফ্টওয়্যারটি সম্পূর্ণ নকশাটি পড়ে, লজিক অপ্টিমাইজেশন এবং টাইমিং প্রচার করে এবং একটি একক নেটলিস্ট (srs) এ আউটপুট লেখে। ডিজাইনগুলি যত বড় হয়, ডিজাইনটি চালানো এবং ডিবাগ করার সময় আরও চ্যালেঞ্জিং হয়ে ওঠে।
এই বিকল্পগুলি কম্পাইলারকে নকশাটিকে একাধিক মডিউলে প্রি-পার্টিশন করতে দেয় যা পৃথক নেটলিস্টে লেখা হয়। files (srs)। এই বিকল্পটি সক্রিয় করতে, বাস্তবায়ন বিকল্প ডায়ালগ বক্সের বিকল্প ট্যাবে HDL বিশ্লেষক ডেটাবেস জেনারেশন চেকবক্সটি নির্বাচন করুন। এই বৈশিষ্ট্যটি বড় ডিজাইনের জন্য মেমরির ব্যবহার উল্লেখযোগ্যভাবে উন্নত করে।
এই বৈশিষ্ট্যটি নিম্নলিখিত set_option Tcl কমান্ড ব্যবহার করে Tcl স্ক্রিপ্ট উইন্ডো থেকেও সক্রিয় করা যেতে পারে:
সেট_অপশন -hdl_qload ১
একবার HDL অ্যানালিস্ট ডেটাবেস জেনারেশন অপশনটি সক্রিয় হয়ে গেলে, HDL অ্যানালিস্ট টুলে ইনক্রিমেন্টাল কুইক লোড অপশনটি ব্যবহার করে একটি একক নেটলিস্ট (srs) অথবা একাধিক শীর্ষ-স্তরের RTL মডিউল নেটলিস্ট (srs) ব্যবহার করে ডিজাইনটি প্রদর্শন করুন। টুলটি অ্যাডভান্স নিতে পারে।tagশুধুমাত্র প্রভাবিত নকশা অনুক্রম গতিশীলভাবে লোড করে এই বৈশিষ্ট্যের e। উদাহরণস্বরূপampহ্যাঁ, দ্রুত লোডের জন্য প্রয়োজন অনুসারে, হায়ারার্কি ব্রাউজার কেবল নিম্ন স্তরের হায়ারার্কি প্রসারিত করতে পারে। ইনক্রিমেন্টাল কুইক লোড বিকল্পটি HDL অ্যানালিস্ট অপশনস ডায়ালগ বক্সের জেনারেল প্যানেলে অবস্থিত। 304 পৃষ্ঠায় জেনারেল প্যানেল দেখুন।

বিশ্বব্যাপী ফ্রিকোয়েন্সি এবং সীমাবদ্ধতা নির্দিষ্ট করা Files

এই পদ্ধতিটি আপনাকে বলে কিভাবে গ্লোবাল ফ্রিকোয়েন্সি সেট করতে হয় এবং সীমাবদ্ধতা নির্দিষ্ট করতে হয় fileবাস্তবায়নের জন্য।

১. একটি বিশ্বব্যাপী ফ্রিকোয়েন্সি সেট করতে, নিম্নলিখিতগুলির মধ্যে একটি করুন:

প্রকল্পে একটি বিশ্বব্যাপী ফ্রিকোয়েন্সি টাইপ করুন। view.

বাস্তবায়ন বিকল্প ফর্মটি খুলুন বাস্তবায়নে ক্লিক করে

বিকল্প বোতাম সীমাবদ্ধতা ট্যাব।

or

নির্বাচন করা

প্রকল্প->বাস্তবায়ন

বিকল্প,

এবং

ক্লিক

সমতুল্য Tcl set_option কমান্ড হল -frequency frequencyValue।

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

লজিক সংশ্লেষণ বাস্তবায়নের বিকল্পগুলি নির্ধারণ করা অধ্যায় 4: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা
আপনি স্থানীয় সীমাবদ্ধতা ব্যবহার করে বিশ্বব্যাপী ফ্রিকোয়েন্সি ওভাররাইড করতে পারেন, যেমনটি পৃষ্ঠা ১১৯-এ "স্পেসিফিকিং স্কোপ কনস্ট্রেইন্টস" বিভাগে বর্ণিত হয়েছে। Synplify Pro টুলে, আপনি একটি বিশ্বব্যাপী ফ্রিকোয়েন্সি সেট করার পরিবর্তে আপনার ডিজাইনের জন্য স্বয়ংক্রিয়ভাবে ঘড়ির সীমাবদ্ধতা তৈরি করতে পারেন। বিস্তারিত জানার জন্য পৃষ্ঠা ২৯১-এ "অটো কনস্ট্রেইন্টস ব্যবহার" দেখুন।
গ্লোবাল ফ্রিকোয়েন্সি এবং সীমাবদ্ধতা প্রকল্প View
বাস্তবায়নের বিকল্প->সীমাবদ্ধতা

2. সীমাবদ্ধতা নির্দিষ্ট করা fileবাস্তবায়নের জন্য, নিম্নলিখিতগুলির মধ্যে একটি করুন:
প্রকল্প->বাস্তবায়ন বিকল্প->সীমাবদ্ধতা নির্বাচন করুন। সীমাবদ্ধতা পরীক্ষা করুন
fileআপনি প্রকল্পে ব্যবহার করতে চান।
বাস্তবায়ন বিকল্প->সীমাবদ্ধতা প্যানেল থেকে, আপনি ক্লিক করতে পারেন
একটি সীমাবদ্ধতা যোগ করুন file.
আপনি যে বাস্তবায়নটি ব্যবহার করতে চান তা নির্বাচন করে, যোগ করুন ক্লিক করুন File মধ্যে
প্রকল্প view, এবং সীমাবদ্ধতা যোগ করুন fileআপনার প্রয়োজন।

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা লজিক সংশ্লেষণ বাস্তবায়নের বিকল্প নির্ধারণ করা
সীমাবদ্ধতা তৈরি করতে files, পৃষ্ঠা ১১৯-এ SCOPE সীমাবদ্ধতা নির্দিষ্টকরণ দেখুন।
৩. সীমাবদ্ধতা দূর করতে fileএকটি বাস্তবায়ন থেকে s, নিম্নলিখিতগুলির মধ্যে একটি করুন:
প্রকল্প->বাস্তবায়ন বিকল্প->সীমাবদ্ধতা নির্বাচন করুন। চেকবক্সটি বন্ধ করুন।
পাশে file নাম
প্রকল্পে view, কনস্ট্রেইন্টে ডান ক্লিক করুন file অপসারণ করা হবে এবং
প্রকল্প থেকে সরান নির্বাচন করুন।
এটি সীমাবদ্ধতা দূর করে file বাস্তবায়ন থেকে, কিন্তু এটি মুছে ফেলা হয় না।
৪. প্রয়োজনে অন্যান্য বাস্তবায়ন বিকল্প সেট করুন (পছন্দের তালিকার জন্য পৃষ্ঠা ৭৫-এ লজিক সংশ্লেষণ বাস্তবায়ন বিকল্প সেট করা দেখুন)। ঠিক আছে ক্লিক করুন।
যখন আপনি নকশা সংশ্লেষণ করেন, তখন সফ্টওয়্যারটি আপনার সেট করা বিকল্পগুলি ব্যবহার করে নকশাটি সংকলন এবং মানচিত্র তৈরি করে।
ফলাফলের বিকল্পগুলি নির্দিষ্ট করা
এই বিভাগটি আপনাকে দেখায় কিভাবে সংশ্লেষণ রানের আউটপুটের জন্য মানদণ্ড নির্দিষ্ট করতে হয়।
১. বাস্তবায়ন বিকল্প বোতামে ক্লিক করে অথবা প্রকল্প-> বাস্তবায়ন বিকল্প নির্বাচন করে বাস্তবায়ন বিকল্প ফর্মটি খুলুন এবং উপরে বাস্তবায়ন ফলাফল ট্যাবে ক্লিক করুন।

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

LO
মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

লজিক সংশ্লেষণ বাস্তবায়নের বিকল্পগুলি নির্ধারণ করা অধ্যায় 4: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা

2. আউটপুট নির্দিষ্ট করুন fileআপনি যা তৈরি করতে চান।
ম্যাপ করা নেটলিস্ট তৈরি করতে files, Write Mapped Verilog Netlist অথবা Write এ ক্লিক করুন
ম্যাপ করা ভিএইচডিএল নেটলিস্ট।
একটি বিক্রেতা-নির্দিষ্ট সীমাবদ্ধতা তৈরি করতে file ফরোয়ার্ড টীকাটির জন্য,
Write Vendor Constraint-এ ক্লিক করুন File। এই প্রতিবেদনের বিস্তারিত জানার জন্য, রেফারেন্স ম্যানুয়ালের পৃষ্ঠা 270.-এ, পৃষ্ঠা 56-এ, সীমাবদ্ধতা যাচাই প্রতিবেদন দেখুন।
৩. আপনি যে ডিরেক্টরিতে ফলাফল লিখতে চান তা সেট করুন।
৪. আউটপুটের জন্য ফর্ম্যাট সেট করুন file। স্ক্রিপ্টিংয়ের জন্য সমতুল্য Tcl কমান্ড হল project -result_format format।
আপনি নেম-ম্যাপিং নিয়ন্ত্রণের জন্য অ্যাট্রিবিউট সেট করতে চাইতে পারেন। বিস্তারিত জানার জন্য, রেফারেন্স ম্যানুয়ালের উপযুক্ত বিক্রেতা অধ্যায়টি পড়ুন।
৪. প্রয়োজনে অন্যান্য বাস্তবায়ন বিকল্প সেট করুন (পছন্দের তালিকার জন্য পৃষ্ঠা ৭৫-এ লজিক সংশ্লেষণ বাস্তবায়ন বিকল্প সেট করা দেখুন)। ঠিক আছে ক্লিক করুন।
যখন আপনি নকশা সংশ্লেষণ করেন, তখন সফ্টওয়্যারটি আপনার সেট করা বিকল্পগুলি ব্যবহার করে নকশাটি সংকলন এবং মানচিত্র তৈরি করে।

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা লজিক সংশ্লেষণ বাস্তবায়নের বিকল্প নির্ধারণ করা
সময় উল্লেখ করা রিপোর্ট আউটপুট
নিম্নলিখিত বিকল্পগুলি সেট করে আপনি টাইমিং রিপোর্টে কতটা রিপোর্ট করা হয়েছে তা নির্ধারণ করতে পারেন।
১. প্রকল্প-> বাস্তবায়ন বিকল্প নির্বাচন করুন, এবং টাইমিং রিপোর্ট ট্যাবে ক্লিক করুন। ২. সফ্টওয়্যারটি কতগুলি গুরুত্বপূর্ণ পাথ রিপোর্ট করতে চায় তা নির্ধারণ করুন।

৩. গুরুত্বপূর্ণ পথ বিভাগে আপনি কতগুলি শুরু এবং শেষ বিন্দু দেখতে চান তা উল্লেখ করুন।
৪. প্রয়োজনে অন্যান্য বাস্তবায়ন বিকল্প সেট করুন (পছন্দের তালিকার জন্য পৃষ্ঠা ৭৫-এ লজিক সংশ্লেষণ বাস্তবায়ন বিকল্প সেট করুন)। ঠিক আছে ক্লিক করুন। যখন আপনি নকশা সংশ্লেষণ করেন, তখন সফ্টওয়্যারটি আপনার সেট করা বিকল্পগুলি ব্যবহার করে নকশাটি কম্পাইল এবং ম্যাপ করে।
Verilog এবং VHDL বিকল্প সেট করা হচ্ছে
যখন আপনি Verilog এবং VHDL উৎস সেট আপ করবেন fileআপনার প্রকল্পে s ব্যবহার করে, আপনি নির্দিষ্ট কম্পাইলার বিকল্পগুলিও নির্দিষ্ট করতে পারেন।
ভেরিলগ সেট করা হচ্ছে File অপশন
তুমি ভেরিলগ সেট করেছো file প্রকল্প->বাস্তবায়ন বিকল্প->ভেরিলগ, অথবা বিকল্প->ভেরিলগ কম্পাইলার কনফিগার করুন নির্বাচন করে বিকল্পগুলি নির্বাচন করুন।

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

LO
মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

লজিক সংশ্লেষণ বাস্তবায়নের বিকল্পগুলি নির্ধারণ করা অধ্যায় 4: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা

১. ব্যবহার করার জন্য Verilog ফর্ম্যাটটি নির্দিষ্ট করুন।
সকলের জন্য বিশ্বব্যাপী কম্পাইলার সেট করতে fileপ্রকল্পে, নির্বাচন করুন
প্রকল্প->বাস্তবায়ন বিকল্প->ভেরিলগ। আপনি যদি ভেরিলগ ২০০১ বা সিস্টেমভেরিলগ ব্যবহার করেন, তাহলে সমর্থিত নির্মাণের জন্য রেফারেন্স ম্যানুয়ালটি দেখুন।
একটি প্রতি Verilog কম্পাইলার নির্দিষ্ট করতে file ভিত্তিতে, নির্বাচন করুন file মধ্যে
প্রকল্প view. ডান-ক্লিক করুন এবং নির্বাচন করুন File বিকল্প। উপযুক্ত কম্পাইলার নির্বাচন করুন। ডিফল্ট Verilog file নতুন প্রকল্পের জন্য ফর্ম্যাট হল SystemVerilog।

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা লজিক সংশ্লেষণ বাস্তবায়নের বিকল্প নির্ধারণ করা
২. যদি আপনি ইতিমধ্যেই প্রকল্পে এটি না করে থাকেন তবে শীর্ষ-স্তরের মডিউলটি নির্দিষ্ট করুন। view.
৩. সোর্স কোড থেকে প্যারামিটার বের করতে, নিম্নলিখিতগুলি করুন:
এক্সট্র্যাক্ট প্যারামিটারে ক্লিক করুন। ডিফল্ট ওভাররাইড করতে, একটি প্যারামিটারের জন্য একটি নতুন মান লিখুন।
সফ্টওয়্যারটি শুধুমাত্র বর্তমান বাস্তবায়নের জন্য নতুন মান ব্যবহার করে। মনে রাখবেন যে মিশ্র ডিজাইনের জন্য প্যারামিটার নিষ্কাশন সমর্থিত নয়।

৪. কম্পাইলার ডাইরেক্টিভস-এ স্পেস ব্যবহার করে স্টেটমেন্টগুলো আলাদা করে ডিরেক্টিভ টাইপ করুন। আপনি সাধারণত কোডে 'ifdef' এবং `define স্টেটমেন্ট দিয়ে যে ডিরেক্টিভগুলো লিখবেন সেগুলো টাইপ করতে পারেন। উদাহরণস্বরূপample, ABC=30 এর ফলে সফ্টওয়্যারটি প্রকল্পে নিম্নলিখিত বিবৃতিগুলি লিখবে file:
set_option -hdl_define - "ABC=30" সেট করুন
LO

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

লজিক সংশ্লেষণ বাস্তবায়নের বিকল্পগুলি নির্ধারণ করা অধ্যায় 4: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা
৫. ইনক্লুড পাথ অর্ডারে, ভেরিলগের জন্য ইনক্লুড কমান্ডের জন্য অনুসন্ধান পাথগুলি নির্দিষ্ট করুন। fileআপনার প্রকল্পে থাকা s. পাথগুলি যোগ করতে, মুছে ফেলতে বা পুনর্বিন্যাস করতে বাক্সের উপরের ডানদিকের বোতামগুলি ব্যবহার করুন।
৬. লাইব্রেরি ডিরেক্টরিতে, লাইব্রেরি ধারণকারী ডিরেক্টরির পথ নির্দিষ্ট করুন fileআপনার প্রকল্পের জন্য। পাথ যোগ করতে, মুছে ফেলতে বা পুনর্বিন্যাস করতে বাক্সের উপরের ডানদিকের বোতামগুলি ব্যবহার করুন।
৪. প্রয়োজনে অন্যান্য বাস্তবায়ন বিকল্প সেট করুন (পছন্দের তালিকার জন্য পৃষ্ঠা ৭৫-এ লজিক সংশ্লেষণ বাস্তবায়ন বিকল্প সেট করুন)। ঠিক আছে ক্লিক করুন। যখন আপনি নকশা সংশ্লেষণ করেন, তখন সফ্টওয়্যারটি আপনার সেট করা বিকল্পগুলি ব্যবহার করে নকশাটি কম্পাইল এবং ম্যাপ করে।

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা লজিক সংশ্লেষণ বাস্তবায়নের বিকল্প নির্ধারণ করা
VHDL সেট করা হচ্ছে File অপশন
আপনি VHDL সেট করেছেন file প্রকল্প->বাস্তবায়ন বিকল্প->VHDL, অথবা বিকল্প->VHDL কম্পাইলার কনফিগার করুন নির্বাচন করে বিকল্পগুলি।

VHDL উৎসের জন্য, আপনি নীচে বর্ণিত বিকল্পগুলি নির্দিষ্ট করতে পারেন।
২. যদি আপনি ইতিমধ্যেই প্রকল্পে এটি না করে থাকেন তবে শীর্ষ-স্তরের মডিউলটি নির্দিষ্ট করুন। view। যদি শীর্ষ-স্তরের মডিউলটি ডিফল্ট ওয়ার্ক লাইব্রেরিতে না থাকে, তাহলে আপনাকে অবশ্যই সেই লাইব্রেরিটি নির্দিষ্ট করতে হবে যেখানে কম্পাইলার মডিউলটি খুঁজে পেতে পারে। এটি কীভাবে করবেন সে সম্পর্কে তথ্যের জন্য, পৃষ্ঠা 200-এ VHDL প্যানেল দেখুন।
আপনি মিশ্র ভাষা ডিজাইনের জন্যও এই বিকল্পটি ব্যবহার করতে পারেন অথবা যখন আপনি এমন একটি মডিউল নির্দিষ্ট করতে চান যা HDL বিশ্লেষক প্রদর্শন এবং স্কিম্যাটিকের LdOebugging এর জন্য প্রকৃত শীর্ষ-স্তরের সত্তা নয়। views. 2. ব্যবহারকারী-সংজ্ঞায়িত স্টেট মেশিন এনকোডিংয়ের জন্য, নিম্নলিখিতগুলি করুন:
আপনি যে ধরণের এনকোডিং ব্যবহার করতে চান তা নির্দিষ্ট করুন।

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

লজিক সংশ্লেষণ বাস্তবায়নের বিকল্পগুলি নির্ধারণ করা অধ্যায় 4: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা
FSM কম্পাইলারটি অক্ষম করুন।
যখন আপনি ডিজাইনটি সংশ্লেষণ করেন, তখন সফ্টওয়্যারটি স্টেট মেশিনগুলিকে এনকোড করার জন্য আপনার এখানে সেট করা কম্পাইলার নির্দেশিকা ব্যবহার করে এবং FSM কম্পাইলার চালায় না, যা কম্পাইলার নির্দেশিকাগুলিকে ওভাররাইড করবে। বিকল্পভাবে, আপনি syn_encoding বৈশিষ্ট্য ব্যবহার করে স্টেট মেশিনগুলিকে সংজ্ঞায়িত করতে পারেন, যেমনটি 308 পৃষ্ঠায় VHDL-এ Defining State Machines-এ বর্ণিত হয়েছে।
৩. সোর্স কোড থেকে জেনেরিক বের করতে, এটি করুন:
জেনেরিক কনস্ট্যান্ট বের করুন এ ক্লিক করুন। ডিফল্ট ওভাররাইড করতে, জেনেরিকের জন্য একটি নতুন মান লিখুন।
সফ্টওয়্যারটি শুধুমাত্র বর্তমান বাস্তবায়নের জন্য নতুন মান ব্যবহার করে। মনে রাখবেন যে আপনার যদি মিশ্র ভাষার নকশা থাকে তবে আপনি জেনেরিকগুলি বের করতে পারবেন না।

৪. প্রক্রিয়া/ব্লক সীমানা পেরিয়ে ট্রাইস্টেট পুশ করার জন্য, পুশ ট্রাইস্টেটস সক্রিয় আছে কিনা তা পরীক্ষা করুন। বিস্তারিত জানার জন্য, রেফারেন্স ম্যানুয়ালের পৃষ্ঠা ২১২-তে পুশ ট্রাইস্টেটস বিকল্পটি দেখুন।
৫. synthesis_on এবং synthesis_off নির্দেশিকাগুলির ব্যাখ্যা নির্ধারণ করুন:
কম্পাইলারকে synthesis_on এবং synthesis_off নির্দেশিকা ব্যাখ্যা করতে সাহায্য করার জন্য
translate_on/translate_off এর মতো, Synthesis On/Off Implemented as Translate On/Off বিকল্পটি সক্রিয় করুন।
synthesis_on এবং synthesis_off নির্দেশিকা উপেক্ষা করতে, নিশ্চিত করুন যে
এই বিকল্পটি চেক করা নেই। আরও তথ্যের জন্য রেফারেন্স ম্যানুয়ালের 226 পৃষ্ঠায় translate_off/translate_on দেখুন।

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা

বৈশিষ্ট্য এবং নির্দেশিকা নির্দিষ্ট করা

৪. প্রয়োজনে অন্যান্য বাস্তবায়ন বিকল্প সেট করুন (পছন্দের তালিকার জন্য পৃষ্ঠা ৭৫-এ লজিক সংশ্লেষণ বাস্তবায়ন বিকল্প সেট করা দেখুন)। ঠিক আছে ক্লিক করুন।
যখন আপনি নকশা সংশ্লেষণ করেন, তখন সফ্টওয়্যারটি আপনার সেট করা বিকল্পগুলি ব্যবহার করে নকশাটি সংকলন এবং মানচিত্র তৈরি করে।

বৈশিষ্ট্য এবং নির্দেশিকা নির্দিষ্ট করা

অ্যাট্রিবিউট এবং ডিরেক্টিভ হল স্পেসিফিকেশন যা আপনি ডিজাইন অবজেক্টগুলিকে বরাদ্দ করেন যাতে আপনার ডিজাইন বিশ্লেষণ, অপ্টিমাইজ এবং ম্যাপ করা হয় তা নিয়ন্ত্রণ করা যায়।
অ্যাট্রিবিউটগুলি ম্যাপিং অপ্টিমাইজেশন নিয়ন্ত্রণ করে এবং ডাইরেক্টিভগুলি কম্পাইলার অপ্টিমাইজেশন নিয়ন্ত্রণ করে। এই পার্থক্যের কারণে, আপনাকে সোর্স কোডে ডাইরেক্টিভগুলি নির্দিষ্ট করতে হবে। এই টেবিলটি অ্যাট্রিবিউট এবং ডাইরেক্টিভ স্পেসিফিকেশন তৈরির জন্য উপলব্ধ পদ্ধতিগুলি বর্ণনা করে:

VHDL Verilog SCOPE সম্পাদকের সীমাবদ্ধতা File

গুণাবলী হ্যাঁ হ্যাঁ হ্যাঁ হ্যাঁ

নির্দেশিকা হ্যাঁ হ্যাঁ না না

SCOPE এডিটরে অ্যাট্রিবিউট অথবা সীমাবদ্ধতা উল্লেখ করা ভালো file, কারণ আপনাকে প্রথমে নকশাটি পুনরায় কম্পাইল করতে হবে না। নির্দেশাবলীর জন্য, কার্যকর করার জন্য আপনাকে নকশাটি কম্পাইল করতে হবে।
যদি পরিধি/সীমাবদ্ধতা থাকে file এবং HDL সোর্স কোড একটি ডিজাইনের জন্য নির্দিষ্ট করা থাকে, যখন দ্বন্দ্ব থাকে তখন সীমাবদ্ধতাগুলিকে অগ্রাধিকার দেওয়া হয়।
আরও বিস্তারিত জানার জন্য, নিম্নলিখিতগুলি দেখুন:
· VHDL-এ বৈশিষ্ট্য এবং নির্দেশিকা উল্লেখ করা, পৃষ্ঠা ৯১-এ · Verilog-এ বৈশিষ্ট্য এবং নির্দেশিকা উল্লেখ করা, পৃষ্ঠা ৯২-এ · SCOPE সম্পাদক ব্যবহার করে বৈশিষ্ট্য নির্দিষ্ট করা, পৃষ্ঠা ৯৩-এ · সীমাবদ্ধতাগুলিতে বৈশিষ্ট্য নির্দিষ্ট করা File, পৃষ্ঠা 97 এ

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

বৈশিষ্ট্য এবং নির্দেশিকা নির্দিষ্ট করা

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা

VHDL-এ বৈশিষ্ট্য এবং নির্দেশিকা নির্দিষ্ট করা
আপনি ৯০ পৃষ্ঠায় "স্পেসিফিকিং অ্যাট্রিবিউটস অ্যান্ড ডাইরেক্টিভস" বিভাগে তালিকাভুক্ত বস্তুগুলিতে অ্যাট্রিবিউট যোগ করার জন্য অন্যান্য পদ্ধতি ব্যবহার করতে পারেন। তবে, আপনি কেবল সোর্স কোডেই নির্দেশিকা নির্দিষ্ট করতে পারেন। VHDL-এ অ্যাট্রিবিউটস এবং ডাইরেক্টিভ সংজ্ঞায়িত করার দুটি উপায় রয়েছে:
· পূর্বনির্ধারিত বৈশিষ্ট্য প্যাকেজ ব্যবহার করে
· প্রতিবার ব্যবহারের সময় বৈশিষ্ট্যটি ঘোষণা করা
VHDL অ্যাট্রিবিউট সিনট্যাক্সের বিস্তারিত জানার জন্য, রেফারেন্স ম্যানুয়ালের 561 পৃষ্ঠায় VHDL অ্যাট্রিবিউট এবং নির্দেশিকা সিনট্যাক্স দেখুন।

পূর্বনির্ধারিত VHDL অ্যাট্রিবিউট প্যাকেজ ব্যবহার করা
অ্যাডভানtagপূর্বনির্ধারিত প্যাকেজ ব্যবহারের মূল অসুবিধা হলো, প্রতিবার সোর্স কোডে বৈশিষ্ট্য এবং নির্দেশিকা অন্তর্ভুক্ত করার সময় সেগুলো পুনরায় সংজ্ঞায়িত করা এড়িয়ে চলা।tage হল আপনার সোর্স কোড কম পোর্টেবল। অ্যাট্রিবিউট প্যাকেজটি installDirectory/lib/vhd/synattr.vhd-এ অবস্থিত।
১. সফ্টওয়্যার লাইব্রেরিতে অন্তর্ভুক্ত পূর্বনির্ধারিত বৈশিষ্ট্য প্যাকেজ ব্যবহার করতে, সিনট্যাক্সে এই লাইনগুলি যোগ করুন:
লাইব্রেরি synplify; synplify.attributes.all ব্যবহার করুন;
2. ডিজাইন ইউনিট ঘোষণার পরে আপনার পছন্দসই বৈশিষ্ট্য বা নির্দেশিকা যোগ করুন।
ঘোষণা; বস্তুর নাম বৈশিষ্ট্যের নাম: বস্তুর ধরণ হল মান;
প্রাক্তন জন্যampLe:
সত্তা simpledff হল পোর্ট (q: out bit_vector(7 downto 0); d : in bit_vector(7 downto 0); clk : in bit);
clk এর syn_noclockbuf অ্যাট্রিবিউট: সিগন্যাল সত্য;
সিনট্যাক্স কনভেনশনের বিস্তারিত জানার জন্য, রেফারেন্স ম্যানুয়ালের ৫৬১ পৃষ্ঠায় VHDL অ্যাট্রিবিউট এবং ডাইরেক্টিভ সিনট্যাক্স দেখুন।
3. উৎস যোগ করুন file প্রকল্পে।

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা

বৈশিষ্ট্য এবং নির্দেশিকা নির্দিষ্ট করা

VHDL বৈশিষ্ট্য এবং নির্দেশিকা ঘোষণা করা
যদি আপনি অ্যাট্রিবিউট প্যাকেজ ব্যবহার না করেন, তাহলে প্রতিবার সোর্স কোডে অ্যাট্রিবিউট অন্তর্ভুক্ত করার সময় আপনাকে অবশ্যই এগুলি পুনরায় সংজ্ঞায়িত করতে হবে।
১. প্রতিবার যখন আপনি কোন অ্যাট্রিবিউট বা নির্দেশিকা ব্যবহার করবেন, তখন ডিজাইন ইউনিট ঘোষণার পরপরই নিম্নলিখিত সিনট্যাক্স ব্যবহার করে এটি সংজ্ঞায়িত করুন:
নকশা_ইউনিট_ঘোষণা; বৈশিষ্ট্য বৈশিষ্ট্যের নাম: ডেটা টাইপ; বৈশিষ্ট্য বৈশিষ্ট্যের নাম বস্তুর নাম: বস্তুর ধরণ হল মান;
প্রাক্তন জন্যampLe:
সত্তা simpledff হল পোর্ট (q: out bit_vector(7 downto 0); d : in bit_vector(7 downto 0); clk : in bit);
clk:signal এর syn_noclockbuf অ্যাট্রিবিউট সত্য;
2. উৎস যোগ করুন file প্রকল্পে।

Verilog-এ বৈশিষ্ট্য এবং নির্দেশিকা নির্দিষ্ট করা
আপনি বস্তুতে বৈশিষ্ট্য যোগ করার জন্য অন্যান্য পদ্ধতি ব্যবহার করতে পারেন, যেমনটি পৃষ্ঠা 90-এ বৈশিষ্ট্য এবং নির্দেশিকা নির্দিষ্টকরণে বর্ণিত হয়েছে। তবে, আপনি কেবল উৎস কোডে নির্দেশিকা নির্দিষ্ট করতে পারেন।
Verilog-এ পূর্বনির্ধারিত সংশ্লেষণ বৈশিষ্ট্য এবং নির্দেশিকা নেই, তাই আপনাকে অবশ্যই সেগুলি মন্তব্য হিসাবে যুক্ত করতে হবে। বৈশিষ্ট্য বা নির্দেশিকা নামের আগে সংশ্লেষণ কীওয়ার্ড থাকে। Verilog files কেস সংবেদনশীল, তাই অ্যাট্রিবিউট এবং নির্দেশিকাগুলিকে তাদের সিনট্যাক্স বর্ণনায় যেমনটি দেখানো হয়েছে ঠিক তেমনভাবে নির্দিষ্ট করতে হবে। সিনট্যাক্সের বিশদ বিবরণের জন্য, রেফারেন্স ম্যানুয়ালের 363 পৃষ্ঠায় Verilog অ্যাট্রিবিউট এবং নির্দেশিকা সিনট্যাক্স দেখুন।
১. Verilog-এ কোনও অ্যাট্রিবিউট বা নির্দেশিকা যোগ করতে, ডিজাইন অবজেক্টের সরাসরি অনুসরণ করে Verilog লাইন বা ব্লক কমেন্ট (C-স্টাইল) সিনট্যাক্স ব্যবহার করুন। যদি কোনও সেমিকোলন থাকে, তাহলে ব্লক কমেন্ট অবশ্যই সেমিকোলনের আগে থাকতে হবে।
LO

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

বৈশিষ্ট্য এবং নির্দেশিকা নির্দিষ্ট করা

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা

ভেরিলগ ব্লক মন্তব্য সিনট্যাক্স
/* সংশ্লেষণ বৈশিষ্ট্যনাম = মান */ /* সংশ্লেষণ ডিরেক্টরিনাম = মান */

ভেরিলগ লাইন মন্তব্য সিনট্যাক্স
// সংশ্লেষণ বৈশিষ্ট্যের নাম = মান // সংশ্লেষণ ডিরেক্টরি নাম = মান

সিনট্যাক্স নিয়মের বিস্তারিত জানার জন্য, রেফারেন্স ম্যানুয়ালের পৃষ্ঠা 363-এ Verilog অ্যাট্রিবিউট এবং ডাইরেক্টিভ সিনট্যাক্স দেখুন। নিম্নলিখিতগুলি হল উদাহরণampলেস:
মডিউল fifo(out, in) /* synthesis syn_hier = “hard” */;
2. একই বস্তুর সাথে একাধিক বৈশিষ্ট্য বা নির্দেশিকা সংযুক্ত করতে, সাদা স্থান দিয়ে বৈশিষ্ট্যগুলিকে আলাদা করুন, কিন্তু synthesis কীওয়ার্ডটি পুনরাবৃত্তি করবেন না। কমা ব্যবহার করবেন না। উদাহরণস্বরূপampLe:
কেস স্টেট /* সংশ্লেষণ পূর্ণ_কেস সমান্তরাল_কেস */;
৩. যদি একটি একক Verilog reg স্টেটমেন্ট ব্যবহার করে একাধিক রেজিস্টার সংজ্ঞায়িত করা হয় এবং তাদের উপর একটি অ্যাট্রিবিউট প্রয়োগ করা হয়, তাহলে সংশ্লেষণ সফ্টওয়্যার শুধুমাত্র reg স্টেটমেন্টে শেষ ঘোষিত রেজিস্টারটি প্রয়োগ করে। উদাহরণস্বরূপampLe:
reg [5:0] q, q_a, q_b, q_c, q_d /* সংশ্লেষণ syn_preserve=1 */;
syn_preserve অ্যাট্রিবিউটটি শুধুমাত্র q_d-তে প্রয়োগ করা হয়। এটি সংশ্লেষণ সরঞ্জামগুলির জন্য প্রত্যাশিত আচরণ। সমস্ত রেজিস্টারে এই অ্যাট্রিবিউটটি প্রয়োগ করতে, আপনাকে প্রতিটি রেজিস্টারের জন্য একটি পৃথক Verilog reg স্টেটমেন্ট ব্যবহার করতে হবে এবং অ্যাট্রিবিউটটি প্রয়োগ করতে হবে।

SCOPE এডিটর ব্যবহার করে বৈশিষ্ট্য নির্দিষ্ট করা
SCOPE উইন্ডোটি যেকোনো অ্যাট্রিবিউট যোগ করার জন্য একটি সহজে ব্যবহারযোগ্য ইন্টারফেস প্রদান করে। আপনি এটি নির্দেশিকা যোগ করার জন্য ব্যবহার করতে পারবেন না, কারণ সেগুলি উৎসে যোগ করতে হবে। files. (পৃষ্ঠা ৯১-এ VHDL-এ বৈশিষ্ট্য এবং নির্দেশিকা উল্লেখ করা দেখুন অথবা পৃষ্ঠা ৯২-এ Verilog-এ বৈশিষ্ট্য এবং নির্দেশিকা উল্লেখ করা দেখুন)। নিম্নলিখিত পদ্ধতিটি দেখায় কিভাবে SCOPE উইন্ডোতে সরাসরি একটি বৈশিষ্ট্য যোগ করতে হয়।
১. একটি কম্পাইল করা ডিজাইন দিয়ে শুরু করুন এবং SCOPE উইন্ডোটি খুলুন। বিদ্যমান সীমাবদ্ধতায় বৈশিষ্ট্য যোগ করতে file, বিদ্যমানটিতে ক্লিক করে SCOPE উইন্ডোটি খুলুন file প্রকল্পে viewনতুন বৈশিষ্ট্যাবলী যোগ করতে file, SCOPE আইকনে ক্লিক করুন এবং SCOPE উইন্ডো খুলতে Initialize এ ক্লিক করুন।
2. SCOPE উইন্ডোর নীচের দিকে থাকা Attributes ট্যাবে ক্লিক করুন।

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা

বৈশিষ্ট্য এবং নির্দেশিকা নির্দিষ্ট করা

আপনি প্রথমে বস্তুটি নির্বাচন করতে পারেন (ধাপ ৩) অথবা প্রথমে বৈশিষ্ট্যটি (ধাপ ৪)।

৩. অবজেক্ট নির্দিষ্ট করতে, অবজেক্ট কলামে নিম্নলিখিত যেকোনো একটি করুন। যদি আপনি ইতিমধ্যেই অ্যাট্রিবিউটটি নির্দিষ্ট করে থাকেন, তাহলে অবজেক্ট কলামে কেবলমাত্র সেই অ্যাট্রিবিউটের জন্য বৈধ অবজেক্ট পছন্দগুলি তালিকাভুক্ত করা হবে।
অবজেক্ট ফিল্টার কলামে অবজেক্টের ধরণ নির্বাচন করুন, এবং তারপর একটি নির্বাচন করুন
"Object" কলামের পছন্দের তালিকা থেকে "object" নির্বাচন করুন। সঠিক বাক্য গঠন সহ উপযুক্ত একটি বস্তু নির্দিষ্ট করার এটি সর্বোত্তম উপায়।

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

LO
মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

বৈশিষ্ট্য এবং নির্দেশিকা নির্দিষ্ট করা

অধ্যায় ৪: একটি লজিক সংশ্লেষণ প্রকল্প স্থাপন করা

যে বস্তুর সাথে আপনি অ্যাট্রিবিউটটি সংযুক্ত করতে চান সেটি টেনে আনুন
আরটিএল বা প্রযুক্তি viewSCOPE উইন্ডোর অবজেক্ট কলামে s। কিছু অ্যাট্রিবিউটের জন্য, ড্র্যাগ এবং ড্রপ করলে সঠিক অবজেক্ট নির্বাচন নাও হতে পারে। উদাহরণস্বরূপample, যদি আপনি syn_hier কে একটি মডিউল বা সত্তা যেমন an এবং gate-এ সেট করতে চান, তাহলে আপনাকে এটিকে সেট করতে হবে view ঐ মডিউলের জন্য। অবজেক্টটির সিনট্যাক্স হবে: Verilog-এ v:moduleName, অথবা VHDL-এ v:library.moduleName, যেখানে আপনার একাধিক লাইব্রেরি থাকতে পারে।
অবজেক্ট কলামে অবজেক্টের নাম টাইপ করুন। যদি না জানেন
নামটি ব্যবহার করতে, Find কমান্ড অথবা Object Filter কলাম ব্যবহার করুন। যেখানে প্রয়োজন সেখানে বস্তুর জন্য উপযুক্ত উপসর্গটি টাইপ করতে ভুলবেন না। উদাহরণস্বরূপample, a-তে একটি বৈশিষ্ট্য সেট করতে view, আপনাকে মডিউল বা সত্তার নামের সাথে v: উপসর্গ যোগ করতে হবে। VHDL এর জন্য, আপনাকে মডিউলের নাম সহ লাইব্রেরি নির্দিষ্ট করতে হতে পারে।
৪. যদি আপনি প্রথমে বস্তুটি নির্দিষ্ট করে থাকেন, তাহলে এখন আপনি বৈশিষ্ট্যটি নির্দিষ্ট করতে পারবেন। তালিকাটি আপনার নির্বাচিত বস্তুর ধরণের জন্য শুধুমাত্র বৈধ বৈশিষ্ট্যগুলি দেখায়। বৈশিষ্ট্য কলামে মাউস বোতামটি ধরে রেখে এবং তালিকা থেকে একটি বৈশিষ্ট্য নির্বাচন করে বৈশিষ্ট্যটি নির্দিষ্ট করুন।

যদি আপনি প্রথমে বস্তুটি নির্বাচন করেন, তাহলে উপলব্ধ পছন্দগুলি নির্বাচিত বস্তু এবং আপনার ব্যবহৃত প্রযুক্তি দ্বারা নির্ধারিত হয়। যদি আপনি প্রথমে বৈশিষ্ট্যটি নির্বাচন করেন, তাহলে উপলব্ধ পছন্দগুলি প্রযুক্তি দ্বারা নির্ধারিত হয়।
যখন আপনি একটি অ্যাট্রিবিউট নির্বাচন করেন, তখন SCOPE উইন্ডোটি আপনাকে সেই অ্যাট্রিবিউটের জন্য কী ধরণের মান প্রবেশ করতে হবে তা বলে দেয় এবং অ্যাট্রিবিউটের একটি সংক্ষিপ্ত বিবরণ প্রদান করে। যদি আপনি প্রথমে অ্যাট্রিবিউটটি নির্বাচন করে থাকেন, তাহলে ফিরে গিয়ে অবজেক্টটি নির্দিষ্ট করতে ভুলবেন না।
৫. মানটি পূরণ করুন। মান কলামে মাউস বোতামটি ধরে রাখুন এবং তালিকা থেকে নির্বাচন করুন। আপনি একটি মানও টাইপ করতে পারেন।

মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো ব্যবহারকারী নির্দেশিকা অক্টোবর ২০১৪

© ২০১৪ সিনোপসিস, ইনকর্পোরেটেড ২

অধ্যায় ৪: একটি লজিক সিস্টেম স্থাপন করা

দলিল/সম্পদ

মাইক্রোসেমি সংস্করণের জন্য SYnOPSYS FPGA সিনথেসিস সিনপ্লিফাই প্রো [পিডিএফ] ব্যবহারকারীর নির্দেশিকা
মাইক্রোসেমি সংস্করণের জন্য FPGA সিনথেসিস সিনপ্লিফাই প্রো, মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো, মাইক্রোসেমি সংস্করণের জন্য সিনপ্লিফাই প্রো, মাইক্রোসেমি সংস্করণের জন্য প্রো, মাইক্রোসেমি সংস্করণ, সংস্করণ

তথ্যসূত্র

একটি মন্তব্য করুন

আপনার ইমেল ঠিকানা প্রকাশ করা হবে না. প্রয়োজনীয় ক্ষেত্রগুলি চিহ্নিত করা হয়েছে *