مائڪروسيمي ايڊيشن لاءِ FPGA سنٿيسس سنپلائيفائي پرو
وضاحتون
- پراڊڪٽ: Synopsys FPGA Synthesis - Synplify Pro for Microsemi
ايڊيشن - استعمال ڪندڙ جي ھدايت: آڪٽوبر 2014
- ڪاپي رائيٽ: Synopsys, Inc.
- ٻولي: انگريزي
- اصل جو ملڪ: آمريڪا جي گڏيل رياستون
پيداوار جي ڄاڻ
سنوپسس ايف پي جي اي سنٿيسس - مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو
مختلف سان گڏ FPGA لاڳو ڪرڻ لاءِ هڪ جامع اوزار آهي
منطق جي جوڙجڪ ۽ ڊيزائن ۾ استعمال ڪندڙن جي مدد لاءِ ٺهيل خاصيتون
وهندو آهي.
پيداوار جي استعمال جون هدايتون
باب 1: تعارف
هي باب هڪ اوور مهيا ڪري ٿوview Synopsys FPGA جو ۽
پروٽوٽائپنگ پراڊڪٽس، ايف پي جي اي عملدرآمد جا اوزار، ۽ سينوپسس ايف پي جي اي
اوزار جون خاصيتون.
دستاويز جو دائرو
دستاويز سيٽ ۾ پراڊڪٽ جي خاصيتن بابت معلومات شامل آهي
۽ FPGA جي جوڙجڪ ۽ ڊيزائن ۾ دلچسپي رکندڙ استعمال ڪندڙن لاءِ آهي
وهندو آهي.
شروع ٿيڻ
سافٽ ويئر استعمال ڪرڻ شروع ڪرڻ لاءِ، ڏنل هدايتن تي عمل ڪندي ان کي لانچ ڪريو
هدايتون ۽ مدد لاءِ استعمال ڪندڙ گائيڊ جو حوالو ڏيو.
يوزر انٽرفيس ختمview
موثر طريقي سان استعمال ڪرڻ لاءِ يوزر انٽرفيس سان پاڻ کي واقف ڪريو
سافٽ ويئر جي خاصيتن ذريعي نيويگيٽ ڪريو.
باب 2: FPGA سنٿيسس ڊيزائن فلوز
هي باب FPGA لاءِ منطقي سنٿيسس ڊيزائن فلو جي تفصيل ڏئي ٿو.
ترڪيب.
باب 3: ان پٽ تيار ڪرڻ
مخلوط ٻولي جو ذريعو استعمال ڪرڻ سکو Files ۽ واڌ وارو
موثر ان پٽ تياري لاءِ ڪمپائلر.
نوٽ: ڪنهن به لاڳاپيل حدن کان آگاهه رهو
انڪريمينٽل ڪمپائلر استعمال ڪندي.
FAQ
سوال: ڇا مان دستاويزن جون ڪاپيون ٺاهي سگهان ٿو؟
الف: ها، لائسنس جو معاهدو اندروني لاءِ ڪاپيون ٺاهڻ جي اجازت ڏئي ٿو
صرف مناسب انتساب سان استعمال ڪريو.
سوال: مان سافٽ ويئر ڪيئن شروع ڪريان؟
الف: باب 1 ۾ "شروع ڪرڻ" سيڪشن جو حوالو ڏيو
سافٽ ويئر شروع ڪرڻ بابت تفصيلي هدايتن لاءِ استعمال ڪندڙ گائيڊ.
سوال: هن صارف گائيڊ لاءِ ارادو ڪيل سامعين ڇا آهن؟
الف: استعمال ڪندڙ گائيڊ FPGA ۾ دلچسپي رکندڙ ماڻهن لاءِ آهي.
سنٿيسس ۽ ڊيزائن وهڪري.
Synopsys FPGA سنٿيسس
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو
استعمال ڪندڙ ھدايت
آڪٽوبر 2014
ڪاپي رائيٽ نوٽيس ۽ ملڪيت جي معلومات
ڪاپي رائيٽ © 2014 Synopsys, Inc. سڀ حق محفوظ آهن. هن سافٽ ويئر ۽ دستاويز ۾ رازداري ۽ ملڪيتي معلومات شامل آهي جيڪا Synopsys, Inc. جي ملڪيت آهي. سافٽ ويئر ۽ دستاويز لائسنس معاهدي جي تحت پيش ڪيا ويا آهن ۽ صرف لائسنس معاهدي جي شرطن جي مطابق استعمال يا نقل ڪري سگهجن ٿا. سافٽ ويئر ۽ دستاويز جو ڪو به حصو Synopsys, Inc. جي اڳواٽ تحريري اجازت کان سواءِ، يا لائسنس معاهدي پاران واضح طور تي مهيا ڪيل، ڪنهن به صورت ۾ يا ڪنهن به طريقي سان، اليڪٽرانڪ، ميڪيڪل، دستي، آپٽيڪل، يا ٻي صورت ۾ ٻيهر پيدا، منتقل، يا ترجمو نه ٿو ڪري سگهجي.
دستاويزن جي نقل ڪرڻ جو حق
Synopsys سان لائسنس جو معاهدو لائسنس يافته کي صرف اندروني استعمال لاءِ دستاويزن جون ڪاپيون ٺاهڻ جي اجازت ڏئي ٿو.
هر ڪاپي ۾ سڀئي ڪاپي رائيٽ، ٽريڊ مارڪ، سروس مارڪ، ۽ ملڪيتي حقن جا نوٽيس، جيڪڏهن ڪو هجي، شامل هوندا. لائسنس يافته کي سڀني ڪاپين کي ترتيب وار نمبر ڏيڻ گهرجن. انهن ڪاپين ۾ ڪَوَر پيج تي هيٺ ڏنل ليجنڊ شامل هوندو:
"هي دستاويز Synopsys، Inc. جي اجازت سان نقل ڪيو ويو آهي، ___________________________________________ ۽ ان جي ملازمن جي خاص استعمال لاءِ. هي ڪاپي نمبر __________ آهي."
منزل ڪنٽرول بيان
هن اشاعت ۾ شامل سڀ ٽيڪنيڪل ڊيٽا آمريڪا جي برآمد ڪنٽرول قانونن جي تابع آهي. آمريڪا جي قانون جي برخلاف ٻين ملڪن جي شهرين کي ظاهر ڪرڻ منع آهي. اهو پڙهندڙ جي ذميواري آهي ته لاڳو ٿيندڙ ضابطن جو تعين ڪري ۽ انهن جي تعميل ڪري.
LO
© 2014 سينوپسس، انڪارپوريٽڊ 2
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
رد ڪرڻ
SYNOPSYS, Inc.، ۽ ان جا لائسنس ڏيندڙ هن مواد جي حوالي سان ڪنهن به قسم جي، ظاهري يا مضمر وارنٽي نٿا ڏين، جنهن ۾ شامل آهن، پر انهن تائين محدود نه آهن، هڪ خاص مقصد لاءِ واپار جي قابليت ۽ مناسبيت جون مضمر وارنٽيون.
رجسٽرڊ ٽريڊ مارڪ (®)
خلاصو، اي او اين، AMPايس، ايسٽرو، رويي ڪڍڻ واري سنٿيسس ٽيڪنالاجي، ڪيڊابرا، ڪيٽس، سرٽيفائي، چيپٽ، ڪو ايم اي ٽي، ڪوڊ وي، ڊيزائن ڪمپائلر، ڊيزائن ويئر، ايم بي اي ڊي-آئي ٽي!، فارملٽي، گليڪسي ڪسٽم ڊيزائنر، گلوبل سنٿيسس، ايڇ اي پي ايس، هيپس ٽريڪ، ايڇ ڊي ايل اينالسٽ، ايڇ ايس آءِ ايم، ايڇ ايس پي آءِ سي، آئيڊينٽيفائي، ليڊا، لائيٽ ٽولز، ماسٽ، ميٽيور، ماڊل ٽولز، نانو سم، نووي اي، اوپن ويرا، او آر اي، پاٿ مل، فزيڪل ڪمپائلر، پرائم ٽائيم، ايس سي او پي اي، سمپلي بيٽر رزلٽس، ايس آءِ وي ايل، ايس اين يو جي، سولو نيٽ، سونڪ فوڪس، اسٽار ميموري سسٽم، سنڊيڪيٽڊ، سنپلسيٽي، دي سنپلسيٽي لوگو، سنپليفائي، سنپليفائي پرو، سنٿيسس ڪنسٽرينٽس آپٽيمائيزيشن انوائرمينٽ، ٽيٽرا ايم اي ايڪس، يو ايم آر بس، وي سي ايس، ويرا، ۽ يو آءِ اي ايل ڊائريڪٽر سينوپسس، انڪارپوريشن جا رجسٽرڊ ٽريڊ مارڪ آهن.
ٽريڊ مارڪ (TM)
AFGen، Apollo، ARC، ASAP، Astro-Rail، Astro-Xtalk، Aurora، AvanWaves، BEST، Columbia، Columbia-CE، Cosmos، CosmosLE، CosmosScope، CRITIC، CustomExplorer، CustomSim، DC ماهر، DC پيشه ور، DC الٽرا، ڊيزائن تجزيه نگار، ڊيزائن ويزن، DesignerHDL، DesignPower، DFTMAX، Direct Silicon Access، Discovery، Eclypse، Encore، EPIC، Galaxy، HANEX، HDL Compiler، Hercules، Hierarchical Optimization Technology، High-performance ASIC Prototyping System، HSIMplus، i-Virtual Stepper، IICE، in-Sync، iN-Tandem، Intelli، Jupiter، Jupiter-DP، JupiterXT، JupiterXT-ASIC، Liberty، Libra-Passport، Library Compiler، Macro-PLUS، Magellan، Mars، Mars-Rail، Mars-Xtalk، Milkyway، ModelSource، Module Compiler، MultiPoint، ORAengineering، Physical Analyst، پلانيٽ، پلانيٽ-پي ايل، پولارس، پاور ڪمپائلر، رافيل، ريپلڊ ميڪسر، سيٽرن، اسڪروڪو، اسڪروڪو-آءِ، سي ويئر، اسٽار-آر سي ايڪس ٽي، اسٽار-سم ايڪس ٽي، اسٽار آر سي، سسٽم ڪمپائلر، سسٽم ڊيزائنر، ٽورس، ٽوٽل ريڪال، ٽي ايس يو پي آر ايم-4، وي سي ايس آءِ، وي ايڇ ڊي ايل ڪمپائلر، وي ايم سي، ۽ ورڪ شيٽ بفر سينوپسس، انڪارپوريشن جا ٽريڊ مارڪ آهن.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 3
سروس مارڪ (ايس ايم)
MAP-in، SVP Café، ۽ TAP-in Synopsys، Inc جا سروس مارڪ آهن. SystemC اوپن سسٽم سي انيشيئيٽو جو ٽريڊ مارڪ آهي ۽ لائسنس تحت استعمال ٿيندو آهي. ARM ۽ AMBA ARM Limited جا رجسٽرڊ ٽريڊ مارڪ آهن. Saber SabreMark Limited Partnership جو رجسٽرڊ ٽريڊ مارڪ آهي ۽ لائسنس تحت استعمال ٿيندو آهي. ٻيا سڀئي پراڊڪٽ يا ڪمپني جا نالا انهن جي لاڳاپيل مالڪن جا ٽريڊ مارڪ ٿي سگهن ٿا.
آمريڪا ۾ ڇپيل آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 4
LO
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
مواد
باب 1: تعارف
Synopsys FPGA ۽ پروٽوٽائپنگ پراڊڪٽس . . . . . . . 16
دستاويز جو دائرو . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
شروع ڪرڻ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
يوزر انٽرفيس ختمview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24
باب 2: FPGA سنٿيسس ڊيزائن فلوز
منطقي سنٿيسس ڊيزائن فلو .
باب 3: ان پٽ تيار ڪرڻ
HDL ماخذ قائم ڪرڻ Files . Files . Files . Fileبلٽ ان ٽيڪسٽ ايڊيٽر سان. Fileايس .
مخلوط ٻولي جو ذريعو استعمال ڪندي Fileايس .
انڪريمينٽل ڪمپائلر استعمال ڪندي .
اسٽرڪچرل ويريلاگ فلو استعمال ڪندي .
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 5
پابندي سان ڪم ڪرڻ Files . Fileسورس ڪوڊ کان مٿي . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53 پابندي لاءِ ٽيڪسٽ ايڊيٽر استعمال ڪرڻ Files (وراثت) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54 پابندي لاءِ Tcl نحو هدايتون Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55 پابندي جي جانچ Fileايس . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56
باب 4: هڪ منطقي سنٿيسس پروجيڪٽ قائم ڪرڻ
پروجيڪٽ قائم ڪرڻ File. File . File . View ڊسپلي ترجيحات . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63 پراڻي پروجيڪٽ ۾ ويري لاگ شامل رستا اپڊيٽ ڪرڻ Fileايس . . . . . . . . . . . . . . . . . . . . . . . 65
منصوبي جو انتظام File درجي بندي . . . . . . . 66 ڪسٽم کي هٿي وٺرائڻ Fileايس . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70
عملدرآمد کي ترتيب ڏيڻ .
منطق جي سنٿيسس جي عمل درآمد جا آپشن مقرر ڪرڻ . . . 75 عالمي تعدد ۽ پابندي جي وضاحت Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80
خاصيتون ۽ هدايتون بيان ڪرڻ . . . . . . . . . . . . . . . . . . . . . . . . . . 90 پابندين ۾ خاصيتون بيان ڪرڻ File . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97
ڳولهي رهيو آهي File. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98 سڃاڻپ ڪرڻ Fileڳولا ڪرڻ لاءِ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99 فلٽر ڪرڻ Fileڳولا ڪرڻ لاءِ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99 ڳولا شروع ڪرڻ . . . . . . . . . . . . . . . . . . . . . . . . . . 100 لو
آرڪائيو ڪرڻ Files ۽ منصوبا . . . . . . . . . . . . . . . . . . . . . . . . . . . 101
© 2014 سينوپسس، انڪارپوريٽڊ 6
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
هڪ پروجيڪٽ ڪاپي ڪريو .
باب 5: پابنديون بيان ڪرڻ
SCOPE ايڊيٽر استعمال ڪندي .
دائري جي پابندين جي وضاحت ڪرڻ . 119 ان پٽ ۽ آئوٽ پُٽ پابندين جي وضاحت ڪرڻ . View SCOPE GUI جو.
وقت جي استثنا جي وضاحت ڪرڻ . 130 غلط رستن جي تعريف ڪرڻ .
Tcl سان شيون ڳولڻ ۽ وڌائڻ . 136 ڪليڪشن کي بيان ڪرڻ لاءِ Tcl فائنڊ ڪمانڊ استعمال ڪندي . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136 ڪليڪشن کي بيان ڪرڻ لاءِ Tcl ايڪسپنڊ ڪمانڊ استعمال ڪندي . . . . . 136
مجموعن کي استعمال ڪرڻ . 144 Tcl ڪمانڊ استعمال ڪندي ڪليڪشن ٺاهڻ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144 Viewٽي سي ايل ڪمانڊ سان ڪليڪشن کي ترتيب ڏيڻ ۽ هٿ ڪرڻ. . . . . . . . . . . . . . . . . 150
ايس ڊي سي کي ايف ڊي سي ۾ تبديل ڪرڻ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 154
SCOPE ايڊيٽر (وراثت) استعمال ڪندي . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155 SCOPE پابنديون (وراثت) داخل ڪرڻ ۽ ايڊٽ ڪرڻ . . . . . 157 ان پٽ ۽ آئوٽ پُٽ پابندين جي وضاحت (وراثت) .
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 7
باب 6: نتيجن کي گڏ ڪرڻ ۽ تجزيو ڪرڻ
توهان جي ڊيزائن کي سنٿيسائيز ڪرڻ . . . . 174
لاگ چيڪ ڪرڻ File نتيجا . Viewلاگ داخل ڪرڻ ۽ ان سان ڪم ڪرڻ File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179 مخصوص رپورٽن تائين جلدي رسائي حاصل ڪرڻ . File رپورٽون . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189 واچ ونڊو استعمال ڪندي .
پيغامن کي سنڀالڻ . Viewer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193 پيغام ۾ پيغامن کي فلٽر ڪرڻ Viewer . File پيغام ڪنٽرول .
غلطي تي جاري رکڻ جو استعمال .
باب 7: HDL تجزيه نگار ۽ FSM سان تجزيو ڪرڻ Viewer
اسڪيمي ۾ ڪم ڪرڻ Views. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208 HDL تجزيه نگار جي وچ ۾ فرق ڪرڻ View. . . . . . . . . . . . . . . . . . . . . . . . . . 209 کولڻ Viewايس . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 209 Viewآبجيڪٽ پراپرٽيز ۾ شامل ٿيڻ . Views . Viewهڪ اسڪيميٽڪ ونڊو ۾ . . . . . . . . . . . . . . . . . . . . . . . . . . 218 اسڪيميٽڪ سيٽنگ View ترجيحات .
ڊيزائن جي درجي بندي جي ڳولا . . . . . . . . . . . . . . 222
شيون ڳولڻ . Views . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 230 درجي بندي ۽ محدود ڳولا لاءِ ڳولا استعمال ڪرڻ .
© 2014 سينوپسس، انڪارپوريٽڊ 8
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
ڳولا کي بهتر ڪرڻ لاءِ فلٽرنگ سان ڳولهڻ کي گڏ ڪرڻ.
ڪراس پروبنگ . View . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 243 آر ٽي ايل/ٽيڪنالاجي کان ڪراس پروبنگ View . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 244 ٽيڪسٽ ايڊيٽر ونڊو مان ڪراس پروبنگ . Viewer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 250
ايڇ ڊي ايل تجزياتي اوزار سان تجزيو ڪرڻ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 251 Viewڊيزائن جي درجي بندي ۽ حوالي سان . . . . . . . . . . . 252 وڌائڻ ۽ Viewڪنيڪشن ٺاهڻ .
FSM استعمال ڪندي Viewer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 267
باب 8: وقت جو تجزيو
اسڪيميٽڪ ۾ وقت جو تجزيو ڪرڻ Viewايس . Viewوقت جي معلومات شامل ڪرڻ . Views. . . . . . . . . . . . . . . . . . . . 275 RTL ۾ گھڙيال جي وڻن جو تجزيو ڪرڻ View . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 277 Viewنازڪ رستا ٺاهڻ .
STA سان ڪسٽم ٽائيمنگ رپورٽون تيار ڪرڻ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 281
تجزياتي ڊيزائن جي پابندين کي استعمال ڪندي . File . File . . . . . . . . . . . . . . . . . . . . . . . . . 290
خودڪار پابنديون استعمال ڪندي .
باب 9: اعليٰ سطحي شين جو اندازو لڳائڻ
سنٿيسس لاءِ ڪاري دٻن جي تعريف ڪرڻ . 298 بليڪ باڪس جي وقت جي پابنديون شامل ڪرڻ .
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 9
سنٿيسس لاءِ رياستي مشينن جي تعريف ڪرڻ . . 307 خاصيتن ۽ هدايتن سان FSMs جي وضاحت ڪرڻ .
محفوظ ايف ايس ايم جي وضاحت ڪرڻ .
خودڪار ريم جو اندازو . .
رام شروع ڪرڻ . . . . . . . . . . . . . . . . . . . . . . . . . . . . 323
باب 10: ڊيزائن-سطح جي اصلاح جي وضاحت
اصلاح لاءِ صلاحون . .
ريٽائمنگ .ampلي . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 336
شين کي بهتر ٿيڻ کان بچائڻ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 342
فين آئوٽ کي بهتر ڪرڻ . . . . . . . . . . . . . . . . . . . . . . 348
وسيلن جي حصيداري .
I/Os داخل ڪرڻ .
رياستي مشينن کي بهتر ڪرڻ . . . . . 354 ايف ايس ايم ايڪسپلورر هلائڻ .
جاچ داخل ڪرڻ .
© 2014 سينوپسس، انڪارپوريٽڊ 10
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
سورس ڪوڊ ۾ پروب جي وضاحت ڪرڻ .
باب 11: ڪمپائل پوائنٽس سان ڪم ڪرڻ
ڪمپائل پوائنٽ بيسڪز .tagڪمپائل پوائنٽ ڊيزائن جا اسم. . . . . . . . . . . . . . 366 ڪمپائل پوائنٽ ٽائپس .
ڪمپائل پوائنٽ سنٿيسس بنياديات . Files . . . . . . . 375 ڪمپائل پوائنٽ سنٿيسس .
ڪمپائل پوائنٽس کي سنٿيسائيز ڪرڻ . File ڪمپائل پوائنٽس لاءِ . . . . . . . . . . . . . . . . . . 388
ٻين خاصيتن سان گڏ ڪمپائل پوائنٽس استعمال ڪرڻ.
وڌ ۾ وڌ ٻيهر سنٿيسائيز ڪرڻ .
باب 12: IP ان پٽ سان ڪم ڪرڻ
SYNCore سان IP پيدا ڪرڻ . . . . . . . . . . . . 402 SYNCore سان بائيٽ-انبل ريم جي وضاحت ڪرڻ . 402 SYNCore سان ڪائونٽر بيان ڪرڻ .
سنوپسس ايف پي جي اي آءِ پي انڪرپشن فلو .view Synopsys FPGA IP فلو جو .
انڪرپٽ ٿيل IP سان ڪم ڪرڻ.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 11
توهان جي IP کي انڪرپٽ ڪرڻ . . 446 اسڪرپٽ آئوٽ پُٽ طريقو بيان ڪرڻ .
هائپر سورس استعمال ڪرڻ . . . . . . . . . . . 460 IP جي ڊيزائن جي درجي بندي ذريعي ٿريڊنگ سگنل . . . . . . . . . . . . . . . . . . 460
باب 13: پيداوار لاءِ عملن کي بهتر بڻائڻ
بيچ موڊ استعمال ڪندي . File . . . 466
Tcl اسڪرپٽ ۽ ڪمانڊ سان ڪم ڪرڻ . . . . . . . 472 متوازي ڪمن جو تعداد مقرر ڪرڻ . 472 ڪيترن ئي ٽارگيٽ ٽيڪنالاجيز کي آزمائڻ لاءِ Tcl متغيرن کي استعمال ڪندي.
synhooks.tcl سان خودڪار وهڪري . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 479
باب 14: ملٽي پروسيسنگ استعمال ڪرڻ
ڪمپائل پوائنٽس سان ملٽي پروسيسنگ . . . . . . . . . . . . 484
باب 15: مائڪروسيمي ڊيزائن لاءِ اصلاح
مائڪروسيمي ڊيزائن کي بهتر بڻائڻ . . . . . . . . 488 رادارڊ ڊيزائن سان ڪم ڪرڻ .
باب 16: سنٿيسس آئوٽ پُٽ سان ڪم ڪرڻ
پي اينڊ آر ٽولز تائين معلومات پهچائڻ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 494
© 2014 سينوپسس، انڪارپوريٽڊ 12
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
پن جي جڳهن جي وضاحت ڪرڻ .
وينڊر مخصوص آئوٽ پُٽ پيدا ڪرڻ . . . . . . 496
باب 17: پوسٽ سنٿيسس آپريشن هلائڻ
سنٿيسس کان پوءِ خودڪار طريقي سان پي اينڊ آر هلائڻ.
سڃاڻپ ٽولز سان ڪم ڪرڻ . .
وي سي ايس ٽول سان نقل ڪرڻ .
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 13
© 2014 سينوپسس، انڪارپوريٽڊ 14
LO
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
باب 1
تعارف
Synplify Pro® سافٽ ويئر جو هي تعارف هيٺ ڏنل بيان ڪري ٿو:
· Synopsys FPGA ۽ پروٽوٽائپنگ پراڊڪٽس، صفحي 16 تي · دستاويز جو دائرو، صفحي 21 تي · شروعات ڪرڻ، صفحي 22 تي · يوزر انٽرفيس ختمview، صفحي 24 تي
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 15
باب 1: تعارف
سينوپسس ايف پي جي اي ۽ پروٽوٽائپنگ پراڊڪٽس
سينوپسس ايف پي جي اي ۽ پروٽوٽائپنگ پراڊڪٽس
هيٺ ڏنل شڪل Synopsys FPGA ۽ پروٽوٽائپنگ پراڊڪٽس جي خاندان کي ڏيکاري ٿي.
© 2014 سينوپسس، انڪارپوريٽڊ 16
LO
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
سينوپسس ايف پي جي اي ۽ پروٽوٽائپنگ پراڊڪٽس
باب 1: تعارف
FPGA لاڳو ڪرڻ جا اوزار
سنپلائيفائي پرو ۽ سنپلائيفائي پريميئر پراڊڪٽس آر ٽي ايل سنٿيسس ٽولز آهن جيڪي خاص طور تي ايف پي جي اي (فيلڊ پروگراميبل گيٽ ايريز) ۽ سي پي ايل ڊي (پيچيده پروگراميبل لاجڪ ڊوائيسز) لاءِ ٺهيل آهن.
سنپلائيفائي پرو سنٿيسس سافٽ ويئر
سنپلائيفائي پرو ايف پي جي اي سنٿيسس سافٽ ويئر اعليٰ ڪارڪردگي، قيمت-مؤثر ايف پي جي اي ڊيزائن تيار ڪرڻ لاءِ ڊي فيڪٽو انڊسٽري معيار آهي. اهو منفرد آهي.
رويي ڪڍڻ واري سنٿيسس ٽيڪنالاجي® (BEST) الگورتھم، انجام ڏيو
RTL ڪوڊ کي مخصوص FPGA منطق ۾ سنٿيسائيز ڪرڻ کان اڳ اعليٰ سطحي اصلاحون. هي طريقو FPGA ۾ اعليٰ اصلاحن، تيز رن ٽائمز، ۽ تمام وڏي ڊيزائن کي سنڀالڻ جي صلاحيت جي اجازت ڏئي ٿو. Synplify Pro سافٽ ويئر جديد VHDL ۽ Verilog ٻولي جي تعميرات کي سپورٽ ڪري ٿو جنهن ۾ SystemVerilog ۽ VHDL 2008 شامل آهن. هي اوزار ٽيڪنالاجي کان آزاد آهي جيڪو FPGA ڊوائيسز ۽ وينڊرز جي وچ ۾ هڪ واحد ڊيزائن منصوبي مان جلدي ۽ آسان ٻيهر ٽارگيٽ ڪرڻ جي اجازت ڏئي ٿو.
سنپلائيفائي پريميئر سنٿيسس سافٽ ويئر
سنپلائيف پريميئر ڪارڪردگي سنپلائيف پرو ٽول جو هڪ سپر سيٽ آهي، جيڪو حتمي FPGA عملدرآمد ۽ ڊيبگ ماحول فراهم ڪري ٿو. ان ۾ ترقي يافته FPGA ڊيزائنرز لاءِ اوزارن ۽ ٽيڪنالاجيز جو هڪ جامع سوٽ شامل آهي، ۽ اهو سنگل FPGA-بنياد پروٽوٽائپس کي نشانو بڻائيندڙ ASIC پروٽوٽائپرز لاءِ سنٿيسس انجن طور پڻ ڪم ڪري ٿو.
سنپلائيفائي پريميئر پراڊڪٽ FPGA ڊيزائنرز ۽ ASIC پروٽوٽائپرز ٻنهي کي پيش ڪري ٿو جيڪي سنگل FPGAs کي نشانو بڻائين ٿا ڊيزائن جي عمل درآمد ۽ ڊيبگ جي سڀ کان وڌيڪ ڪارآمد طريقي سان. ڊيزائن جي عمل درآمد واري پاسي، ان ۾ وقت جي بندش، منطق جي تصديق، IP استعمال، ASIC مطابقت، ۽ DSP عمل درآمد لاءِ ڪارڪردگي شامل آهي، انهي سان گڏ FPGA وينڊر بيڪ اينڊ ٽولز سان هڪ سخت انضمام. ڊيبگ جي پاسي، اهو FPGAs جي ان-سسٽم تصديق لاءِ مهيا ڪري ٿو جيڪو ڊرامائي طور تي ڊيبگ جي عمل کي تيز ڪري ٿو، ۽ ان ۾ هڪ تيز ۽ وڌندڙ طريقو پڻ شامل آهي جيڪو بيوقوف ڊيزائن جي مسئلن کي ڳولڻ لاءِ.
Synopsys FPGA ٽول جون خاصيتون
هي جدول Synplify Pro، Synplify، Synplify Premier، ۽ Synplify Premier with Design Planner پراڊڪٽس ۾ مکيه ڪارڪردگي جي وچ ۾ فرق ڪري ٿو.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 17
باب 1: تعارف
سينوپسس ايف پي جي اي ۽ پروٽوٽائپنگ پراڊڪٽس
سنپلائيفائي سنپلائيفائي پرو
ڪارڪردگي
رويي ڪڍڻ جي ترڪيب
x
x
ٽيڪنالاجي® (BEST™)
وينڊر پاران تيار ڪيل ڪور/آئي پي
x
سپورٽ (ڪجهه ٽيڪنالاجيون)
ايف ايس ايم ڪمپائلر
x
x
ايف ايس ايم ايڪسپلورر
x
گيٽ ٿيل ڪلاڪ جي تبديلي
x
پائپ لائننگ رجسٽر ڪريو
x
رجسٽر ريٽائمنگ
x
SCOPE® پابندي داخلا
x
x
اعليٰ اعتبار جون خاصيتون
x
مربوط جڳهه ۽ رستو
x
x
تجزيو
ايڇ ڊي ايل تجزيه نگار®
اختيار
x
وقت تجزيه نگار
x
نقطي کان نقطو
ايف ايس ايم Viewer
x
ڪراس پروبنگ
x
پروب پوائنٽ ٺاهڻ
x
سڃاڻپ® اوزار
x
ڊيبگر جي سڃاڻپ ڪريو
پاور تجزيو (SAIF)
جسماني ڊيزائين
ڊيزائن پلان File
LO
علائقن کي منطق جي تفويض
سنپلائيفائي پريميئر
x
x
xxxxxxxx
xx
xxxxxx
سنپلائيفائي پريميئر ڊي پي
x
x
xxxxxxxx
xx
xxxxxx
xx
© 2014 سينوپسس، انڪارپوريٽڊ 18
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
سينوپسس ايف پي جي اي ۽ پروٽوٽائپنگ پراڊڪٽس
باب 1: تعارف
علائقي جو اندازو ۽ علائقي جي گنجائش پن اسائنمينٽ جسماني اصلاح جسماني سنٿيسس جسماني تجزيه نگار Synopsys ڊيزائن ويئر® فائونڊيشن لائبريري رن ٽائم هيرارڪل ڊيزائن بهتر ڪيل اصلاح تيز سنٿيسس ملٽي پروسيسنگ ڪمپائل آن ايرر ٽيم ڊيزائن مخلوط ٻولي ڊيزائن ڪمپائل پوائنٽس هيرارڪل ڊيزائن سچو بيچ موڊ (صرف فلوٽنگ لائسنس) GUI بيچ موڊ (فلوٽنگ لائسنس) بيچ موڊ پي اينڊ آر پي اينڊ آر ڊيٽا جي پٺئين تشريح رسمي تصديق
سنپلائيفائي سنپلائيفائي پرو
x
xxxx
x
x
–
x
–
–
x
انضمام جي سڃاڻپ ڪريو
محدود
x
سنپلائيفائي پريميئر
xxx
xxxxx
xxxx
x
x منطقي سنٿيسس موڊ x
سنپلائيفائي پريميئر ڊي پي
x
xxxxx
xxxxx
xxxx
x
xx منطقي سنٿيسس موڊ
x
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 19
باب 1: تعارف
سينوپسس ايف پي جي اي ۽ پروٽوٽائپنگ پراڊڪٽس
پي اينڊ آر ڊيٽا ڊيزائن انوائرمينٽ ٽيڪسٽ ايڊيٽر جي پوئين تشريح View واچ ونڊو پيغام ونڊو ٽي سي ايل ونڊو گھڻن لاڳو ڪرڻ وينڊر ٽيڪنالاجي سپورٽ پروٽوٽائپنگ خاصيتون رن ٽائيم خاصيتون پوائنٽس ڪمپائل گيٽڊ ڪلاڪ ڪنورشن ڪمپائل آن ايرر
سنپلائيفائي سنپلائيفائي پرو
x
x
x
x
x
x
x
x
x
سنپلائيفائي پريميئر
xxxxx چونڊيل
xxxx
سنپلائيفائي پريميئر ڊي پي
x
xxxxx چونڊيل
xxxx
© 2014 سينوپسس، انڪارپوريٽڊ 20
LO
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
دستاويز جو دائرو
باب 1: تعارف
دستاويز جو دائرو
هيٺ ڏنل هن دستاويز جي دائري ۽ ارادي سامعين جي وضاحت ڪري ٿو.
دستاويز سيٽ
هي صارف گائيڊ هڪ دستاويز سيٽ جو حصو آهي جنهن ۾ هڪ حوالو دستور ۽ هڪ سبق شامل آهي. اهو سيٽ ۾ ٻين دستاويزن سان استعمال ڪرڻ لاءِ آهي. اهو بيان ڪرڻ تي ڌيان ڏئي ٿو ته عام ڪمن کي پورو ڪرڻ لاءِ Synopsys FPGA سافٽ ويئر ڪيئن استعمال ڪجي. ان جو مطلب آهي هيٺ ڏنل:
· استعمال ڪندڙ گائيڊ صرف عام ڪمن کي ڪرڻ لاءِ گهربل اختيارن جي وضاحت ڪري ٿو.
دستور ۾ بيان ڪيو ويو آهي. اهو هر دستياب حڪم ۽ آپشن کي بيان نٿو ڪري. سڀني حڪم اختيارن ۽ نحو جي مڪمل وضاحت لاءِ، يوزر انٽرفيس اوور جو حوالو ڏيو.view Synopsys FPGA Synthesis Reference Manual ۾ باب.
· استعمال ڪندڙ جي گائيڊ ۾ ڪم تي ٻڌل معلومات شامل آهي. جي ڀڃڪڙي لاءِ
معلومات ڪيئن منظم ڪئي وئي آهي، مدد حاصل ڪرڻ ڏسو، صفحي 22 تي.
سامعين
Synplify Pro سافٽ ويئر ٽول FPGA سسٽم ڊولپر ڏانهن نشانو بڻايو ويو آهي. اهو فرض ڪيو ويو آهي ته توهان هيٺ ڏنل بابت ڄاڻو ٿا:
· ڊيزائن سنٿيسس · آر ٽي ايل · ايف پي جي اي · ويريلاگ/وي ايڇ ڊي ايل
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 21
باب 1: تعارف
شروع ٿيڻ
شروع ٿيڻ
هي سيڪشن توهان کي ڏيکاري ٿو ته Synopsys FPGA سنٿيسس سافٽ ويئر سان ڪيئن شروعات ڪجي. اهو هيٺ ڏنل عنوانن کي بيان ڪري ٿو، پر لائسنسنگ ۽ انسٽاليشن بابت انسٽاليشن هدايتن ۾ معلومات کي ختم نٿو ڪري:
· سافٽ ويئر شروع ڪرڻ، صفحي 22 تي · مدد حاصل ڪرڻ، صفحي 22 تي
سافٽ ويئر شروع ڪرڻ
1. جيڪڏهن توهان اڳ ۾ ئي ائين نه ڪيو آهي، ته انسٽاليشن جي هدايتن مطابق Synopsys FPGA سنٿيسس سافٽ ويئر انسٽال ڪريو.
2. سافٽ ويئر شروع ڪريو.
جيڪڏهن توهان ونڊوز پليٽ فارم تي ڪم ڪري رهيا آهيو، چونڊيو
پروگرام->Synopsys->شروع بٽڻ مان پراڊڪٽ ورزن.
جيڪڏهن توهان يونڪس پليٽ فارم تي ڪم ڪري رهيا آهيو، ته مناسب ٽائپ ڪريو
ڪمانڊ لائن تي حڪم:
سنپلائيف_پرو
· ڪمانڊ سنٿيسس ٽول شروع ڪري ٿي، ۽ پروجيڪٽ ونڊو کولي ٿي. جيڪڏهن
جيڪڏهن توهان اڳ ۾ سافٽ ويئر هلائي چڪا آهيو، ته ونڊو پوئين پروجيڪٽ ڏيکاري ٿي. انٽرفيس بابت وڌيڪ معلومات لاءِ، يوزر انٽرفيس اوور ڏسو.view ريفرنس مينوئل جو باب.
مدد حاصل ڪرڻ
Synopsys سپورٽ کي ڪال ڪرڻ کان اڳ، دستاويز ڪيل معلومات کي ڏسو. توهان مدد مينيو مان آن لائن معلومات تائين رسائي حاصل ڪري سگهو ٿا، يا PDF ورزن جو حوالو ڏئي سگهو ٿا. هيٺ ڏنل جدول توهان کي ڏيکاري ٿو ته معلومات ڪيئن منظم ڪئي وئي آهي.
LO
© 2014 سينوپسس، انڪارپوريٽڊ 22
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
شروع ٿيڻ
مدد لاءِ... سافٽ ويئر فيچرز استعمال ڪندي ڪيئن...
وهڪري جي معلومات
غلطي جا پيغام لائسنسنگ خاصيتون ۽ هدايتون سنٿيسس خاصيتون ٻولي ۽ نحو Tcl نحو Tcl سنٿيسس ڪمانڊ پراڊڪٽ اپڊيٽس
باب 1: تعارف
ڏسو... Synopsys FPGA Synthesis يوزر گائيڊ Synopsys FPGA Synthesis يوزر گائيڊ، سپورٽ تي ايپليڪيشن نوٽس web سائيٽ Synopsys FPGA Synthesis يوزر گائيڊ، سپورٽ تي ايپليڪيشن نوٽس web سائيٽ آن لائن مدد (مدد چونڊيو-> غلطي جا پيغام) Synopsys SolvNet Webسائيٽ Synopsys FPGA Synthesis Reference Manual Synopsys FPGA Synthesis Reference Manual Synopsys FPGA Synthesis Reference Manual آن لائن مدد (مدد->Tcl مدد چونڊيو) Synopsys FPGA Synthesis Reference Manual Synopsys FPGA Synthesis Reference Manual (Web مينيو حڪم)
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 23
باب 1: تعارف
يوزر انٽرفيس ختمview
يوزر انٽرفيس ختمview
يوزر انٽرفيس (UI) هڪ مکيه ونڊو تي مشتمل آهي، جنهن کي پروجيڪٽ سڏيو ويندو آهي. view، ۽ خاص ونڊوز يا viewمختلف ڪمن لاءِ. هر هڪ خاصيت بابت تفصيل لاءِ، باب 2، يوزر انٽرفيس مٿان ڏسوview Synopsys FPGA سنٿيسس ريفرنس مينوئل جو.
سنپلائيف پرو انٽرفيس
بٽڻ پينل
ٽول بار پروجيڪٽ view
حيثيت
عملدرآمد جا نتيجا view
رسائي لاءِ ٽيب views
ٽي سي ايل اسڪرپٽ/پيغام ونڊو LO
واچ ونڊو
© 2014 سينوپسس، انڪارپوريٽڊ 24
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
باب 2
FPGA سنٿيسس ڊيزائن فلوز
هي باب صفحي 26 تي منطق جي سنٿيسس ڊيزائن فلو کي بيان ڪري ٿو.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 25
باب 2: FPGA سنٿيسس ڊيزائن فلوز
منطقي سنٿيسس ڊيزائن فلو
منطقي سنٿيسس ڊيزائن فلو
Synopsys FPGA اوزار پهريان RTL ذريعن کي ٽيڪنالاجي-آزاد منطق جي جوڙجڪ ۾ مرتب ڪندي منطق کي گڏ ڪن ٿا، ۽ پوءِ ٽيڪنالاجي-مخصوص وسيلن ۾ منطق کي بهتر ۽ نقشي سازي ڪن ٿا. منطق جي جوڙجڪ کان پوءِ، اوزار هڪ وينڊر-مخصوص نيٽ لسٽ ۽ رڪاوٽ پيدا ڪري ٿو. file جيڪو توهان جڳه ۽ رستو (P&R) ٽول ۾ ان پٽ طور استعمال ڪري سگهو ٿا.
هيٺ ڏنل شڪل منطق جي جوڙجڪ لاءِ استعمال ٿيندڙ مرحلن ۽ اوزارن ۽ ڪجهه اهم ان پٽ ۽ آئوٽ پُٽ کي ڏيکاري ٿي. توهان هن وهڪري لاءِ Synplify Pro سنٿيسس سافٽ ويئر استعمال ڪري سگهو ٿا. انٽرايڪٽو ٽائيمنگ تجزيو اختياري آهي. جيتوڻيڪ وهڪري وينڊر جي پابندي کي ڏيکاري ٿي. files کي P&R ٽول ۾ سڌي طرح ان پٽ جي طور تي، توهان کي انهن کي شامل ڪرڻ گهرجي fileبليڪ باڪس جي وقت جي سنٿيسس پروجيڪٽ ڏانهن.
Synopsys FPGA اوزار
RTL
آر ٽي ايل ڪمپيليشن
ايف ڊي سي
منطق جي جوڙجڪ
سنٿيسائزڊ نيٽ لسٽ سنٿيسس رڪاوٽون وينڊر رڪاوٽون
وينڊر ٽول
جڳھ ۽ رستو
منطق جي ترڪيب جو طريقو
مخصوص ڊيزائن جي بنياد تي قدم بہ قدم هدايتن سان ڊيزائن جي وهڪري لاءِ
ڊيٽا، سبق ڊائون لوڊ ڪريو تان webسائيٽ. هيٺيان قدم خلاصو ڪن ٿا
ڊيزائن کي سنٿيسائيز ڪرڻ جو طريقو، جيڪو پڻ ۾ بيان ڪيو ويو آهي
هيٺ ڏنل شڪل.
LO
1. ھڪڙو منصوبو ٺاھيو.
2. ذريعو شامل ڪريو files منصوبي ڏانهن.
© 2014 سينوپسس، انڪارپوريٽڊ 26
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
منطقي سنٿيسس ڊيزائن فلو
باب 2: FPGA سنٿيسس ڊيزائن فلوز
3. ڊيزائن لاءِ خاصيتون ۽ رڪاوٽون مقرر ڪريو.
4. عملدرآمد جي آپشنز ڊائلاگ باڪس ۾ عملدرآمد لاءِ آپشن سيٽ ڪريو.
5. لاجڪ سنٿيسس هلائڻ لاءِ رن تي ڪلڪ ڪريو.
6. لاگ جهڙن اوزارن کي استعمال ڪندي نتيجن جو تجزيو ڪريو file، ايڇ ڊي ايل تجزيه نگار اسڪيميٽ views، پيغام ونڊو ۽ واچ ونڊو.
ڊيزائن مڪمل ڪرڻ کان پوءِ، توهان آئوٽ پُٽ استعمال ڪري سگهو ٿا fileوينڊر ٽول سان پلیس اينڊ روٽ هلائڻ ۽ FPGA لاڳو ڪرڻ.
هيٺ ڏنل شڪل وهڪري ۾ مکيه مرحلن کي بيان ڪري ٿي:
پروجيڪٽ ٺاهيو
ذريعو شامل ڪريو Files
پابنديون مقرر ڪريو
اختيارن کي سيٽ ڪريو
سافٽ ويئر هلايو
نتيجن جو تجزيو ڪريو ڪو به مقصد پورو نه ٿيو؟
ها، جاءِ ۽ رستو
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 27
باب 2: FPGA سنٿيسس ڊيزائن فلوز
منطقي سنٿيسس ڊيزائن فلو
© 2014 سينوپسس، انڪارپوريٽڊ 28
LO
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
باب 3
ان پٽ تيار ڪرڻ
جڏهن توهان هڪ ڊزائن کي سنٿيسائيز ڪندا آهيو، توهان کي ٻن قسمن جي سيٽ اپ ڪرڻ جي ضرورت آهي fileايس: ايڇ ڊي ايل fileجيڪي توهان جي ڊيزائن ۽ منصوبي کي بيان ڪن ٿا fileڊيزائن کي منظم ڪرڻ لاءِ. هي باب انهن کي قائم ڪرڻ جي طريقيڪار کي بيان ڪري ٿو files ۽ منصوبو. اهو هيٺيان شامل ڪري ٿو:
· HDL سورس سيٽ اپ ڪرڻ Files، صفحي 30 تي · مخلوط ٻولي جو ذريعو استعمال ڪندي Files، صفحي 44 تي · انڪريمينٽل ڪمپائلر استعمال ڪندي، صفحي 49 تي · اسٽرڪچرل ويريلاگ فلو استعمال ڪندي، صفحي 51 تي · پابندي سان ڪم ڪرڻ Files، صفحي 53 تي
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 29
باب 3: ان پٽ تيار ڪرڻ
HDL ماخذ قائم ڪرڻ Files
HDL ماخذ قائم ڪرڻ Files
هي حصو بيان ڪري ٿو ته توهان جو ذريعو ڪيئن قائم ڪجي. fileمنصوبو file سيٽ اپ کي سيٽ اپ پروجيڪٽ ۾ بيان ڪيو ويو آهي. Files، صفحي 58 تي. ذريعو files Verilog يا VHDL ۾ ٿي سگھي ٿو. structure ڪرڻ بابت معلومات لاءِ files لاءِ، ريفرنس مينوئل ڏسو. هي حصو هيٺ ڏنل موضوعن تي بحث ڪري ٿو:
· ايڇ ڊي ايل ذريعو ٺاهڻ Files، صفحي 30 تي · ڪنٽيڪسٽ هيلپ ايڊيٽر استعمال ڪندي، صفحي 32 تي · HDL سورس چيڪ ڪرڻ Files، صفحي 34 تي · HDL ذريعو ايڊيٽنگ Fileبلٽ ان ٽيڪسٽ ايڊيٽر سان، صفحي 35 تي · هڪ خارجي ٽيڪسٽ ايڊيٽر استعمال ڪندي، صفحي 41 تي · ايڊيٽنگ ونڊو ترجيحات سيٽ ڪرڻ، صفحي 39 تي · ويريلاگ لائبريري لاءِ لائبريري ايڪسٽينشن استعمال ڪندي Files، صفحي 42 تي
HDL ذريعو ٺاهڻ Files
هي سيڪشن بيان ڪري ٿو ته سورس ٺاهڻ لاءِ بلٽ ان ٽيڪسٽ ايڊيٽر ڪيئن استعمال ڪجي. files، پر تفصيل ۾ نٿو وڃي ته ڇا files تي مشتمل آهي. توهان ڇا شامل ڪري سگهو ٿا ۽ ڇا نه ٿا ڪري سگهو، انهي سان گڏ وينڊر جي مخصوص معلومات جي تفصيل لاءِ، حوالو دستورالعمل ڏسو. جيڪڏهن توهان وٽ اڳ ۾ ئي ذريعو آهي files، توهان نحو چيڪ ڪرڻ يا ايڊٽ ڪرڻ لاءِ ٽيڪسٽ ايڊيٽر استعمال ڪري سگهو ٿا file (ڏسو HDL ماخذ جي جانچ ڪرڻ) Files، صفحي 34 تي ۽ HDL ماخذ کي ايڊٽ ڪرڻ File(صفحي 35 تي بلٽ ان ٽيڪسٽ ايڊيٽر سان).
توهان پنهنجي سورس لاءِ Verilog يا VHDL استعمال ڪري سگهو ٿا. fileايس. جي files ۾ v (Verilog) يا vhd (VHDL) هوندا آهن file ترتيب وار ايڪسٽينشن. توهان Verilog ۽ VHDL استعمال ڪري سگهو ٿا fileساڳئي ڊيزائن ۾. ويريلاگ ۽ وي ايڇ ڊي ايل ان پٽ جي ميلاپ کي استعمال ڪرڻ بابت معلومات لاءِ files، ڏسو مخلوط ٻولي جو ذريعو استعمال ڪندي Files، صفحي 44 تي.
1. نئون ذريعو ٺاهڻ لاءِ file يا ته HDL تي ڪلڪ ڪريو file icon ( ) يا هيٺيان ڪريو:
چونڊيو File-> نئون يا Ctrl-n دٻايو.
نئين ڊائلاگ باڪس ۾، ذريعو جو قسم چونڊيو file توهان ٺاهڻ چاهيو ٿا،
ويريلاگ يا وي ايڇ ڊي ايل. نه ته توهان ويريلاگ ڊيزائن لاءِ ڪنٽيڪسٽ هيلپ ايڊيٽر استعمال ڪري سگهو ٿا جنهن ۾ سورس ۾ سسٽم ويريلاگ تعميرات شامل آهن.
© 2014 سينوپسس، انڪارپوريٽڊ 30
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
HDL ماخذ قائم ڪرڻ Files
باب 3: ان پٽ تيار ڪرڻ
file. وڌيڪ معلومات لاءِ، صفحي 32 تي، ڪنٽيڪسٽ هيلپ ايڊيٽر استعمال ڪندي ڏسو.
جيڪڏهن توهان Verilog 2001 فارميٽ يا SystemVerilog استعمال ڪري رهيا آهيو، ته پڪ ڪريو ته سنٿيسس (Project->Implementation Options->Verilog ٽيب) هلائڻ کان اڳ Verilog 2001 يا System Verilog آپشن کي فعال ڪريو. ڊفالٽ Verilog file نون منصوبن لاءِ فارميٽ SystemVerilog آهي.
لاءِ نالو ۽ جڳھ لکو file ۽ ٺيڪ تي ڪلڪ ڪريو. هڪ خالي ايڊيٽنگ
ونڊو کاٻي پاسي لائن نمبرن سان کلي ٿي.
2. ونڊو ۾ سورس جي معلومات ٽائيپ ڪريو، يا ان کي ڪٽي پيسٽ ڪريو. HDL سورس ايڊيٽنگ ڏسو. Fileايڊيٽنگ ونڊو ۾ ڪم ڪرڻ بابت وڌيڪ معلومات لاءِ صفحي 35 تي بلٽ ان ٽيڪسٽ ايڊيٽر سان رابطو ڪريو.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 31
باب 3: ان پٽ تيار ڪرڻ
HDL ماخذ قائم ڪرڻ Files
بهترين سنٿيسس نتيجن لاءِ، ريفرنس مينوئل چيڪ ڪريو ۽ پڪ ڪريو ته توهان موجود تعميرات ۽ وينڊر جي مخصوص خاصيتن ۽ هدايتن کي مؤثر طريقي سان استعمال ڪري رهيا آهيو.
3. محفوظ ڪريو file چونڊڻ سان File-> محفوظ ڪريو يا محفوظ ڪريو آئڪن ( ).
هڪ دفعو توهان هڪ ذريعو ٺاهيو آهي file، توهان چيڪ ڪري سگهو ٿا ته توهان وٽ صحيح نحو آهي، جيئن HDL سورس چيڪ ڪرڻ ۾ بيان ڪيو ويو آهي. Files، صفحي 34 تي.
ڪنٽيڪسٽ هيلپ ايڊيٽر استعمال ڪندي
جڏهن توهان ويريلاگ ڊيزائن ٺاهيندا يا کوليندا آهيو file، ونڊو جي تري ۾ ڏيکاريل ڪنٽيڪسٽ هيلپ بٽڻ استعمال ڪريو ته جيئن توهان کي سورس ۾ Verilog/SystemVerilog تعميرات سان ڪوڊ ڪرڻ ۾ مدد ملي سگهي. file يا توهان جي Tcl ۾ Tcl پابندي حڪم file.
ڪنٽيڪسٽ هيلپ ايڊيٽر استعمال ڪرڻ لاءِ:
1. هن ٽيڪسٽ ايڊيٽر کي ڏيکارڻ لاءِ ڪنٽيڪسٽ هيلپ بٽڻ تي ڪلڪ ڪريو.
© 2014 سينوپسس، انڪارپوريٽڊ 32
LO
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
HDL ماخذ قائم ڪرڻ Files
باب 3: ان پٽ تيار ڪرڻ
2. جڏهن توهان ونڊو جي کاٻي پاسي ڪا تعمير چونڊيندا آهيو، ته تعمير لاءِ آن لائن مدد جي وضاحت ڏيکاري ويندي آهي. جيڪڏهن چونڊيل تعمير ۾ هي خصوصيت فعال آهي، ته آن لائن مدد جو موضوع ونڊو جي چوٽي تي ڏيکاريو ويندو آهي ۽ ان تعمير لاءِ هڪ عام ڪوڊ يا ڪمانڊ ٽيمپليٽ هيٺان ڏيکاريو ويندو آهي.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 33
باب 3: ان پٽ تيار ڪرڻ
HDL ماخذ قائم ڪرڻ Files
3. "Insert Template" بٽڻ پڻ فعال آهي. جڏهن توهان "Insert Template" بٽڻ تي ڪلڪ ڪندا آهيو، ته ٽيمپليٽ ونڊو ۾ ڏيکاريل ڪوڊ يا ڪمانڊ توهان جي "Insert Template" ۾ داخل ٿي ويندو آهي. file ڪرسر جي جڳھ تي. هي توهان کي آساني سان ڪوڊ يا ڪمانڊ داخل ڪرڻ ۽ ان کي ان ڊيزائن لاءِ تبديل ڪرڻ جي اجازت ڏئي ٿو جيڪو توهان ٺاهڻ وارا آهيو.
4. جيڪڏهن توهان ٽيمپليٽ جا صرف حصا ڪاپي ڪرڻ چاهيو ٿا، ته پوءِ ڪوڊ يا ڪمانڊ چونڊيو جيڪو توهان داخل ڪرڻ چاهيو ٿا ۽ ڪاپي تي ڪلڪ ڪريو. پوءِ توهان ان کي پنهنجي ۾ پيسٽ ڪري سگهو ٿا. file.
ايڇ ڊي ايل ماخذ جي جانچ ڪرڻ Files
سافٽ ويئر خودڪار طريقي سان توهان جي HDL سورس کي چيڪ ڪري ٿو. files جڏهن اهو انهن کي مرتب ڪري ٿو، پر جيڪڏهن توهان سنٿيسس کان اڳ پنهنجو سورس ڪوڊ چيڪ ڪرڻ چاهيو ٿا، ته هيٺ ڏنل طريقيڪار استعمال ڪريو. سنٿيسس سافٽ ويئر ۾ توهان ٻن قسمن جا چيڪ ڪندا آهيو: نحو ۽ سنٿيسس.
1. ذريعو چونڊيو fileتوهان چيڪ ڪرڻ چاهيو ٿا.
سڀ ذريعا چيڪ ڪرڻ لاءِ fileهڪ منصوبي ۾، سڀني کي غير منتخب ڪريو file۾ s
منصوبي جي فهرست، ۽ پڪ ڪريو ته ڪو به نه files هڪ فعال ونڊو ۾ کليل آهن. جيڪڏهن توهان وٽ هڪ فعال ذريعو آهي file، سافٽ ويئر صرف فعال چيڪ ڪري ٿو file.
هڪ چيڪ ڪرڻ لاءِ file، کوليو file سان File-> کوليو يا ڊبل ڪلڪ ڪريو
file پروجيڪٽ ونڊو ۾. جيڪڏهن توهان وٽ هڪ کان وڌيڪ آهن file کوليو ۽ انهن مان صرف هڪ کي چيڪ ڪرڻ چاهيو ٿا، پنهنجو ڪرسر مناسب ۾ رکو file ونڊو تي ڪلڪ ڪريو ته جيئن پڪ ڪري سگهجي ته اها فعال ونڊو آهي.
2. نحو چيڪ ڪرڻ لاءِ، رن->نحو چيڪ چونڊيو يا Shift+F7 دٻايو.
سافٽ ويئر نحو جي غلطين کي ڳولي ٿو جهڙوڪ غلط ڪي ورڊز ۽ اوقاف ۽ ڪنهن به غلطي کي الڳ لاگ ۾ رپورٽ ڪري ٿو. file (syntax.log). جيڪڏهن ڪا به غلطي نه ملي، ته هن جي تري ۾ هڪ ڪامياب نحو جي چڪاس جي رپورٽ ڪئي ويندي. file.
3. سنٿيسس چيڪ هلائڻ لاءِ، رن->سنٿيسس چيڪ چونڊيو يا Shift+F8 دٻايو.
سافٽ ويئر هارڊويئر سان لاڳاپيل غلطيون ڳولي ٿو جهڙوڪ غلط ڪوڊ ٿيل
فلپ فلاپ ڪري ٿو ۽ ڪنهن به غلطي جي رپورٽ الڳ لاگ ۾ ڪري ٿو file (syntax.log). جيڪڏهن اتي هجي
ڪا به غلطي نه آهي، هڪ ڪامياب نحو جي چڪاس هن جي تري ۾ رپورٽ ڪئي وئي آهي
file.
LO
4. ريview syntax.log کولڻ سان غلطيون file جڏهن اشارو ڪيو وڃي ۽ غلطي پيغام ڳولڻ لاءِ ڳوليو استعمال ڪريو (@E جي ڳولا ڪريو). تي ڊبل ڪلڪ ڪريو
© 2014 سينوپسس، انڪارپوريٽڊ 34
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
HDL ماخذ قائم ڪرڻ Files
باب 3: ان پٽ تيار ڪرڻ
5-ڪردارن وارو ايرر ڪوڊ يا پيغام جي متن تي ڪلڪ ڪريو ۽ آن لائن ايرر پيغام مدد ڏيکارڻ لاءِ F1 کي دٻايو.
5. syntax.log ۾ پيغام جي متن تي ڊبل ڪلڪ ڪندي غلطي لاءِ ذميوار ڪوڊ جو حصو ڳوليو. file. ٽيڪسٽ ايڊيٽر ونڊو مناسب ذريعو کوليندي آهي file ۽ ان ڪوڊ کي نمايان ڪري ٿو جيڪو غلطي جو سبب بڻيو.
6. قدم 4 ۽ 5 کي ورجايو جيستائين سڀئي نحو ۽ ترڪيب جون غلطيون درست نه ٿين.
پيغامن کي غلطين، ڊيڄاريندڙن، يا نوٽس جي طور تي درجه بندي ڪري سگهجي ٿو. ٻيهرview سڀئي پيغام ۽ ڪنهن به غلطي کي حل ڪريو. ڊيڄاريندڙ غلطين کان گهٽ سنجيده آهن، پر توهان کي انهن کي پڙهڻ ۽ سمجهڻ گهرجي جيتوڻيڪ توهان انهن سڀني کي حل نه ڪيو. نوٽس معلوماتي آهن ۽ انهن کي حل ڪرڻ جي ضرورت ناهي.
ايڇ ڊي ايل ماخذ کي ايڊٽ ڪرڻ Fileبلٽ ان ٽيڪسٽ ايڊيٽر سان
بلٽ ان ٽيڪسٽ ايڊيٽر توهان جي HDL سورس ڪوڊ ٺاهڻ کي آسان بڻائي ٿو، view ان کي، يا ان کي ايڊٽ ڪريو جڏهن توهان کي غلطيون درست ڪرڻ جي ضرورت هجي. جيڪڏهن توهان هڪ خارجي ٽيڪسٽ ايڊيٽر استعمال ڪرڻ چاهيو ٿا، ته صفحي 41 تي هڪ خارجي ٽيڪسٽ ايڊيٽر استعمال ڪندي ڏسو.
1. ذريعو کولڻ لاءِ ھيٺين مان ھڪڙو ڪريو file لاءِ viewايڊيٽنگ يا ايڊيٽنگ:
پهرين کي خودڪار طريقي سان کولڻ لاءِ file غلطين واري فهرست ۾، F5 دٻايو.
هڪ مخصوص کولڻ لاءِ file، ڊبل ڪلڪ ڪريو file پروجيڪٽ ونڊو ۾ يا
استعمال ڪرڻ File-> کوليو (Ctrl-o) ۽ ذريعو بيان ڪريو file.
ٽيڪسٽ ايڊيٽر ونڊو کلي ٿي ۽ سورس ڏيکاري ٿي file. لائينون نمبر ڏنل آهن. ڪي ورڊ نيري رنگ ۾ آهن، ۽ تبصرا سائي رنگ ۾. اسٽرنگ ويليوز ڳاڙهي رنگ ۾ آهن. جيڪڏهن توهان انهن رنگن کي تبديل ڪرڻ چاهيو ٿا، ته صفحي 39 تي سيٽنگ ايڊٽنگ ونڊو ترجيحات ڏسو.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 35
باب 3: ان پٽ تيار ڪرڻ
HDL ماخذ قائم ڪرڻ Files
2. ايڊٽ ڪرڻ لاءِ file، سڌو ونڊو ۾ ٽائپ ڪريو.
هي جدول عام ايڊيٽنگ آپريشنز جو خلاصو پيش ڪري ٿو جيڪي توهان استعمال ڪري سگهو ٿا. توهان ڪمانڊ جي بدران ڪي بورڊ شارٽ ڪٽ پڻ استعمال ڪري سگهو ٿا.
جي طرف…
ڪيو…
ڪٽ، ڪاپي، ۽ پيسٽ ڪريو؛ پاپ اپ مان ڪمانڊ چونڊيو (ساڄي مائوس بٽڻ سان انڊو يا ايڪشن ٻيهر ڪريو) يا ايڊٽ مينيو.
هڪ مخصوص لائن ڏانهن وڃو
Ctrl-g دٻايو يا Edit->Go To چونڊيو، لائن نمبر ٽائيپ ڪريو، ۽ OK تي ڪلڪ ڪريو.
متن ڳوليو
Ctrl-f دٻايو يا Edit ->Find چونڊيو. جيڪو متن توهان ڳولڻ چاهيو ٿا اهو ٽائيپ ڪريو، ۽ OK تي ڪلڪ ڪريو.
متن تبديل ڪريو
Ctrl-h دٻايو يا Edit->Replace چونڊيو. اهو متن ٽائيپ ڪريو جيڪو توهان ڳولڻ چاهيو ٿا، ۽ اهو متن جنهن سان توهان ان کي تبديل ڪرڻ چاهيو ٿا. OK تي ڪلڪ ڪريو.
ڪي ورڊ مڪمل ڪريو
ڪي ورڊ کي منفرد طور تي سڃاڻڻ لاءِ ڪافي اکر ٽائيپ ڪريو، ۽ Esc دٻايو.
ساڄي پاسي ٽيڪسٽ انڊنٽ ڪريو بلاڪ چونڊيو، ۽ ٽيب دٻايو. کاٻي پاسي ٽيڪسٽ انڊنٽ ڪريو LS بلاڪ چونڊيو، ۽ Shift-Tab دٻايو.
وڏي ڪيس ۾ تبديل ڪريو ٽيڪسٽ چونڊيو، ۽ پوءِ ايڊٽ->ايڊوانسڊ ->اپر ڪيس چونڊيو يا Ctrl-Shift-u کي دٻايو.
© 2014 سينوپسس، انڪارپوريٽڊ 36
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
HDL ماخذ قائم ڪرڻ Files
باب 3: ان پٽ تيار ڪرڻ
ڏانهن… ننڍي ڪيس ۾ تبديل ڪريو بلاڪ تبصرا شامل ڪريو
ڪالمن کي ايڊٽ ڪريو
ڪيو…
ٽيڪسٽ چونڊيو، ۽ پوءِ ايڊٽ->ايڊوانسڊ ->لوئر ڪيس چونڊيو يا Ctrl-u دٻايو.
ڪسر کي تبصري جي متن جي شروعات ۾ رکو، ۽ ايڊٽ->ايڊوانسڊ->ڪمينٽ ڪوڊ چونڊيو يا Alt-c دٻايو.
Alt کي دٻايو، ۽ ڪالم چونڊڻ لاءِ کاٻي مائوس بٽڻ استعمال ڪريو. ڪجھ پليٽ فارمن تي، توهان کي اها ڪي استعمال ڪرڻي پوندي جنهن سان Alt ڪارڪردگي ميپ ٿيل هجي، جهڙوڪ ميٽا يا ڊائمنڊ ڪي.
3. PDF دستاويز جي ڪنهن حصي کي ڪٽڻ ۽ پيسٽ ڪرڻ لاءِ، T-shaped Text Select آئڪن کي چونڊيو، جيڪو متن توهان کي گهربل آهي ان کي نمايان ڪريو ۽ ان کي ڪاپي ڪري پنهنجي ۾ پيسٽ ڪريو. file. ٽيڪسٽ سليڪٽ آئڪن توهان کي دستاويز جا حصا چونڊڻ جي اجازت ڏئي ٿو.
4. توهان جي ۾ بُڪ مارڪ ٺاهڻ ۽ ڪم ڪرڻ لاءِ file، هيٺ ڏنل جدول ڏسو.
بُڪ مارڪ ڊگهي سفر لاءِ هڪ آسان طريقو آهن files يا ڪوڊ ۾ پوائنٽن تي ٽپو ڏيڻ لاءِ جن جو توهان اڪثر حوالو ڏيو ٿا. توهان انهن آپريشنز لاءِ ايڊٽ ٽول بار ۾ آئڪن استعمال ڪري سگهو ٿا. جيڪڏهن توهان پنهنجي ونڊو جي بلڪل ساڄي پاسي ايڊٽ ٽول بار نه ڏسي سگهو ٿا، ته ڪجهه ٻين ٽول بارن جو سائز تبديل ڪريو.
ڏانهن… هڪ بُڪ مارڪ داخل ڪريو
بُڪ مارڪ ختم ڪريو
سڀ بُڪ مارڪ ختم ڪريو
ڪيو…
جنهن لڪير کي توهان بُڪ مارڪ ڪرڻ چاهيو ٿا، ان ۾ ڪٿي به ڪلڪ ڪريو. ايڊٽ->ٽگل بُڪ مارڪ چونڊيو، Ctrl-F2 دٻايو، يا ايڊٽ ٽول بار ۾ پهريون آئڪن چونڊيو. لائن نمبر کي نمايان ڪيو ويو آهي ته جيئن اهو ظاهر ٿئي ته ان لائن جي شروعات ۾ هڪ بُڪ مارڪ آهي.
بُڪ مارڪ سان لڪير ۾ ڪٿي به ڪلڪ ڪريو. ايڊٽ->ٽگل بُڪ مارڪ چونڊيو، Ctrl-F2 دٻايو، يا ايڊٽ ٽول بار ۾ پهريون آئڪن چونڊيو. بُڪ مارڪ ڊليٽ ٿيڻ کان پوءِ لائن نمبر وڌيڪ نمايان نه ٿيندو.
ايڊٽ چونڊيو->سڀ بُڪ مارڪ ڊليٽ ڪريو، Ctrl-Shift-F2 دٻايو، يا ايڊٽ ٽول بار ۾ آخري آئڪن چونڊيو. بُڪ مارڪ ڊليٽ ٿيڻ کان پوءِ لائين نمبر هاڻي نمايان نه ٿيندا.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 37
باب 3: ان پٽ تيار ڪرڻ
HDL ماخذ قائم ڪرڻ Files
جي طرف…
نيويگيٽ ڪريو a file بُڪ مارڪ استعمال ڪندي
ڪيو…
ايڊٽ مينيو مان ايندڙ بُڪ مارڪ (F2) ۽ پوئين بُڪ مارڪ (Shift-F2) ڪمانڊ استعمال ڪريو يا ايڊٽ ٽول بار مان لاڳاپيل آئڪن استعمال ڪريو ته جيئن توهان پنهنجي گهربل بُڪ مارڪ تي وڃو.
5. غلطيون درست ڪرڻ يا ٻيهر ڪرڻ لاءِview سورس ڪوڊ ۾ ڊيڄاريندڙ، هيٺيان ڪريو:
ايڇ ڊي ايل کوليو file غلطي يا ڊيڄاريندڙ سان ڊبل ڪلڪ ڪندي file
منصوبي جي فهرست ۾.
پهرين غلطي، ڊيڄاريندڙ، يا نوٽ ڏانهن وڃڻ لاءِ F5 دٻايو file. تي
ايڊيٽنگ ونڊو جي هيٺان، توهان کي پيغام جو متن نظر ايندو.
ايندڙ غلطي، ڊيڄاريندڙ، يا نوٽ ڏانهن وڃڻ لاءِ، رن->اڳيون غلطي/خبردار چونڊيو.
يا F5 دٻايو. جيڪڏهن وڌيڪ پيغام نه آهن file، توهان کي ايڊيٽنگ ونڊو جي تري ۾ "No More Errors/Warnings/Notes" پيغام نظر ايندو. Run->Next Error/Warning چونڊيو يا ايندڙ ۾ غلطي، خبرداري، يا نوٽ ڏانهن وڃڻ لاءِ F5 دٻايو. file.
پوئين غلطي، ڊيڄاريندڙ، يا نوٽ ڏانهن واپس وڃڻ لاءِ، چونڊيو
هلايو-> پوئين غلطي/خبردار يا Shift-F5 دٻايو.
6. غلطي جي مڪمل وضاحت لاءِ غلطي پيغام جي مدد آڻڻ لاءِ، ڊيڄاريندڙ، يا نوٽ:
ٽيڪسٽ فارميٽ لاگ کوليو. file (ڪلڪ ڪريو View لاگ) ۽ يا ته ڊبل ڪلڪ ڪريو
5 اکرن وارو غلطي ڪوڊ يا پيغام جي متن تي ڪلڪ ڪريو ۽ F1 دٻايو.
HTML لاگ کوليو. file ۽ 5 اکرن واري غلطي ڪوڊ تي ڪلڪ ڪريو.
Tcl ونڊو ۾، Messages ٽيب تي ڪلڪ ڪريو ۽ 5-ڪردارن تي ڪلڪ ڪريو
ID ڪالم ۾ غلطي ڪوڊ.
7. سورس ڪوڊ ونڊو کان ٻئي ڏانهن ڪراس پروب ڪرڻ لاءِ views، کوليو view ۽ ڪوڊ جو ٽڪرو چونڊيو. تفصيل لاءِ صفحي 246 تي ٽيڪسٽ ايڊيٽر ونڊو مان ڪراس پروبنگ ڏسو.
8. جڏهن توهان سڀ غلطيون درست ڪري ڇڏيون، چونڊيو File-> محفوظ ڪريو يا محفوظ ڪرڻ لاءِ محفوظ آئڪن تي ڪلڪ ڪريو file.
LO
© 2014 سينوپسس، انڪارپوريٽڊ 38
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
HDL ماخذ قائم ڪرڻ Files
باب 3: ان پٽ تيار ڪرڻ
ايڊيٽنگ ونڊو ترجيحات سيٽنگ ڪرڻ
توهان ٽيڪسٽ ايڊيٽنگ ونڊو ۾ استعمال ٿيندڙ فونٽس ۽ رنگن کي ترتيب ڏئي سگهو ٿا.
1. آپشنز-> ايڊيٽر آپشنز چونڊيو ۽ يا ته Synopsys ايڊيٽر يا خارجي ايڊيٽر. خارجي ايڊيٽر بابت وڌيڪ معلومات لاءِ، صفحي 41 تي خارجي ٽيڪسٽ ايڊيٽر استعمال ڪندي ڏسو.
2. پوءِ قسم جي لحاظ کان file جڏهن توهان کوليو ٿا، ته توهان ٽيڪسٽ ايڊيٽر سان استعمال ڪرڻ لاءِ پس منظر، نحو رنگ، ۽ فونٽ ترجيحات سيٽ ڪري سگهو ٿا.
نوٽ: ان کان پوءِ، ٽيڪسٽ ايڊيٽنگ جون ترجيحون جيڪي توهان هن لاءِ مقرر ڪيون آهن file سڀني تي لاڳو ٿيندو fileهن جا file قسم.
ٽيڪسٽ ايڊيٽنگ ونڊو کي پروجيڪٽ لاءِ ترجيحون مقرر ڪرڻ لاءِ استعمال ڪري سگهجي ٿو. fileايس، ذريعو files (ويريلاگ/وي ايڇ ڊي ايل)، لاگ fileايس، ٽي سي ايل files، پابندي files، يا ٻيو ڊفالٽ fileايڊيٽر آپشنز ڊائلاگ باڪس مان s.
3. توهان ڪجهه عام نحو اختيارن لاءِ نحو رنگ مقرر ڪري سگهو ٿا، جهڙوڪ ڪي ورڊ، اسٽرنگ، ۽ تبصرا. مثال طورampلاگ ۾ file، ڊيڄاريندڙ ۽ غلطيون آسان سڃاڻپ لاءِ رنگ-ڪوڊ ڪري سگھجن ٿيون.
رنگ پيليٽ ڏيکارڻ لاءِ سنٽيڪس ڪلرنگ فيلڊ ۾ لاڳاپيل شئي لاءِ فارور گرائونڊ يا پس منظر واري فيلڊ ۾ ڪلڪ ڪريو.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 39
باب 3: ان پٽ تيار ڪرڻ
HDL ماخذ قائم ڪرڻ Files
توهان بنيادي رنگ چونڊي سگهو ٿا يا ڪسٽم رنگن جي وضاحت ڪري سگهو ٿا ۽ انهن کي پنهنجي ڪسٽم رنگ پيليٽ ۾ شامل ڪري سگهو ٿا. پنهنجي گهربل رنگ چونڊڻ لاءِ ٺيڪ تي ڪلڪ ڪريو.
4. ٽيڪسٽ ايڊيٽر لاءِ فونٽ ۽ فونٽ سائيز مقرر ڪرڻ لاءِ، پل-ڊائون مينيو استعمال ڪريو.
5. ٽيب سيٽنگون فعال ڪرڻ لاءِ Keep Tabs چيڪ ڪريو، پوءِ ٽيب سائيز لاءِ مٿي يا هيٺ تير استعمال ڪندي ٽيب جي فاصلي کي سيٽ ڪريو.
LO 6. ايڊيٽر آپشن فارم تي OK تي ڪلڪ ڪريو.
© 2014 سينوپسس، انڪارپوريٽڊ 40
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
HDL ماخذ قائم ڪرڻ Files
باب 3: ان پٽ تيار ڪرڻ
هڪ خارجي ٽيڪسٽ ايڊيٽر استعمال ڪندي
توهان بلٽ ان ٽيڪسٽ ايڊيٽر جي بدران هڪ خارجي ٽيڪسٽ ايڊيٽر جهڙوڪ vi يا emacs استعمال ڪري سگهو ٿا. هڪ خارجي ٽيڪسٽ ايڊيٽر کي فعال ڪرڻ لاءِ هيٺ ڏنل ڪم ڪريو. بلٽ ان ٽيڪسٽ ايڊيٽر استعمال ڪرڻ بابت معلومات لاءِ، HDL سورس ايڊيٽنگ ڏسو. Fileصفحي 35 تي بلٽ ان ٽيڪسٽ ايڊيٽر سان.
1. آپشنز-> ايڊيٽر آپشنز چونڊيو ۽ ايڪسٽرنل ايڊيٽر آپشن کي آن ڪريو.
2. پنهنجي آپريٽنگ سسٽم لاءِ مناسب طريقو استعمال ڪندي، ٻاهرين ايڊيٽر کي چونڊيو.
جيڪڏهن توهان ونڊوز پليٽ فارم تي ڪم ڪري رهيا آهيو، ته …(براؤز) بٽڻ تي ڪلڪ ڪريو.
۽ ايگزيڪيوٽوبل ايڪسٽرنل ٽيڪسٽ ايڊيٽر چونڊيو.
يونڪس يا لينڪس پليٽ فارم تان هڪ ٽيڪسٽ ايڊيٽر لاءِ جيڪو پنهنجو ٺاهيندو آهي
ونڊو ۾، … براؤز بٽڻ تي ڪلڪ ڪريو ۽ ايگزيڪيوٽوبل خارجي ٽيڪسٽ ايڊيٽر چونڊيو.
يونڪس پليٽ فارم تان هڪ ٽيڪسٽ ايڊيٽر لاءِ جيڪو پنهنجو نه ٿو ٺاهي
ونڊو ۾، ... براؤز بٽڻ استعمال نه ڪريو. ان جي بدران xterm -e ايڊيٽر ٽائيپ ڪريو. هيٺ ڏنل شڪل VI کي خارجي ايڊيٽر طور بيان ڪيل ڏيکاري ٿي.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 41
باب 3: ان پٽ تيار ڪرڻ
HDL ماخذ قائم ڪرڻ Files
لينڪس پليٽ فارم تان، هڪ ٽيڪسٽ ايڊيٽر لاءِ جيڪو پنهنجو نه ٿو ٺاهي
ونڊو ۾، ... براؤز بٽڻ استعمال نه ڪريو. ان جي بدران، gnome-terminal -x ايڊيٽر ٽائيپ ڪريو. ex لاءِ emacs استعمال ڪرڻ لاءِample، ٽائپ ڪريو gnome-terminal -x emacs.
سافٽ ويئر کي ايم اي سي ۽ وي آءِ ٽيڪسٽ ايڊيٽرن سان آزمايو ويو آهي.
3. ٺيڪ ڪريو ڪلڪ ڪريو.
ويريلاگ لائبريري لاءِ لائبريري ايڪسٽينشن استعمال ڪندي Files
لائبريري ايڪسٽينشن کي ويريلاگ لائبريري ۾ شامل ڪري سگھجي ٿو. files توهان جي منصوبي جي ڊيزائن ۾ شامل آهن. جڏهن توهان ڊائريڪٽريز کي ڳولا جا رستا فراهم ڪندا آهيو جن ۾ ويريلاگ لائبريري شامل آهي files ۾، توهان انهن نئين لائبريري ايڪسٽينشنن سان گڏ Verilog ۽ SystemVerilog (.v ۽ .sv) کي به بيان ڪري سگهو ٿا. file واڌايون.
ائين ڪرڻ لاءِ:
1. Implementation Options پينل جي Verilog ٽيب کي چونڊيو.
2. ويريلاگ لائبريري لاءِ لائبريري ڊائريڪٽريز جي جڳهن جي وضاحت ڪريو fileتوهان جي منصوبي جي ڊيزائن ۾ شامل ڪيو وڃي.
3. لائبريري ايڪسٽينشن بيان ڪريو.
ڪنهن به لائبريري ايڪسٽينشن کي بيان ڪري سگهجي ٿو، جهڙوڪ .av، .bv، .cv، .xxx، .va، .vas (هڪ جاءِ سان الڳ لائبريري ايڪسٽينشن).
هيٺ ڏنل شڪل توهان کي ڏيکاري ٿي ته ڊائلاگ باڪس تي لائبريري ايڪسٽينشن ڪٿي داخل ڪرڻا آهن.
© 2014 سينوپسس، انڪارپوريٽڊ 42
LO
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
HDL ماخذ قائم ڪرڻ Files
باب 3: ان پٽ تيار ڪرڻ
هن اڳوڻي لاءِ Tcl برابرample هيٺ ڏنل حڪم آهي:
set_option -libext .av .bv .cv .dv .ev
تفصيل لاءِ، ڪمانڊ ريفرنس ۾ صفحي 57 تي libext ڏسو.
4. ڊيزائن کي مرتب ڪرڻ کان پوءِ، توهان لاگ ۾ تصديق ڪري سگهو ٿا file ته لائبريري fileانهن ايڪسٽينشن وارن فائلن کي لوڊ ڪيو ويو ۽ پڙهيو ويو. مثال طورampاليزي:
@N: سسٽم ويري لاگ موڊ ۾ ويري لاگ ڪمپائلر هلائڻ @I::”C:dirtop.v” @N: CG1180 :”C:dirtop.v”:8:0:8:3|لوڊ ٿي رهيو آهي file مخصوص لائبريري ڊاريڪٽري مان C:dirlib1sub1.av C:dirlib1 @I::”C:dirlib1sub1.av” @N: CG1180 :”C:dirtop.v”:10:0:10:3|لوڊ ٿي رهيو آهي file مخصوص لائبريري ڊاريڪٽري مان C:dirlib2sub2.bv C:dirlib2 @I::”C:dirlib2sub2.bv” @N: CG1180 :”C:dirtop.v”:12:0:12:3|لوڊ ٿي رهيو آهي file
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 43
باب 3: ان پٽ تيار ڪرڻ
مخلوط ٻولي جو ذريعو استعمال ڪندي Files
مخصوص لائبريري ڊاريڪٽري مان C:dirlib3sub3.cv C:dirlib3 @I::”C:dirlib3sub3.cv” @N: CG1180 :”C:dirtop.v”:14:0:14:3|لوڊ ٿي رهيو آهي file مخصوص لائبريري ڊاريڪٽري مان C:dirlib4sub4.dv C:dirlib4 @I::”C:dirlib4sub4.dv” @N: CG1180 :”C:dirtop.v”:16:0:16:3|لوڊ ٿي رهيو آهي file مخصوص لائبريري ڊاريڪٽري مان C:dirlib5sub5.ev C:dirlib5 @I::”C:dirlib5sub5.ev” ويريلاگ نحو جي چڪاس ڪامياب!
مخلوط ٻولي جو ذريعو استعمال ڪندي Files
Synplify Pro سافٽ ويئر سان، توهان VHDL ۽ Verilog ان پٽ جو ميلاپ استعمال ڪري سگهو ٿا. fileتوهان جي منصوبي ۾. مثال طورampوي ايڇ ڊي ايل ۽ ويريلاگ جا سبق files، ريفرنس مينوئل ڏسو.
1. ياد رکو ته ويريلاگ غير محدود VHDL پورٽس کي سپورٽ نٿو ڪري ۽ مخلوط ٻولي ڊيزائن کي سيٽ اپ ڪري ٿو. files مطابق.
2. جيڪڏهن توهان ويريلاگ ۽ وي ايڇ ڊي ايل کي منظم ڪرڻ چاهيو ٿا fileمختلف فولڊرن ۾، آپشن->پروجيڪٽ چونڊيو View آپشن ۽ ٽوگل آن View پروجيڪٽ Fileفولڊر آپشن ۾ s.
جڏهن توهان شامل ڪندا آهيو fileمنصوبي، ويريلاگ ۽ وي ايڇ ڊي ايل ڏانهن files پروجيڪٽ ۾ الڳ الڳ فولڊرن ۾ آهن view.
3. جڏهن توهان ڪو منصوبو کوليو يا نئون ٺاهيو، ته Verilog ۽ VHDL شامل ڪريو. files هن ريت آهي:
پروجيڪٽ چونڊيو-> ذريعو شامل ڪريو File حڪم ڏيو يا شامل ڪريو تي ڪلڪ ڪريو File بٽڻ. فارم تي، سيٽ ڪريو Fileقسم جي قسم کان HDL تائين Files (*.vhd, *.vhdl, *.v). Verilog ۽ VHDL چونڊيو fileجيڪي توهان چاهيو ٿا ۽ انهن کي پنهنجي ۾ شامل ڪريو
منصوبو. ٺيڪ تي ڪلڪ ڪريو. شامل ڪرڻ بابت تفصيل لاءِ fileهڪ منصوبي ڏانهن، صفحي 62 تي هڪ منصوبي ۾ تبديليون ڪرڻ ڏسو.
LO
© 2014 سينوپسس، انڪارپوريٽڊ 44
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
مخلوط ٻولي جو ذريعو استعمال ڪندي Files
باب 3: ان پٽ تيار ڪرڻ
جي fileتوهان جي شامل ڪيل شيون پروجيڪٽ ۾ ڏيکاريل آهن viewهي انگ ڏيکاري ٿو ته fileالڳ الڳ فولڊرن ۾ ترتيب ڏنل آهن.
4. جڏهن توهان ڊوائيس آپشنز سيٽ ڪريو ٿا (عمل درآمد جا آپشن بٽڻ)، مٿين سطح جي ماڊل کي بيان ڪريو. ڊوائيس آپشنز سيٽ ڪرڻ بابت وڌيڪ معلومات لاءِ، صفحي 75 تي سيٽنگ لاجڪ سنٿيسس لاڳو ڪرڻ جا آپشن ڏسو.
جيڪڏهن مٿين سطح جو ماڊيول Verilog آهي، ته Verilog ٽيب تي ڪلڪ ڪريو ۽ ٽائپ ڪريو
مٿين سطح جي ماڊيول جو نالو.
جيڪڏهن مٿين سطح جو ماڊيول VHDL آهي، ته VHDL ٽيب تي ڪلڪ ڪريو ۽ نالو لکو.
مٿين سطح جي اداري جو. جيڪڏهن مٿين سطح جو ماڊيول ڊفالٽ ڪم لائبريري ۾ موجود نه آهي، ته توهان کي لائبريري بيان ڪرڻ گهرجي جتي ڪمپائلر ماڊيول ڳولي سگهي ٿو. اهو ڪيئن ڪجي ان بابت معلومات لاءِ، صفحي 200 تي VHDL پينل ڏسو.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 45
باب 3: ان پٽ تيار ڪرڻ
مخلوط ٻولي جو ذريعو استعمال ڪندي Files
توهان کي واضح طور تي مٿين سطح جي ماڊيول جي وضاحت ڪرڻ گهرجي، ڇاڪاڻ ته اهو شروعاتي نقطو آهي جتان ميپر هڪ ضم ٿيل نيٽ لسٽ ٺاهيندو آهي.
5. ساڳئي فارم تي "عمل درآمد جا نتيجا" ٽيب چونڊيو ۽ آئوٽ پُٽ لاءِ هڪ آئوٽ پُٽ HDL فارميٽ چونڊيو. fileسافٽ ويئر پاران تيار ڪيل. ڊوائيس آپشنز کي سيٽ ڪرڻ بابت وڌيڪ معلومات لاءِ، صفحي 75 تي سيٽنگ لاجڪ سنٿيسس امپليمينٽيشن آپشنز ڏسو.
ويريلاگ آئوٽ پُٽ نيٽ لسٽ لاءِ، ويريلاگ نيٽ لسٽ لکو چونڊيو. وي ايڇ ڊي ايل آئوٽ پُٽ نيٽ لسٽ لاءِ، وي ايڇ ڊي ايل نيٽ لسٽ لکو چونڊيو. ڪنهن ٻئي ڊوائيس آپشن کي سيٽ ڪريو ۽ ٺيڪ تي ڪلڪ ڪريو.
هاڻي توهان پنهنجي ڊيزائن کي سنٿيسائيز ڪري سگهو ٿا. سافٽ ويئر ماخذ جي مخلوط فارميٽ ۾ پڙهي ٿو. files ۽ هڪ واحد srs پيدا ڪري ٿو file جيڪو سنٿيسس لاءِ استعمال ٿيندو آهي.
6. جيڪڏهن توهان کي ڪا به پريشاني ٿئي ٿي، ته پوءِ وڌيڪ معلومات ۽ صلاحن لاءِ صفحي 47 تي "مڪسڊ لئنگئيج ڊيزائنز جو مسئلو حل ڪرڻ" ڏسو.
LO
© 2014 سينوپسس، انڪارپوريٽڊ 46
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
مخلوط ٻولي جو ذريعو استعمال ڪندي Files
باب 3: ان پٽ تيار ڪرڻ
مخلوط ٻولي ڊيزائن جي مسئلن کي حل ڪرڻ
هي حصو مخصوص حالتن کي سنڀالڻ لاءِ صلاحون فراهم ڪري ٿو جيڪي مخلوط ٻولي جي ڊيزائن سان اچي سگهن ٿيون.
وي ايڇ ڊي ايل File آرڊر
صرف VHDL ڊيزائنن يا مخلوط ڊيزائنن لاءِ جتي مٿين سطح بيان نه ڪئي وئي آهي، FPGA سنٿيسس ٽولز خودڪار طريقي سان VHDL کي ٻيهر ترتيب ڏين ٿا. files ته جيئن VHDL پيڪيجز صحيح ترتيب ۾ مرتب ڪيا وڃن.
تاهم، جيڪڏهن توهان وٽ هڪ مخلوط ٻولي ڊيزائن آهي جتي توهان مٿين سطح جي وضاحت ڪئي آهي، ته توهان کي VHDL جي وضاحت ڪرڻ گهرجي. file اوزار لاءِ آرڊر ڪريو. توهان کي اهو صرف هڪ ڀيرو ڪرڻو آهي، رن->آرينج وي ايڇ ڊي ايل کي منتخب ڪندي files حڪم. جيڪڏهن توهان اهو نه ڪيو، ته توهان کي هڪ غلطي جو پيغام ملندو.
وي ايڇ ڊي ايل گلوبل سگنلز
في الحال، توهان وٽ مخلوط ٻولي ڊيزائن ۾ VHDL گلوبل سگنل نه هوندا، ڇاڪاڻ ته اوزار صرف VHDL-صرف ڊيزائن ۾ انهن سگنلن کي لاڳو ڪري ٿو.
وي ايڇ ڊي ايل بولين جنريڪس کي ويريلاگ پيرا ميٽرز ڏانهن منتقل ڪرڻ
هي اوزار هڪ VHDL جزو لاءِ هڪ بليڪ باڪس جو اندازو لڳائي ٿو جيڪو بولين جنريڪس سان گڏ آهي، جيڪڏهن اهو جزو ويريلاگ ڊيزائن ۾ انسٽينٽيئيٽ ڪيو ويو آهي. اهو ئي سبب آهي جو ويريلاگ بولين ڊيٽا جي قسمن کي نٿو سڃاڻي، تنهن ڪري بولين ويليو کي صحيح طور تي پيش ڪيو وڃي. جيڪڏهن وي ايڇ ڊي ايل بولين جنريڪ جي قيمت صحيح آهي ۽ ويريلاگ لٽريل 1 سان ظاهر ٿئي ٿي، ته ويريلاگ ڪمپائلر ان کي بليڪ باڪس جي طور تي بيان ڪري ٿو.
بليڪ باڪس جو اندازو لڳائڻ کان بچڻ لاءِ، VHDL بولين عام سيٽ لاءِ Verilog لفظي TRUE 1'b1 هجڻ گهرجي، نه 1. ساڳئي طرح، جيڪڏهن VHDL بولين عام غلط آهي، ته لاڳاپيل Verilog لفظي 1'b0 هجڻ گهرجي، نه 0. هيٺ ڏنل مثالample ڏيکاري ٿو ته ڪيئن بولين جنريڪس جي نمائندگي ڪجي ته جيئن اهي صحيح طور تي VHDL-Verilog حد کي پار ڪن، بغير ڪنهن بليڪ باڪس جي.
وي ايڇ ڊي ايل انٽيٽي ڊڪليئريشن
ويريلاگ انسٽينٽيئيشن
اينٽيٽي abc عام آهي (
نمبر_بِٽس ورهائي_بِٽ );
: انٽيجر : بولين
:= 0؛ := غلط؛
abc #( .نمبر_بِٽس (16)، .ڊائيويڊ_بِٽ (1'b0)
)
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 47
باب 3: ان پٽ تيار ڪرڻ
مخلوط ٻولي جو ذريعو استعمال ڪندي Files
بليڪ باڪس ڪڍڻ کان سواءِ VHDL جنريڪس پاس ڪرڻ
ان صورت ۾ جتي هڪ ويريلاگ جزو پيرا ميٽر، (مثال طورampجيڪڏهن [0:0] RSR = 1'b0) لاڳاپيل VHDL جزو جي سائيز سان نه ٿو ملي (RSR : انٽيجر := 0)، ته ٽول هڪ بليڪ باڪس جو اندازو لڳائي ٿو.
توهان Verilog ۾ [0:0] جي بس ويڊٿ نوٽيشن کي هٽائي هن جي چوڌاري ڪم ڪري سگهو ٿا. files. نوٽ ڪريو ته توهان کي VHDL عام قسم جو انٽيجر استعمال ڪرڻ گهرجي ڇاڪاڻ ته ٻيا قسم Verilog جزو جي صحيح پابند ٿيڻ جي اجازت نٿا ڏين.
© 2014 سينوپسس، انڪارپوريٽڊ 48
LO
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
انڪريمينٽل ڪمپائلر استعمال ڪندي
باب 3: ان پٽ تيار ڪرڻ
انڪريمينٽل ڪمپائلر استعمال ڪندي
وڏين ڊيزائنن لاءِ ڪمپائلر رن ٽائم کي خاص طور تي گهٽائڻ لاءِ انڪريمينٽل ڪمپائلر فلو استعمال ڪريو. سافٽ ويئر صرف لاڳاپيل کي ٻيهر ڪمپائل ڪري ٿو files جڏهن ڊيزائن ۾ تبديلي ڪئي ويندي آهي ۽ ڪمپائلر ڊيٽابيس کي ٻيهر استعمال ڪيو ويندو آهي. ڪمپائلر SRS کي ٻيهر پيدا ڪري ٿو file صرف متاثر ٿيل ماڊيول ۽ فوري والدين ماڊيول لاءِ.
هن وهڪري کي هلائڻ لاءِ، هيٺيان ڪم ڪريو:
1. ويريلاگ يا وي ايڇ ڊي ايل شامل ڪريو files ڊزائن لاء.
2. Implementation Options پينل جي Verilog يا VHDL ٽيب مان Incremental Compile آپشن کي فعال ڪريو.
هڪ ايس آر ايس file synwork ڊاريڪٽري ۾ هر ڊيزائن ماڊيول لاءِ ٺاهيو ويو آهي.
3. پهريون ڀيرو ڪمپائلر هلايو.
4. جيڪڏهن ڊيزائن ۾ تبديلي ڪئي وئي هئي، ته ڪمپائلر کي ٻيهر هلايو.
ڪمپائلر ڊيٽابيس جو تجزيو ڪري ٿو ۽ طئي ڪري ٿو ته ڇا SRS files اپ-ٽو-ڊيٽ آهن، پوءِ صرف اهي ماڊيول جيڪي تبديل ٿيا آهن ۽ فوري والدين ماڊيول ٻيهر پيدا ڪيا ويندا آهن. اهو ڊيزائن لاءِ رن ٽائم کي بهتر بڻائڻ ۾ مدد ڪري سگهي ٿو.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 49
باب 3: ان پٽ تيار ڪرڻ
انڪريمينٽل ڪمپائلر استعمال ڪندي
حدون
وڌندڙ ڪمپائلر سپورٽ نٿو ڪري:
· ترتيب fileويريلاگ يا وي ايڇ ڊي ايل وهڪري ۾ شامل آهن · مخلوط ايڇ ڊي ايل وهڪري · ڪراس ماڊيول ريفرنسنگ سان ڊيزائن (XMR)
© 2014 سينوپسس، انڪارپوريٽڊ 50
LO
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
اسٽرڪچرل ويريلاگ فلو استعمال ڪندي
باب 3: ان پٽ تيار ڪرڻ
اسٽرڪچرل ويريلاگ فلو استعمال ڪندي
سنٿيسس ٽول structural Verilog قبول ڪري ٿو. files کي توهان جي ڊيزائن پروجيڪٽ لاءِ ان پٽ طور استعمال ڪري ٿو. اسٽرڪچرل ويريلاگ ڪمپائلر رن ٽائم کي بهتر بڻائڻ لاءِ پنهنجي هلڪي وزن واري پارسر کي استعمال ڪندي نحوي سيمينٽڪ چيڪ انجام ڏئي ٿو. هي ڪمپائلر پيچيده هارڊويئر ايڪسٽرڪشن يا آر ٽي ايل آپٽمائيزيشن آپريشن نه ٿو ڪري، تنهن ڪري، سافٽ ويئر اسٽرڪچرل ويريلاگ جي تيز ڪمپائليشن هلائي ٿو. files. سافٽ ويئر انهن پيدا ٿيل ساختي ويريلاگ کي پڙهي سگهي ٿو files، جيڪڏهن انهن ۾ شامل آهن:
· ٽيڪنالاجي جي ابتدائي عنصرن جا مثال
· سادو تفويض بيان
· ويريلاگ 2001 ۽ پراڻن فارميٽ ۾ بيان ڪيل خاصيتون
· سڀئي تعميرات، سواءِ خاصيتن جي، Verilog 95 فارميٽ ۾ بيان ڪيون وڃن.
structural Verilog ان پٽ استعمال ڪرڻ لاءِ files:
1. توهان کي structural Verilog بيان ڪرڻ گهرجي fileتوهان جي ڊيزائن ۾ شامل ڪرڻ لاءِ. اهو ڪرڻ لاءِ، شامل ڪريو file هيٺ ڏنل طريقن مان هڪ استعمال ڪندي منصوبي ڏانهن:
پروجيڪٽ-> ذريعو شامل ڪريو File يا شامل ڪريو File پروجيڪٽ ۾ بٽڻ view ٽي سي ايل حڪم: شامل ڪريو_file -اسٽورڪٽر fileنالو
هن وهڪري ۾ صرف ساختي ويريلاگ شامل ٿي سگهي ٿو fileايس يا مخلوط ايڇ ڊي ايل files (Verilog/VHDL/EDF/SRS) structural Verilog netlist سان گڏ files. جڏهن ته، Verilog/VHDL/EDF/SRS مثال هڪ structural Verilog module اندر سپورٽ نه آهن.
2. ساختي ويريلاگ files کي پروجيڪٽ ۾ Structural Verilog فولڊر ۾ شامل ڪيو ويو آهي view. توهان پڻ شامل ڪري سگهو ٿا fileجڏهن توهان هيٺيان ڪم ڪندا آهيو، ته پوءِ هن ڊاريڪٽري ڏانهن s موڪليو:
ساخت وارو ويريلاگ چونڊيو file. ساڄي ڪلڪ ڪريو ۽ چونڊيو File آپشنز. مان Structural Verilog چونڊيو File ٽائپ ڪريو ڊراپ ڊائون مينيو.
3. سنٿيسس هلايو.
سنٿيسس ٽول هڪ وي ايم يا اي ڊي ايف نيٽ لسٽ ٺاهي ٿو. file بيان ڪيل ٽيڪنالاجي تي منحصر آهي. هي عمل ڊفالٽ سنٿيسس وهڪري وانگر آهي.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 51
باب 3: ان پٽ تيار ڪرڻ
اسٽرڪچرل ويريلاگ فلو استعمال ڪندي
حدون
ساختي ويريلاگ وهڪري جون حدون هيٺين کي سپورٽ نٿيون ڪن:
· ڪنهن ٻئي لاءِ RTL مثال file قسم · هيرارڪل پروجيڪٽ مئنيجمينٽ (HPM) فلوز · ڪمپليڪس اسائنمنٽ · ڪمپائلر لاءِ مخصوص موڊ ۽ سوئچز
© 2014 سينوپسس، انڪارپوريٽڊ 52
LO
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
پابندي سان ڪم ڪرڻ Files
باب 3: ان پٽ تيار ڪرڻ
پابندي سان ڪم ڪرڻ Files
پابندي files متن آهن files جيڪي خودڪار طريقي سان SCOPE انٽرفيس ذريعي ٺاهيا ويندا آهن (صفحو 119 تي SCOPE پابنديون بيان ڪرڻ ڏسو)، يا جيڪي توهان ٽيڪسٽ ايڊيٽر سان دستي طور تي ٺاهيندا آهيو. انهن ۾ Tcl ڪمانڊ يا خاصيتون شامل آهن جيڪي سنٿيسس جي هلائڻ کي محدود ڪن ٿيون. متبادل طور تي، توهان سورس ڪوڊ ۾ پابنديون مقرر ڪري سگهو ٿا، پر هي ترجيحي طريقو ناهي.
هن حصي ۾ معلومات شامل آهي
· پابندي ڪڏهن استعمال ڪجي Fileصفحي 53 تي، سورس ڪوڊ جي مٿان
· پابندي لاءِ ٽيڪسٽ ايڊيٽر استعمال ڪرڻ Files (وراثت)، صفحي 54 تي
· پابندي لاءِ Tcl نحو جون هدايتون Files، صفحي 55 تي
· پابندي جي جانچ Files، صفحي 56 تي
· هن رپورٽ جي تفصيل لاءِ، پابندي چيڪنگ رپورٽ ڏسو، تي
حوالو دستورالعمل جو صفحو 270، صفحي 56 تي
پابندي ڪڏهن استعمال ڪجي Fileسورس ڪوڊ کان مٿي
توهان پابندين ۾ پابنديون شامل ڪري سگهو ٿا files (SCOPE انٽرفيس ذريعي ٺاهيل يا ٽيڪسٽ ايڊيٽر ۾ داخل ٿيل) يا سورس ڪوڊ ۾. عام طور تي، رڪاوٽ استعمال ڪرڻ بهتر آهي files، ڇاڪاڻ ته توهان کي پابندين کي اثر انداز ٿيڻ لاءِ ٻيهر ڪمپائل ڪرڻ جي ضرورت ناهي. اهو توهان جي سورس ڪوڊ کي وڌيڪ پورٽيبل پڻ بڻائي ٿو. وڌيڪ معلومات لاءِ صفحي 112 تي SCOPE ايڊيٽر استعمال ڪندي ڏسو.
جڏهن ته، جيڪڏهن توهان وٽ بليڪ باڪس ٽائيمنگ پابنديون آهن جهڙوڪ syn_tco، syn_tpd، ۽ syn_tsu، ته توهان کي انهن کي سورس ڪوڊ ۾ هدايتن جي طور تي داخل ڪرڻ گهرجي. خاصيتن جي برعڪس، هدايتون صرف سورس ڪوڊ ۾ شامل ڪري سگهجن ٿيون، پابندي لاءِ نه. files. سورس ڪوڊ ۾ هدايتون شامل ڪرڻ بابت وڌيڪ معلومات لاءِ صفحي 90 تي خاصيتون ۽ هدايتون بيان ڪرڻ ڏسو.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 53
باب 3: ان پٽ تيار ڪرڻ
پابندي سان ڪم ڪرڻ Files
پابندي لاءِ ٽيڪسٽ ايڊيٽر استعمال ڪرڻ File(ورثي)
توهان SDC رڪاوٽ لاءِ ليگيسي SCOPE ايڊيٽر استعمال ڪري سگهو ٿا. fileرليز ورجن G-2012.09 کان اڳ ٺاهيل. بهرحال، اهو سفارش ڪئي وئي آهي ته توهان پنهنجي SDC جو ترجمو ڪريو fileايس کان ايف ڊي سي تائين fileSCOPE ايڊيٽر جي جديد ورزن کي فعال ڪرڻ ۽ ٽول ۾ وڌايل ٽائيمنگ ڪنسٽرنٽ هينڊلنگ کي استعمال ڪرڻ لاءِ.
جيڪڏهن توهان ليگيسي SCOPE ايڊيٽر استعمال ڪرڻ جو انتخاب ڪيو ٿا، ته هي سيڪشن توهان کي ڏيکاري ٿو ته دستي طور تي Tcl رڪاوٽ ڪيئن ٺاهي سگهجي ٿي. file. سافٽ ويئر خودڪار طريقي سان هي ٺاهي ٿو file جيڪڏهن توهان پابنديون داخل ڪرڻ لاءِ ليگيسي SCOPE ايڊيٽر استعمال ڪندا آهيو. Tcl پابندي file صرف عام وقت جي پابنديون شامل آهن. بليڪ باڪس پابنديون سورس ڪوڊ ۾ داخل ٿيڻ گهرجن. اضافي معلومات لاءِ، ڏسو پابندي ڪڏهن استعمال ڪجي Fileصفحي 53 تي، سورس ڪوڊ جي مٿان.
1. کوليو a file ترميم لاءِ.
پڪ ڪريو ته توهان SCOPE ونڊو بند ڪيو آهي، يا توهان ڪري سگهو ٿا
پوئين پابندين کي اوور رائٽ ڪريو.
نئون ٺاهڻ لاءِ file، چونڊيو File-> نئون، ۽ پابندي چونڊيو File
(اسڪوپ) آپشن. لاءِ نالو لکو file ۽ ڪلڪ ڪريو OK.
موجوده کي ايڊٽ ڪرڻ لاءِ file، چونڊيو File-> کوليو، سيٽ ڪريو Fileقسم فلٽر جي s کان
پابندي Files (sdc) ۽ کوليو file توهان چاهيو ٿا.
2. پابندي لاءِ Tcl Syntax Guidelines ۾ نحو جي هدايتن تي عمل ڪريو. Files، صفحي 55 تي.
3. توهان کي گهربل وقت جون پابنديون داخل ڪريو. نحو لاءِ، حوالو دستورالعمل ڏسو. جيڪڏهن توهان وٽ بليڪ باڪس وقت جون پابنديون آهن، ته توهان کي انهن کي سورس ڪوڊ ۾ داخل ڪرڻ گهرجي.
4. توهان پابندي ۾ وينڊر جي مخصوص خاصيتون پڻ شامل ڪري سگهو ٿا. file define_attribute استعمال ڪندي. پابندين ۾ خاصيتون بيان ڪرڻ ڏسو File، وڌيڪ معلومات لاءِ صفحي 97 تي.
5. محفوظ ڪريو file.
6. شامل ڪريو file صفحي 62 تي منصوبي ۾ تبديليون ڪرڻ ۾ بيان ڪيل منصوبي ڏانهن، ۽ سنٿيسس هلائي.
LO
© 2014 سينوپسس، انڪارپوريٽڊ 54
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
پابندي سان ڪم ڪرڻ Files
باب 3: ان پٽ تيار ڪرڻ
پابندي لاءِ Tcl نحو جون هدايتون Files
هي سيڪشن پابندي لاءِ Tcl استعمال ڪرڻ لاءِ عام هدايتن جو احاطو ڪري ٿو. files:
· Tcl ڪيس حساس آهي.
· شين جي نالن لاءِ: شين جو نالو HDL ڪوڊ ۾ ڏنل نالي سان ملندڙ هجڻ گهرجي. مثال ۽ پورٽ جا نالا c اندر شامل ڪريو.urly braces { }. نالن ۾ خالي جاءِ استعمال نه ڪريو. درجي بندي وارن نالن کي الڳ ڪرڻ لاءِ ڊاٽ (.) استعمال ڪريو. Verilog ماڊيولز ۾، مثال طور، پورٽ، ۽ هيٺ ڏنل نحو استعمال ڪريو.
نيٽ نالا:
v: سيل [پريفڪس:] شئي جو نالو
جتي سيل ڊيزائن انٽيٽي جو نالو آهي، پريفڪس هڪ پريفڪس آهي جيڪو ساڳئي نالي سان شين کي سڃاڻڻ لاءِ آهي، objectName هڪ انسٽنس پاٿ آهي جنهن ۾ ڊاٽ (.) سيپريٽر آهي. پريفڪس هيٺ ڏنل مان ڪو به ٿي سگهي ٿو:
پريفڪس (ننڍو اکر) i: p: b: n:
آبجيڪٽ انسٽنس جا نالا پورٽ جا نالا (سڄو پورٽ) پورٽ جو بِٽ سلائس نيٽ نالا
VHDL ماڊلز ۾، هيٺ ڏنل نحو استعمال ڪريو مثال طور، پورٽ، ۽ نيٽ
VHDL ماڊلز ۾ نالا:
v: سيل [.view] [اڳوڻي:] شئي جو نالو
جتي v: ان کي هڪ طور سڃاڻي ٿو view آبجيڪٽ، لِب لائبريري جو نالو آهي، سيل ڊيزائن انٽيٽي جو نالو آهي، view آرڪيٽيڪچر لاءِ هڪ نالو آهي، پريفڪس هڪ پريفڪس آهي جيڪو ساڳئي نالي سان شين جي سڃاڻپ ڪري ٿو، ۽ objectName هڪ مثال رستو آهي جنهن ۾ ڊاٽ (.) سيپريٽر آهي. View صرف تڏهن گهربل آهي جڏهن ڊيزائن لاءِ هڪ کان وڌيڪ آرڪيٽيڪچر هجي. شين جي اڳڪٿين لاءِ مٿي ڏنل جدول ڏسو.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 55
باب 3: ان پٽ تيار ڪرڻ
پابندي سان ڪم ڪرڻ Files
· نالن سان ملندڙ وائلڊ ڪارڊ * آهن (ستارو ڪنهن به تعداد سان ملندو آهي
اکر) ۽ ? (سوال جو نشان هڪ واحد اکر سان ملندو آهي). اهي اکر درجي بندي جدا ڪندڙ طور استعمال ٿيندڙ نقطن سان نه ملندا آهن. مثال طورample، هيٺ ڏنل اسٽرنگ اسٽيٽ موڊ ماڊيول ۾ اسٽيٽريگ انسٽنس جي سڀني بٽس کي سڃاڻي ٿي:
مان: اسٽيٽ موڊ. اسٽيٽريگ[*]
پابندي جي جانچ Files
توهان پنهنجي رڪاوٽ تي نحو ۽ ٻي لاڳاپيل معلومات چيڪ ڪري سگهو ٿا fileڪنسٽرنٽ چيڪ ڪمانڊ استعمال ڪندي. ڪنسٽرنٽ رپورٽ ٺاهڻ لاءِ، هيٺيان ڪريو:
1. هڪ رڪاوٽ ٺاهيو file ۽ ان کي پنهنجي منصوبي ۾ شامل ڪريو.
2. رن->ڪانسٽرنٽ چيڪ چونڊيو.
هي ڪمانڊ هڪ رپورٽ ٺاهي ٿو جيڪا FPGA سنٿيسس رڪاوٽ ۾ وقت جي پابندين جي نحو ۽ لاڳو ٿيڻ جي جانچ ڪري ٿي. fileتوهان جي منصوبي لاءِ. رپورٽ projectName_cck.rpt تي لکيل آهي. file ۽ هيٺ ڏنل معلومات جي فهرست ڏئي ٿو:
پابنديون جيڪي لاڳو نه ٿيون ٿين پابنديون جيڪي صحيح آهن ۽ ڊيزائن تي لاڳو ٿين ٿيون پابندين تي وائلڊ ڪارڊ توسيع شيون تي پابنديون جيڪي موجود نه آهن
هن رپورٽ جي تفصيل لاءِ، ريفرنس مينوئل جي صفحي 270 تي پابندي چيڪنگ رپورٽ ڏسو.
© 2014 سينوپسس، انڪارپوريٽڊ 56
LO
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
باب 4
منطقي سنٿيسس پروجيڪٽ قائم ڪرڻ
جڏهن توهان Synopsys FPGA سنٿيسس ٽولز سان ڪنهن ڊيزائن کي سنٿيسس ڪندا آهيو، ته توهان کي پنهنجي ڊيزائن لاءِ هڪ پروجيڪٽ قائم ڪرڻ گهرجي. هيٺ ڏنل منطق جي سنٿيسس لاءِ پروجيڪٽ قائم ڪرڻ جي طريقيڪار کي بيان ڪري ٿو:
· منصوبو قائم ڪرڻ Files، صفحي 58 تي · پروجيڪٽ جو انتظام File درجي بندي، صفحي 66 تي · عملدرآمد کي ترتيب ڏيڻ، صفحي 72 تي · منطق جي سنٿيسس عملدرآمد جا آپشن مقرر ڪرڻ، صفحي 75 تي · خاصيتون ۽ هدايتون بيان ڪرڻ، صفحي 90 تي · ڳولا Files، صفحي 98 تي · آرڪائيو ڪرڻ Files ۽ منصوبا، صفحي 101 تي
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 57
باب 4: هڪ منطقي سنٿيسس پروجيڪٽ قائم ڪرڻ
پروجيڪٽ قائم ڪرڻ Files
پروجيڪٽ قائم ڪرڻ Files
هي حصو هڪ منصوبي کي قائم ڪرڻ ۽ منظم ڪرڻ جي بنيادي ڳالهين کي بيان ڪري ٿو. file توهان جي ڊيزائن لاءِ، هيٺ ڏنل معلومات سميت:
· هڪ منصوبو ٺاهڻ File، صفحي 58 تي · هڪ موجوده پروجيڪٽ کولڻ File، صفحي 61 تي · منصوبي ۾ تبديليون ڪرڻ، صفحي 62 تي · منصوبي جي ترتيب View صفحي 63 تي ترجيحات ڏيکاريو · پراڻي پروجيڪٽ ۾ ويري لاگ کي اپڊيٽ ڪرڻ ۾ رستا شامل آهن Files، صفحي 65 تي
هڪ مخصوص اڳوڻي لاءِampهڪ منصوبو قائم ڪرڻ تي file، جيڪو اوزار توهان استعمال ڪري رهيا آهيو ان لاءِ سبق ڏسو.
پروجيڪٽ ٺاهڻ File
توهان کي هڪ منصوبو قائم ڪرڻ گهرجي. file هر منصوبي لاءِ. هڪ منصوبي ۾ هڪ خاص ڊيزائن لاءِ گهربل ڊيٽا شامل آهي: ذريعن جي فهرست files، ترڪيب جا نتيجا file، ۽ توهان جي ڊوائيس آپشن سيٽنگون. هيٺ ڏنل طريقيڪار توهان کي ڏيکاري ٿو ته هڪ پروجيڪٽ ڪيئن سيٽ اپ ڪجي file انفرادي حڪمن کي استعمال ڪندي.
1. ھيٺين مان ڪنھن ھڪ کي چونڊڻ سان شروع ڪريو: File-> منصوبو ٺاهيو، File-> پراجيڪٽ کوليو، يا پي آئڪن. نئون پراجيڪٽ تي ڪلڪ ڪريو.
پروجيڪٽ ونڊو هڪ نئون پروجيڪٽ ڏيکاري ٿي. شامل ڪريو تي ڪلڪ ڪريو File بٽڻ دٻايو، F4 دٻايو، يا پروجيڪٽ چونڊيو-> ذريعو شامل ڪريو File حڪم. شامل ڪريو Files کان پروجيڪٽ ڊائلاگ باڪس کلي ٿو.
2. ذريعو شامل ڪريو files منصوبي ڏانهن.
پڪ ڪريو ته فارم جي مٿي تي "Look in" فيلڊ ساڄي طرف اشارو ڪري ٿو.
ڊاريڪٽري. جي files دٻي ۾ درج ٿيل آهن. جيڪڏهن توهان نه ٿا ڏسو files، چيڪ ڪريو ته Fileقسم جي فيلڊ صحيح ڏيکارڻ لاءِ سيٽ ڪئي وئي آهي file قسم. جيڪڏهن توهان وٽ ملايو ان پٽ آهي files، مخلوط ٻولي جي ذريعن کي استعمال ڪرڻ ۾ بيان ڪيل طريقيڪار تي عمل ڪريو Files، صفحي 44 تي.
LO
© 2014 سينوپسس، انڪارپوريٽڊ 58
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
پروجيڪٽ قائم ڪرڻ Files
باب 4: هڪ منطقي سنٿيسس پروجيڪٽ قائم ڪرڻ
سڀ شامل ڪرڻ لاءِ fileهڪ ڀيرو ڊاريڪٽري ۾، سڀ شامل ڪريو بٽڻ تي ڪلڪ ڪريو
فارم جي ساڄي پاسي. شامل ڪرڻ لاءِ fileانفرادي طور تي، تي ڪلڪ ڪريو file فهرست ۾ ۽ پوءِ شامل ڪريو بٽڻ تي ڪلڪ ڪريو، يا ڊبل ڪلڪ ڪريو file نالو.
توهان سڀ شامل ڪري سگهو ٿا fileڊاريڪٽري ۾ s ۽ پوءِ هٽايو بٽڻ سان انهن کي هٽايو جيڪي توهان کي گهربل نه آهن.
جيڪڏهن توهان VHDL شامل ڪري رهيا آهيو files، VHDL لائبريري پاپ اپ مينيو مان مناسب لائبريري چونڊيو. توهان جي چونڊيل لائبريري سڀني VHDL تي لاڳو ٿيندي آهي. fileجڏهن توهان ڊائلاگ باڪس ۾ OK تي ڪلڪ ڪندا آهيو.
توهان جي پروجيڪٽ ونڊو هڪ نئون پروجيڪٽ ڏيکاري ٿي. file. جيڪڏهن توهان منصوبي جي اڳيان پلس جي نشاني تي ڪلڪ ڪندا ۽ ان کي وڌايو، ته توهان هيٺ ڏنل ڏسندا:
هڪ فولڊر (مخلوط ٻولي ڊيزائن لاءِ ٻه فولڊر) ماخذ سان files.
جيڪڏهن توهان جي files پروجيڪٽ ڊاريڪٽري جي هيٺان ڪنهن فولڊر ۾ نه آهن، توهان آپشنز->پروجيڪٽ کي منتخب ڪندي هي ترجيح سيٽ ڪري سگهو ٿا. View اختيارن ۽ جانچ ڪرڻ View پروجيڪٽ fileفولڊر باڪس ۾. هي هڪ قسم کي الڳ ڪري ٿو file منصوبي ۾ ٻئي کان view انهن کي الڳ الڳ فولڊر ۾ رکڻ سان.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 59
باب 4: هڪ منطقي سنٿيسس پروجيڪٽ قائم ڪرڻ
پروجيڪٽ قائم ڪرڻ Files
عملدرآمد، جنهن کي ڊفالٽ طور تي rev_1 جو نالو ڏنو ويو آهي. عملدرآمد آهن
سنٿيسس سافٽ ويئر جي حوالي سان توهان جي ڊيزائن جي نظرثاني، ۽ ٻاهرين سورس ڪوڊ ڪنٽرول سافٽ ويئر ۽ عملن کي تبديل نه ڪريو. ڪيترائي لاڳو ڪرڻ توهان کي ڊيزائن جي اختيارن کي ڳولڻ لاءِ ڊوائيس ۽ سنٿيسس آپشنز کي تبديل ڪرڻ جي اجازت ڏين ٿا. توهان Synplify Pro ۾ ڪيترائي لاڳو ڪري سگهو ٿا. هر لاڳو ڪرڻ جا پنهنجا سنٿيسس ۽ ڊوائيس آپشن آهن ۽ ان جو پنهنجو پروجيڪٽ سان لاڳاپيل آهي. files.
3. ويريلاگ يا وي ايڇ ڊي ايل لائبريري شامل ڪرڻ لاءِ پوئين مرحلي ۾ بيان ڪيل طريقو استعمال ڪندي، توهان کي گهربل لائبريريون شامل ڪريو. file.
وينڊر مخصوص لائبريرين لاءِ، مناسب لائبريري شامل ڪريو file ڏانهن
پروجيڪٽ. نوٽ ڪريو ته ڪجھ خاندانن لاءِ، لائبريريون خودڪار طريقي سان لوڊ ٿينديون آهن ۽ توهان کي انهن کي منصوبي ۾ واضح طور تي شامل ڪرڻ جي ضرورت ناهي. file.
ٽئين پارٽي VHDL پيڪيج لائبريري شامل ڪرڻ لاءِ، مناسب .vhd شامل ڪريو file ڊيزائن ڏانهن، جيئن قدم 2 ۾ بيان ڪيو ويو آهي. ساڄي ڪلڪ ڪريو file منصوبي ۾ view ۽ چونڊيو File آپشنز، يا پراجيڪٽ چونڊيو-> VHDL لائبريري سيٽ ڪريو. لائبريري جو نالو بيان ڪريو جيڪو سموليٽر سان مطابقت رکي ٿو. مثال طورample، MYLIB. پڪ ڪريو ته هي پيڪيج لائبريري فهرست ۾ مٿين سطح جي ڊيزائن کان اڳ آهي fileمنصوبي ۾ view.
ويريلاگ ۽ وي ايڇ ڊي ايل سيٽنگ بابت معلومات لاءِ file آپشنز، صفحي 84 تي Verilog ۽ VHDL آپشنز سيٽنگ ڏسو. توهان انهن کي پڻ سيٽ ڪري سگهو ٿا file آپشنز بعد ۾، سنٿيسس هلائڻ کان اڳ.
وينڊر ميڪرو لائبريريون ۽ ڪاري بلو آڪس استعمال ڪرڻ بابت اضافي وينڊر مخصوص معلومات لاءِ، صفحي 487 تي مائڪروسيمي ڊيزائن لاءِ اصلاح ڏسو.
عام ٽيڪنالاجي حصن لاءِ، توهان يا ته شامل ڪري سگهو ٿا
ٽيڪنالاجي کان آزاد ويريلاگ لائبريري سافٽ ويئر سان گڏ فراهم ڪئي وئي آهي
© 2014 سينوپسس، انڪارپوريٽڊ 60
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
پروجيڪٽ قائم ڪرڻ Files
باب 4: هڪ منطقي سنٿيسس پروجيڪٽ قائم ڪرڻ
(install_dir/lib/generic_ technology/gtech.v) پنھنجي ڊيزائن ۾ شامل ڪريو، يا پنھنجي عام جزو لائبريري شامل ڪريو. ٻنهي کي گڏ استعمال نه ڪريو ڇو ته تڪرار ٿي سگھي ٿو.
4. چيڪ ڪريو file منصوبي ۾ آرڊر view. File آرڊر خاص طور تي VHDL لاءِ اهم آهي. files.
وي ايڇ ڊي ايل لاءِ files، توهان خودڪار طريقي سان آرڊر ڪري سگهو ٿا files پاران
رن->آرينج وي ايڇ ڊي ايل چونڊڻ Files. متبادل طور تي، دستي طور تي منتقل ڪريو fileمنصوبي ۾ view. پئڪيج files کي فهرست ۾ پهرين هجڻ گهرجي ڇاڪاڻ ته اهي استعمال ٿيڻ کان اڳ مرتب ڪيا ويندا آهن. جيڪڏهن توهان وٽ ڊيزائن بلاڪ ڪيترن ئي ۾ پکڙيل آهن files، پڪ ڪريو ته توهان وٽ هيٺ ڏنل آهن file آرڊر: جي file جنهن ۾ ادارو شامل هجي، اهو پهريون هجڻ گهرجي، ان کان پوءِ آرڪيٽيڪچر file، ۽ آخرڪار file ترتيب سان.
منصوبي ۾ view، چيڪ ڪريو ته آخري file منصوبي ۾ view آهي
اعليٰ سطحي ذريعو file. متبادل طور تي، توهان مٿين سطح جي وضاحت ڪري سگهو ٿا file جڏهن توهان ڊوائيس جا آپشن سيٽ ڪندا آهيو.
5. چونڊيو File-> محفوظ ڪريو، منصوبي لاءِ نالو لکو، ۽ محفوظ ڪريو تي ڪلڪ ڪريو. منصوبي جي ونڊو توهان جي تبديلين کي ظاهر ڪري ٿي.
6. هڪ منصوبو بند ڪرڻ لاءِ file، بند ڪريو پروجيڪٽ بٽڻ چونڊيو يا File-> منصوبو بند ڪريو.
موجوده منصوبي کي کولڻ File
پروجيڪٽ کولڻ جا ٻه طريقا آهن file: اوپن پراجيڪٽ ۽ عام File -> حڪم کوليو.
1. جيڪڏهن توهان جيڪو منصوبو کولڻ چاهيو ٿا اهو اهڙو آهي جنهن تي توهان تازو ڪم ڪيو آهي، ته توهان ان کي سڌو سنئون چونڊي سگهو ٿا: File-> تازا منصوبا-> منصوبي جو نالو.
2. ڪنهن به منصوبي کي کولڻ لاءِ هيٺ ڏنل طريقن مان هڪ استعمال ڪريو file:
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 61
باب 4: هڪ منطقي سنٿيسس پروجيڪٽ قائم ڪرڻ
پروجيڪٽ قائم ڪرڻ Files
پراجيڪٽ ڪمانڊ کوليو
File-> ڪمانڊ کوليو
چونڊيو File-> پراجيڪٽ کوليو، پراجيڪٽ ونڊو جي کاٻي پاسي اوپن پراجيڪٽ بٽڻ تي ڪلڪ ڪريو، يا پي آئڪن تي ڪلڪ ڪريو.
تازو پروجيڪٽ کولڻ لاءِ، تازو پروجيڪٽن جي فهرست مان ان تي ڊبل ڪلڪ ڪريو.
ٻي صورت ۾، اوپن ڊائلاگ باڪس کولڻ لاءِ موجوده پروجيڪٽ بٽڻ تي ڪلڪ ڪريو ۽ پروجيڪٽ چونڊيو.
چونڊيو File-> کليل.
"Look In:" فيلڊ ۾ صحيح ڊاريڪٽري بيان ڪريو.
مقرر File منصوبي جي قسم جو Files (*.prj). دٻي ۾ پروجيڪٽ جي فهرست ڏنل آهي. files.
جيڪو منصوبو توهان کولڻ چاهيو ٿا ان تي ڊبل ڪلڪ ڪريو.
پروجيڪٽ پروجيڪٽ ونڊو ۾ کلي ٿو.
منصوبي ۾ تبديليون ڪرڻ
عام طور تي، توهان شامل ڪريو، ختم ڪريو، يا تبديل ڪريو files.
1. ذريعو يا رڪاوٽ شامل ڪرڻ لاءِ fileهڪ منصوبي ڏانهن، شامل ڪريو چونڊيو Files بٽڻ يا پروجيڪٽ-> ذريعو شامل ڪريو File چونڊ کي کولڻ لاءِ Files کي پراجيڪٽ ڊائلاگ باڪس ۾ شامل ڪرڻ لاءِ. ڏسو پراجيڪٽ ٺاهڻ File، تفصيل لاءِ صفحي 58 تي.
2. حذف ڪرڻ a file هڪ منصوبي مان، ڪلڪ ڪريو file پروجيڪٽ ونڊو ۾، ۽ ڊيليٽ ڪي دٻايو.
3. هڪ کي تبديل ڪرڻ لاءِ file هڪ منصوبي ۾،
منتخب ڪريو file توهان پروجيڪٽ ونڊو ۾ تبديل ڪرڻ چاهيو ٿا.
تبديلي تي ڪلڪ ڪريو File بٽڻ، يا پروجيڪٽ->تبديلي چونڊيو File.
ذريعو ۾ File ڊائلاگ باڪس جيڪو کلي ٿو، ڊاريڪٽري ۾ Look In سيٽ ڪريو.
جتي نئون file واقع آهي. نئون file ساڳئي قسم جو هجڻ گهرجي جيئن file توھان کي تبديل ڪرڻ چاھيو ٿا.
جيڪڏهن توهان پنهنجي نه ٿا ڏسو file فهرست ۾، قسم چونڊيو file توهان کي گهرجي ته مان
جي Fileقسم جي فيلڊ جا s.
ڊبل ڪلڪ ڪريو file. نئون file منصوبي ۾ پراڻي کي تبديل ڪري ٿو
فهرست. LO
4. منصوبي جي وضاحت ڪرڻ لاءِ files پروجيڪٽ ۾ محفوظ ٿيل آهن، a تي ساڄي ڪلڪ ڪريو file منصوبي ۾ view ۽ چونڊيو File آپشنز. محفوظ ڪريو سيٽ ڪريو File يا ته منصوبي جي نسبتي يا مطلق رستي جو اختيار.
© 2014 سينوپسس، انڪارپوريٽڊ 62
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
پروجيڪٽ قائم ڪرڻ Files
باب 4: هڪ منطقي سنٿيسس پروجيڪٽ قائم ڪرڻ
5. وقت جي چڪاس ڪرڻ لاءِamp تي a file، هڪ تي صحيح ڪلڪ ڪريو file منصوبي ۾ view ۽ چونڊيو File آپشن. وقت چيڪ ڪريو ته file آخري ڀيرو ترميم ڪئي وئي هئي. ٺيڪ تي ڪلڪ ڪريو.
سيٽنگ پروجيڪٽ View ڊسپلي ترجيحات
توهان منصوبي جي تنظيم ۽ ڊسپلي کي ترتيب ڏئي سگهو ٿا. files. 1. آپشن->پروجيڪٽ چونڊيو View آپشنز. پروجيڪٽ View آپشن فارم کلي ٿو.
2. مختلف قسمن جي ان پٽ کي منظم ڪرڻ files الڳ الڳ فولڊر ۾، چيڪ ڪريو View پروجيڪٽ Fileفولڊرن ۾.
هن آپشن کي چيڪ ڪرڻ سان پراجيڪٽ ۾ الڳ فولڊر ٺهندا آهن. view پابندي لاءِ fileايس ۽ ذريعو files.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 63
باب 4: هڪ منطقي سنٿيسس پروجيڪٽ قائم ڪرڻ
پروجيڪٽ قائم ڪرڻ Files
3. ڪنٽرول file هيٺ ڏنل سان ڏيکاريو:
خودڪار طريقي سان سڀ ڏيکاريو files، شو پراجيڪٽ لائبريري چيڪ ڪندي. جيڪڏهن
هي چيڪ نه ڪيو ويو آهي، پروجيڪٽ view ڏيکاري نٿو fileجيستائين توهان پلس جي علامت تي ڪلڪ نه ڪريو ۽ وڌايو files فولڊر ۾.
پروجيڪٽ ۾ ڪنهن هڪ خاني تي چيڪ ڪريو. File نالو ڊسپلي سيڪشن جو
ڪيئن طئي ڪرڻ لاءِ فارم fileنالا ڏيکاريا ويا آهن. توهان صرف ڏيکاري سگهو ٿا fileنالو، نسبتي رستو، يا مطلق رستو.
4. ڏانهن view پروجيڪٽ fileڪسٽمائيز ٿيل ڪسٽم فولڊرن ۾، چيڪ ڪريو View پروجيڪٽ Fileڪسٽم فولڊرز ۾. وڌيڪ معلومات لاءِ، صفحي 66 تي ڪسٽم فولڊر ٺاهڻ ڏسو. قسم جا فولڊر صرف تڏهن ڏيکاريا ويندا آهن جڏهن هڪ ڪسٽم فولڊر ۾ ڪيترائي قسم هوندا آهن.
ڪسٽم فولڊر
© 2014 سينوپسس، انڪارپوريٽڊ 64
LO
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
پروجيڪٽ قائم ڪرڻ Files
باب 4: هڪ منطقي سنٿيسس پروجيڪٽ قائم ڪرڻ
5. ساڳئي منصوبي ۾ هڪ کان وڌيڪ عملدرآمد کولڻ لاءِ view، Allow Multiple Projects to be Opened چيڪ ڪريو.
پروجيڪٽ 1
پروجيڪٽ 2
6. آئوٽ پُٽ کي ڪنٽرول ڪريو file هيٺ ڏنل سان ڏيکاريو:
سڀ ڏيکاريو چيڪ ڪريو Files کي رزلٽس ڊاريڪٽري باڪس ۾ دٻايو ته جيئن سڀ آئوٽ پُٽ ڏيکاري سگهجن.
files جي ترڪيب کان پوءِ پيدا ٿئي ٿو.
آئوٽ پُٽ تبديل ڪريو file هيڊر بار مان هڪ ۾ ڪلڪ ڪندي تنظيم
عملدرآمد جي نتيجن ۾ view. توهان گروپ ڪري سگهو ٿا files کي قسم جي لحاظ کان يا انهن کي آخري ڀيرو تبديل ڪرڻ جي تاريخ جي مطابق ترتيب ڏيو.
7. ڏانهن view file معلومات، چونڊيو file منصوبي ۾ view، ساڄي ڪلڪ ڪريو، ۽ چونڊيو File آپشن. مثال طورampلي، توهان تاريخ چيڪ ڪري سگهو ٿا a file تبديل ڪيو ويو.
پراڻي پروجيڪٽ ۾ ويريلاگ شامل رستا اپڊيٽ ڪرڻ Files
جيڪڏھن توھان وٽ ھڪڙو منصوبو آھي file سافٽ ويئر جي پراڻي ورزن (8.1 کان اڳ) سان ٺاهيل، ويريلاگ ۾ هن ۾ رستا شامل آهن file نتيجن جي ڊاريڪٽري يا ذريعن سان لاڳاپيل آهن file "شامل بيانن" سان. 8.1 کان پوءِ رليز ۾، پروجيڪٽ file `شامل رستا منصوبي سان لاڳاپيل آهن file صرف. تازين رليز ۾ GUI پراڻن prj کي خودڪار طريقي سان اپ گريڊ نٿو ڪري. fileنون قاعدن جي مطابق ڪرڻ لاءِ. پراڻي منصوبي کي اپ گريڊ ڪرڻ ۽ استعمال ڪرڻ لاءِ file، ھيٺين مان ھڪڙو ڪريو:
· هٿرادو طور تي prj ۾ تبديلي آڻيو file ٽيڪسٽ ايڊيٽر ۾ ۽ هيٺ ڏنل شامل ڪريو
هر set_option -include_path کان اڳ واري لائن:
سيٽ_آپشن -پروجيڪٽ_ريليٽو_شامل آهي 1
· سافٽ ويئر جي نئين ورزن سان هڪ نئون منصوبو شروع ڪريو ۽ ختم ڪريو
پراڻو منصوبو. هي نئون منصوبو ٺاهيندو file نئين قاعدي جي پيروي ڪريو جتي شامل آهن prj جي نسبت سان file.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 65
باب 4: هڪ منطقي سنٿيسس پروجيڪٽ قائم ڪرڻ
منصوبي جو انتظام File هيراڪي
منصوبي جو انتظام File هيراڪي
هيٺ ڏنل سيڪشن بيان ڪن ٿا ته توهان ڪسٽمائيز فولڊر ڪيئن ٺاهي ۽ منظم ڪري سگهو ٿا ۽ fileمنصوبي ۾ view:
· ڪسٽم فولڊر ٺاهڻ · ڪسٽم پروجيڪٽ فولڊرن کي ترتيب ڏيڻ · ڪسٽم کي ترتيب ڏيڻ Files
ڪسٽم فولڊر ٺاهڻ
توهان منطقي فولڊر ٺاهي سگهو ٿا ۽ ترتيب ڏئي سگهو ٿا fileتوهان جي پروجيڪٽ اندر مختلف درجي بندي گروپن ۾ view. اهي فولڊر ڪنهن به نالي يا درجي بندي جي سطح سان بيان ڪري سگھجن ٿا. مثال طورampلي، توهان پنهنجي آپريٽنگ سسٽم کي من ماني ڪري سگهو ٿا file ساخت يا HDL منطق جي ترتيب. ڪسٽم فولڊر انهن جي نيري رنگ سان نمايان آهن.
ڪسٽم فولڊر ٺاهڻ ۽ پوءِ شامل ڪرڻ جا ڪيترائي طريقا آهن fileهڪ منصوبي ۾ انهن کي s. هيٺ ڏنل طريقن مان هڪ استعمال ڪريو:
1. ڪنهن به منصوبي تي ساڄي ڪلڪ ڪريو file يا ٻيو ڪسٽم فولڊر ۽ پاپ اپ مينيو مان فولڊر شامل ڪريو چونڊيو. پوءِ هيٺ ڏنل مان ڪو به ڪريو file آپريشن:
ساڄي ڪلڪ ڪرڻ سان اهو ظاهر ٿئي ٿو
انهيءَ تي
فائيو لو ايل سي آر اوفنائليسٽيهنڊر
چونڊيو چونڊيو
فولڊر ۾ رکو. هڪ ذيلي مينيو هڪ موجوده فولڊر يا ٺاهيو
a
نئون فولڊر.
© 2014 سينوپسس، انڪارپوريٽڊ 66
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
منصوبي جو انتظام File هيراڪي
باب 4: هڪ منطقي سنٿيسس پروجيڪٽ قائم ڪرڻ
ياد رهي ته توهان فولڊر کي من ماني طور تي نالو ڏئي سگهو ٿا، پر (/) اکر استعمال نه ڪريو ڇاڪاڻ ته هي هڪ درجي بندي جدا ڪندڙ علامت آهي.
فولڊر جو نالو تبديل ڪرڻ لاءِ، فولڊر تي ساڄي ڪلڪ ڪريو ۽ "Rename from" چونڊيو.
پاپ اپ مينيو. فولڊر جو نالو تبديل ڪريو ڊائلاگ باڪس ظاهر ٿيندو؛ هڪ نئون نالو بيان ڪريو.
2. شامل ڪريو استعمال ڪريو Fileفولڊر جي پوري مواد کي شامل ڪرڻ لاءِ پروجيڪٽ ڊائلاگ باڪس ڏانهن s، ۽ اختياري طور تي رکڻ لاءِ fileڊائلاگ باڪس ڊسپلي ۾ درج ٿيل OS فولڊر جي درجي بندي سان لاڳاپيل ڪسٽم فولڊرن ۾ s.
هن کي ڪرڻ لاءِ، شامل ڪريو چونڊيو File پروجيڪٽ ۾ بٽڻ view.
ڊائلاگ باڪس مان ڪنهن به گهربل فولڊر جهڙوڪ dsp چونڊيو، پوءِ
شامل ڪريو بٽڻ تي ڪلڪ ڪريو. هي سڀ رکي ٿو files کي dsp hierarchy مان ڪسٽم فولڊر ۾ جيڪو توهان ٺاهيو آهي.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 67
باب 4: هڪ منطقي سنٿيسس پروجيڪٽ قائم ڪرڻ
منصوبي جو انتظام File هيراڪي
خودڪار طريقي سان رکڻ لاءِ fileسان لاڳاپيل ڪسٽم فولڊرن ۾ s
او ايس فولڊر جي درجي بندي، ايڊ نالي آپشن کي چيڪ ڪريو Fileڊائلاگ باڪس تي ڪسٽم فولڊرز ڏانهن s.
ڊفالٽ طور، ڪسٽم فولڊر جو نالو فولڊر جي نالي سان ساڳيو هوندو آهي.
تي مشتمل fileپروجيڪٽ ۾ شامل ڪرڻ لاءِ s يا فولڊر. بهرحال، توهان فولڊرز آپشن بٽڻ تي ڪلڪ ڪري فولڊرن جا نالا ڪيئن رکيا وڃن ان کي تبديل ڪري سگهو ٿا. هيٺ ڏنل ڊائلاگ باڪس ڏيکاريل آهي.
استعمال ڪرڻ لاء:
صرف اهو فولڊر جنهن ۾ fileفولڊر جي نالي لاءِ، يوز او ايس تي ڪلڪ ڪريو
فولڊر جو نالو.
چونڊيل فولڊر جي رستي جو نالو جيڪو سطح جو تعين ڪري ٿو
ڪسٽم فولڊر رستي لاءِ ظاهر ڪيل درجي بندي.
© 2014 سينوپسس، انڪارپوريٽڊ 68
LO
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
منصوبي جو انتظام File هيراڪي
باب 4: هڪ منطقي سنٿيسس پروجيڪٽ قائم ڪرڻ
3. توهان ڇڪي ۽ ڇڏي سگهو ٿا fileاو ايس ايڪسپلورر ايپليڪيشن مان فائلون ۽ فولڊر پروجيڪٽ ۾ view. هي خصوصيت KDE هلائيندڙ ونڊوز ۽ لينڪس ڊيسڪٽاپس تي موجود آهي.
جڏهن توهان ڇڪيو ۽ ڇڏيو a file، اهو فوري طور تي منصوبي ۾ شامل ڪيو ويندو.
جيڪڏهن ڪو به منصوبو کليل نه آهي، ته سافٽ ويئر هڪ منصوبو ٺاهيندو آهي.
جڏهن توهان ڇڪيو ۽ ڇڏيو a file هڪ فولڊر جي مٿان، ان کي ان ۾ رکيو ويندو
فولڊر. شروعات ۾، شامل ڪريو Files to Project ڊائلاگ باڪس ظاهر ٿيندو جيڪو توهان کان تصديق ڪرڻ لاءِ پڇندو files کي منصوبي ۾ شامل ڪيو ويندو. توهان قبول ڪرڻ لاءِ ٺيڪ تي ڪلڪ ڪري سگهو ٿا files. جيڪڏهن توهان تبديليون ڪرڻ چاهيو ٿا، ته توهان سڀ هٽايو بٽڻ تي ڪلڪ ڪري سگهو ٿا ۽ هڪ نئون فلٽر يا آپشن بيان ڪري سگهو ٿا.
نوٽ: پراجيڪٽ ۾ ڪسٽم فولڊر ڏيکارڻ لاءِ view، آپشن->پروجيڪٽ چونڊيو View آپشن مينيو، پوءِ چيڪ باڪس کي فعال/غير فعال ڪريو View پروجيڪٽ Fileڊائلاگ باڪس تي ڪسٽم فولڊرز ۾ s.
ڪسٽم پروجيڪٽ فولڊرن کي ترتيب ڏيڻ
هيٺ ڏنل عمل بيان ڪري ٿو ته توهان ڪيئن هٽائي سگهو ٿا fileفولڊرن مان فائلون ڊائون لوڊ ڪريو، فولڊرن کي حذف ڪريو، ۽ فولڊر جي درجي بندي کي تبديل ڪريو.
1. هڪ هٽائڻ لاء file ڪسٽم فولڊر مان، يا ته:
ان کي ٻئي فولڊر ۾ يا پروجيڪٽ تي ڇڪيو ۽ ڇڏيو. نمايان ڪريو file، ساڄي ڪلڪ ڪريو ۽ فولڊر مان هٽايو چونڊيو
پاپ اپ مينيو.
Delete (DEL) ڪي استعمال نه ڪريو، ڇاڪاڻ ته هي هٽائي ٿو file منصوبي مان.
2. ڪسٽم فولڊر کي ختم ڪرڻ لاءِ، ان کي نمايان ڪريو پوءِ ساڄي ڪلڪ ڪريو ۽ پاپ اپ مينيو مان حذف ڪريو چونڊيو يا DEL بٽڻ دٻايو. جڏهن توهان فولڊر کي حذف ڪريو ٿا، ته هيٺ ڏنل اختيارن مان هڪ ڪريو:
فولڊر کي ختم ڪرڻ لاءِ ها تي ڪلڪ ڪريو ۽ fileفولڊر ۾ موجود s کان
پروجيڪٽ.
فولڊر کي ختم ڪرڻ لاءِ نه تي ڪلڪ ڪريو.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 69
باب 4: هڪ منطقي سنٿيسس پروجيڪٽ قائم ڪرڻ
منصوبي جو انتظام File هيراڪي
3. ڪسٽم فولڊر جي درجي بندي کي تبديل ڪرڻ لاءِ:
فولڊر کي ٻئي فولڊر ۾ ڇڪيو ۽ ڇڏيو ته جيئن اهو هڪ ذيلي-
فولڊر يا پروجيڪٽ جي مٿان ان کي مٿين سطح تي منتقل ڪرڻ لاءِ.
ڪسٽم فولڊر جي مٿين سطح جي درجي بندي کي هٽائڻ لاءِ، ڇڪيو ۽ ڇڏيو
منصوبي تي گهربل ذيلي سطح جي درجي بندي. پوءِ فولڊر لاءِ خالي روٽ ڊاريڪٽري کي ختم ڪريو.
مثال طورample، جيڪڏهن موجوده ڪسٽم فولڊر ڊاريڪٽري آهي:
/مثالampليس/ويريلاگ/آر ٽي ايل
فرض ڪريو ته توهان صرف هڪ-سطح جي RTL درجي بندي چاهيو ٿا، پوءِ پروجيڪٽ تي RTL کي ڇڪيو ۽ ڇڏيو. ان کان پوءِ، توهان /Ex کي حذف ڪري سگهو ٿا.amples/Verilog ڊاريڪٽري.
ڪسٽم کي هٿي وٺرائڻ Files
اضافي طور تي، توهان هيٺ ڏنل قسمن جي ڪسٽمائيزيشن انجام ڏئي سگهو ٿا file آپريشن:
1. ڊسپلي کي دٻائڻ لاءِ fileٽائپ فولڊرز ۾ s، پراجيڪٽ ۾ ساڄي ڪلڪ ڪريو view ۽ پروجيڪٽ چونڊيو View آپشنز يا آپشنز->پروجيڪٽ چونڊيو View آپشن. آپشن کي بند ڪريو View پروجيڪٽ Fileڊائلاگ باڪس تي ٽائيپ فولڊرز ۾ s.
2. ڏيکارڻ لاءِ fileپروجيڪٽ آرڊر جي بدران الفابيٽ جي ترتيب ۾، ترتيب ڏيو چيڪ ڪريو Fileپروجيڪٽ ۾ s بٽڻ view ڪنٽرول پينل. ڪنٽرول پينل کي آن ۽ آف ڪرڻ لاءِ پينل جي هيٺئين کاٻي ڪنڊ ۾ هيٺيون تير واري بٽڻ تي ڪلڪ ڪريو.
© 2014 سينوپسس، انڪارپوريٽڊ 70
LO
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
منصوبي جو انتظام File هيراڪي
باب 4: هڪ منطقي سنٿيسس پروجيڪٽ قائم ڪرڻ
ڪنٽرول پينل ٽوگل
3. ترتيب تبديل ڪرڻ لاءِ fileمنصوبي ۾:
پڪ ڪريو ته ڪسٽم فولڊر ۽ ترتيب ڏيڻ کي بند ڪريو files. ڇڪيو ۽ ڇڏيو a file جي فهرست ۾ گهربل پوزيشن تي files.
4. تبديل ڪرڻ لاء file ٽائيپ ڪريو، ان کي نئين ٽائيپ فولڊر ۾ ڇڪيو ۽ ڇڏيو. سافٽ ويئر توهان کي تصديق لاءِ پڇندو.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 71
باب 4: هڪ منطقي سنٿيسس پروجيڪٽ قائم ڪرڻ
عملدرآمد قائم ڪرڻ
عملدرآمد قائم ڪرڻ
هڪ عملدرآمد هڪ منصوبي جو هڪ نسخو آهي، جيڪو پابندين ۽ ٻين سيٽنگن جي هڪ مخصوص سيٽ سان لاڳو ڪيو ويندو آهي. هڪ منصوبي ۾ ڪيترائي عملدرآمد شامل ٿي سگهن ٿا، هر هڪ پنهنجي سيٽنگن سان.
گھڻن عملن سان ڪم ڪرڻ
سنپلائيفائي پرو ٽول توهان کي ساڳئي ڊيزائن جا ڪيترائي لاڳو ڪرڻ ٺاهڻ ۽ پوءِ نتيجن جو مقابلو ڪرڻ جي اجازت ڏئي ٿو. هي توهان کي ساڳئي ڊيزائن لاءِ مختلف سيٽنگن سان تجربو ڪرڻ جي اجازت ڏئي ٿو. لاڳو ڪرڻ سنٿيسس سافٽ ويئر جي حوالي سان توهان جي ڊيزائن جي نظرثاني آهن، ۽ ٻاهرين سورس ڪوڊ ڪنٽرول سافٽ ويئر ۽ عملن کي تبديل نٿا ڪن.
1. لاڳو ڪرڻ شامل ڪريو بٽڻ تي ڪلڪ ڪريو يا پروجيڪٽ-> نئون لاڳو ڪرڻ چونڊيو ۽ نوان ڊوائيس آپشن (ڊيوائس ٽيب)، نوان آپشن (آپشن ٽيب)، يا هڪ نئون رڪاوٽ سيٽ ڪريو. file (پابنديون ٽيب).
سافٽ ويئر منصوبي ۾ هڪ ٻيو عمل درآمد پيدا ڪري ٿو view. نئين عملدرآمد جو نالو پوئين هڪ جهڙو ئي آهي، پر هڪ مختلف نمبر لاحقي سان. هيٺ ڏنل شڪل ٻه عملدرآمد ڏيکاري ٿي، rev1 ۽ rev2، موجوده (فعال) عملدرآمد کي نمايان ڪندي.
نئين عمل درآمد ساڳيو سورس ڪوڊ استعمال ڪري ٿو. files، پر مختلف ڊوائيس آپشن ۽ رڪاوٽون. اهو ڪجهه نقل ڪري ٿو fileپوئين عمل درآمد مان s: tlg لاگ file، ايس آر ايس آر ٽي ايل نيٽ لسٽ file، ۽ design_fsm.sdc file ايف ايس ايم ايڪسپلورر پاران تيار ڪيل. سافٽ ويئر سنٿيسس رن جي ورجائي سگهجي ٿي تاريخ رکي ٿو.
© 2014 سينوپسس، انڪارپوريٽڊ 72
LO
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
عملدرآمد قائم ڪرڻ
باب 4: هڪ منطقي سنٿيسس پروجيڪٽ قائم ڪرڻ
2. نئين سيٽنگن سان ٻيهر سنٿيسس هلايو.
صرف موجوده عمل درآمد کي هلائڻ لاءِ، رن تي ڪلڪ ڪريو.
ڪنهن به منصوبي ۾ سڀني عملن کي هلائڻ لاءِ، رن-> رن آل چونڊيو.
عملدرآمد.
توهان هڪ مختلف حصو آزمائڻ يا مختلف فريڪوئنسي سان تجربو ڪرڻ لاءِ ڪيترائي لاڳو ڪرڻ استعمال ڪري سگهو ٿا. سيٽنگ آپشنز بابت معلومات لاءِ صفحي 75 تي سيٽنگ لاجڪ سنٿيسس لاڳو ڪرڻ جا آپشن ڏسو.
منصوبو view سڀني عملدرآمدن کي ڏيکاري ٿو فعال عملدرآمد کي نمايان ٿيل ۽ لاڳاپيل آئوٽ پُٽ سان fileعملدرآمد جي نتيجن ۾ ڏيکاريل فعال عملدرآمد لاءِ تيار ڪيل s view ساڄي پاسي؛ فعال عمل درآمد کي تبديل ڪرڻ سان آئوٽ پُٽ تبديل ٿئي ٿو file ڊسپلي. واچ ونڊو فعال عملدرآمد جي نگراني ڪري ٿي. جيڪڏهن توهان هن ونڊو کي سڀني عملدرآمدن کي ڏسڻ لاءِ ترتيب ڏيو ٿا، ته نئون عملدرآمد ونڊو ۾ خودڪار طريقي سان اپڊيٽ ٿي ويندو.
3. نتيجن جو مقابلو ڪريو.
چونڊيل معيارن جو مقابلو ڪرڻ لاءِ واچ ونڊو استعمال ڪريو. پڪ ڪريو ته سيٽ ڪريو
اهي عمل جيڪي توهان ڪنفيگر واچ ڪمانڊ سان مقابلو ڪرڻ چاهيو ٿا. تفصيل لاءِ صفحي 190 تي واچ ونڊو استعمال ڪندي ڏسو.
تفصيلن جي مقابلي لاءِ، لاگ جو مقابلو ڪريو file نتيجا.
4. ڪنهن به عمل درآمد جو نالو تبديل ڪرڻ لاءِ، پروجيڪٽ ۾ عمل درآمد جي نالي تي ساڄي مائوس بٽڻ تي ڪلڪ ڪريو. view، پاپ اپ مينيو مان تبديلي جو نالو چونڊيو، ۽ هڪ نئون نالو لکو.
نوٽ ڪريو ته موجوده UI عملدرآمد کي اوور رائٽ ڪري ٿو؛ 9.0 کان اڳ رليز عملدرآمد کي نالو تبديل ڪرڻ لاءِ محفوظ رکن ٿا.
5. ڪنهن به عمل درآمد کي نقل ڪرڻ لاءِ، منصوبي ۾ عمل درآمد جي نالي تي ساڄي مائوس بٽڻ تي ڪلڪ ڪريو. view، پاپ اپ مينيو مان ڪاپي امپليمينٽيشن چونڊيو، ۽ ڪاپي لاءِ نئون نالو ٽائيپ ڪريو.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 73
باب 4: هڪ منطقي سنٿيسس پروجيڪٽ قائم ڪرڻ
عملدرآمد قائم ڪرڻ
6. ڪنهن به عمل درآمد کي ختم ڪرڻ لاءِ، منصوبي ۾ عمل درآمد جي نالي تي ساڄي مائوس بٽڻ تي ڪلڪ ڪريو. view، ۽ پاپ اپ مينيو مان Remove Implementation چونڊيو.
© 2014 سينوپسس، انڪارپوريٽڊ 74
LO
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
منطق جي سنٿيسس جي عمل درآمد جا آپشن سيٽ ڪرڻ باب 4: منطق جي سنٿيسس پروجيڪٽ کي سيٽ ڪرڻ
منطق جي سنٿيسس جي عمل درآمد جا آپشن مقرر ڪرڻ
توهان پنهنجي سنٿيسس لاڳو ڪرڻ لاءِ عالمي آپشن سيٽ ڪري سگهو ٿا، جن مان ڪجهه ٽيڪنالاجي لاءِ مخصوص آهن. هي سيڪشن بيان ڪري ٿو ته ڪيئن عالمي آپشن سيٽ ڪجي جهڙوڪ ڊوائيس، اصلاح، ۽ file عمل درآمد جي اختيارن جي حڪم سان اختيارن. عمل درآمد لاءِ پابنديون مقرر ڪرڻ بابت معلومات لاءِ، صفحي 119 تي SCOPE پابنديون بيان ڪرڻ ڏسو. انفرادي خاصيتن يا هدايتن سان عالمي سيٽنگن کي اوور رائڊنگ ڪرڻ بابت معلومات لاءِ، صفحي 90 تي خاصيتون ۽ هدايتون بيان ڪرڻ ڏسو.
هي حصو هيٺين موضوعن تي بحث ڪري ٿو:
· صفحي 75 تي ڊوائيس آپشنز سيٽ ڪرڻ · صفحي 78 تي اصلاح جا آپشن سيٽ ڪرڻ · عالمي فريڪوئنسي ۽ پابندي جي وضاحت ڪرڻ Files، صفحي 80 تي · نتيجن جا آپشن بيان ڪرڻ، صفحي 82 تي · وقت جي رپورٽ آئوٽ پُٽ بيان ڪرڻ، صفحي 84 تي · Verilog ۽ VHDL آپشن سيٽ ڪرڻ، صفحي 84 تي
ڊوائيس جا آپشن سيٽ ڪرڻ
ڊوائيس آپشنز عالمي اختيارن جو حصو آهن جيڪي توهان سنٿيسس رن لاءِ سيٽ ڪري سگهو ٿا. انهن ۾ حصو چونڊ (ٽيڪنالاجي، حصو ۽ رفتار گريڊ) ۽ عمل درآمد جا آپشن (I/O داخل ڪرڻ ۽ فين آئوٽ) شامل آهن. انهن اختيارن جا آپشن ۽ عمل درآمد ٽيڪنالاجي کان ٽيڪنالاجي تائين مختلف ٿي سگهن ٿا، تنهن ڪري پنهنجي وينڊر آپشنز بابت معلومات لاءِ ريفرنس مينوئل جي وينڊر بابن کي چيڪ ڪريو.
1. عملدرآمد آپشنز فارم کي لاڳو ڪرڻ جي آپشنز بٽڻ تي ڪلڪ ڪندي يا پروجيڪٽ-> لاڳو ڪرڻ جا آپشنز کي منتخب ڪندي کوليو، ۽ مٿي تي ڊوائيس ٽيب تي ڪلڪ ڪريو جيڪڏهن اهو اڳ ۾ ئي منتخب نه ڪيو ويو آهي.
2. ٽيڪنالاجي، حصو، پيڪيج، ۽ رفتار چونڊيو. دستياب آپشن مختلف هوندا آهن، توهان جي چونڊيل ٽيڪنالاجي تي منحصر آهي.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 75
باب 4: منطق جي سنٿيسس پروجيڪٽ قائم ڪرڻ منطق جي سنٿيسس جي عمل درآمد جا آپشن مقرر ڪرڻ
3. ڊوائيس ميپنگ آپشنز سيٽ ڪريو. آپشن مختلف هوندا آهن، توهان جي چونڊيل ٽيڪنالاجي تي منحصر آهي.
جيڪڏهن توهان کي پڪ ناهي ته آپشن جو مطلب ڇا آهي، ته آپشن تي ڪلڪ ڪري ڏسو
هيٺ ڏنل دٻي ۾ وضاحت. اختيارن جي مڪمل وضاحت لاءِ، F1 تي ڪلڪ ڪريو يا ريفرنس مينوئل ۾ مناسب وينڊر باب جو حوالو ڏيو.
آپشن سيٽ ڪرڻ لاءِ، ويليو ٽائيپ ڪريو يا ان کي فعال ڪرڻ لاءِ باڪس چيڪ ڪريو.
فين آئوٽ جي حد مقرر ڪرڻ ۽ ريٽيمنگ بابت وڌيڪ معلومات لاءِ، صفحي 348 تي فين آئوٽ جي حد مقرر ڪرڻ، ۽ صفحي 334 تي ريٽيمنگ، ترتيب وار ڏسو. ٻين وينڊر جي مخصوص اختيارن بابت تفصيل لاءِ، ريفرنس مينوئل ۾ مناسب وينڊر باب ۽ ٽيڪنالاجي فيملي جو حوالو ڏيو.
© 2014 سينوپسس، انڪارپوريٽڊ 76
LO
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
منطق جي سنٿيسس جي عمل درآمد جا آپشن سيٽ ڪرڻ باب 4: منطق جي سنٿيسس پروجيڪٽ کي سيٽ ڪرڻ
4. ضرورت مطابق ٻيا عملدرآمد جا آپشن سيٽ ڪريو (چونڊن جي فهرست لاءِ صفحي 75 تي منطق جي سنٿيسس عملدرآمد جا آپشن سيٽنگ ڏسو). ٺيڪ تي ڪلڪ ڪريو.
5. ڊيزائن کي سنٿيسائيز ڪرڻ لاءِ رن بٽڻ تي ڪلڪ ڪريو. سافٽ ويئر توهان جي سيٽ ڪيل اختيارن کي استعمال ڪندي ڊيزائن کي مرتب ۽ نقشو ڪري ٿو.
6. اسڪرپٽ سان ڊوائيس آپشنز سيٽ ڪرڻ لاءِ، set_option Tcl ڪمانڊ استعمال ڪريو. هيٺ ڏنل جدول ۾ ڊوائيس ٽيب تي ڊوائيس آپشنز جي الفابيٽ لسٽ شامل آهي جيڪا برابر Tcl ڪمانڊز سان ميپ ڪئي وئي آهي. ڇاڪاڻ ته آپشن ٽيڪنالاجي ۽ خاندان تي ٻڌل آهن، ٽيبل ۾ درج ڪيل سڀئي آپشن چونڊيل ٽيڪنالاجي ۾ دستياب نه هوندا. سڀئي ڪمانڊ set_option سان شروع ٿين ٿا، جنهن کان پوءِ ڪالم ۾ نحو ڏيکاريل آهي. پنهنجي وينڊر لاءِ اختيارن جي سڀ کان وڌيڪ جامع فهرست لاءِ ريفرنس مينوئل چيڪ ڪريو.
هيٺ ڏنل جدول ڊوائيس جي اڪثريت جا آپشن ڏيکاري ٿو.
تجزيه نگار لاءِ آپشن اينوٽيڊ پراپرٽيز I/O انسرشن فين آئوٽ گائيڊ کي غير فعال ڪريو
ٽي سي ايل ڪمانڊ (سيٽ_آپشن…) -رن_پروپ_اڪسٽريڪٽ {1|0} -ڊائيبل_آئو_انسرشن {1|0} -فين آئوٽ_لِمٽ فين آئوٽ_ويليو
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 77
باب 4: منطق جي سنٿيسس پروجيڪٽ قائم ڪرڻ منطق جي سنٿيسس جي عمل درآمد جا آپشن مقرر ڪرڻ
اختيار
ٽي سي ايل ڪمانڊ (سيٽ_آپشن…)
پيڪيج
-پيڪيج pkg_name
حصو
- حصو حصو نالو
مخلوط ڊرائيور حل ڪريو
-حل_ملٽيپل_ڊرائيور {1|0}
رفتار
- رفتار_گريڊ رفتار_گريڊ
ٽيڪنالاجي
- ٽيڪنالاجي ڪي ورڊ
ڪمپائل پوائنٽ ٽائمنگ ڊيٽا کي اپڊيٽ ڪريو -update_models_cp {0|1}
ايڇ ڊي ايل اينالسٽ ڊيٽابيس جنريشن -hdl_qload {1|0}
اصلاح جا آپشن سيٽ ڪرڻ
اصلاح جا آپشن عالمي اختيارن جو حصو آهن جيڪي توهان لاڳو ڪرڻ لاءِ سيٽ ڪري سگهو ٿا. هي سيڪشن توهان کي ٻڌائي ٿو ته فريڪوئنسي ۽ عالمي اصلاح جا آپشن جهڙوڪ وسيلن جي حصيداري کي ڪيئن سيٽ ڪجي. توهان UI تي مناسب بٽڻن سان انهن مان ڪجهه آپشن پڻ سيٽ ڪري سگهو ٿا.
1. عملدرآمد آپشنز فارم کوليو لاڳو ڪرڻ جا آپشن بٽڻ تي ڪلڪ ڪري يا پروجيڪٽ-> لاڳو ڪرڻ جا آپشن چونڊيو، ۽ مٿي تي آپشنز ٽيب تي ڪلڪ ڪريو.
2. فارم تي يا پراجيڪٽ ۾، جيڪي توهان چاهيو ٿا، انهن اصلاح جي اختيارن تي ڪلڪ ڪريو. view. ٽيڪنالاجي جي لحاظ کان توهان جون چونڊون مختلف هونديون آهن. جيڪڏهن توهان جي ٽيڪنالاجي لاءِ ڪو آپشن موجود نه آهي، ته اهو گرين آئوٽ ٿي ويندو آهي. آپشن کي هڪ جاءِ تي سيٽ ڪرڻ سان اهو پاڻمرادو ٻئي جاءِ تي اپڊيٽ ٿي ويندو آهي.
© 2014 سينوپسس، انڪارپوريٽڊ 78
LO
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
منطق جي سنٿيسس جي عمل درآمد جا آپشن سيٽ ڪرڻ باب 4: منطق جي سنٿيسس پروجيڪٽ کي سيٽ ڪرڻ
پروجيڪٽ View
اصلاح جا آپشن لاڳو ڪرڻ جا آپشن-> آپشن
انهن اصلاحن جي استعمال بابت تفصيل لاءِ هيٺ ڏنل حصن جو حوالو ڏيو:
ايف ايس ايم ڪمپائلر ايف ايس ايم ايڪسپلورر
وسيلن جي حصيداري جي بحالي
رياستي مشينن کي بهتر بڻائڻ، صفحي 354 تي
صفحي 359 تي FSM ايڪسپلورر هلائڻ، نوٽ: صرف مائڪروسيمي ٽيڪنالاجيز جو هڪ ذيلي سيٽ FSM ايڪسپلورر آپشن کي سپورٽ ڪري ٿو. پروجيڪٽ->عمل درآمد جا آپشن->آپشن پينل استعمال ڪريو اهو طئي ڪرڻ لاءِ ته ڇا هي آپشن توهان جي ٽول ۾ بيان ڪيل ڊوائيس لاءِ سپورٽ ٿيل آهي.
وسيلن جي حصيداري، صفحي 352 تي
ري ٽائيمنگ، صفحي 334 تي
برابر Tcl set_option ڪمانڊ آپشن هن ريت آهن:
آپشن ايف ايس ايم ڪمپائلر ايف ايس ايم ايڪسپلورر ريسورس شيئرنگ ريٽائمنگ
سيٽ_آپشن ٽي سي ايل ڪمانڊ آپشن -سمبولڪ_ايف ايس ايم_ڪمپائلر {1|0} -ايف ايس ايم_ايڪسپلورر استعمال ڪريو {1|0} -وسيلو_شيئرنگ {1|0} -ريٽائمنگ {1|0}
3. ضرورت مطابق ٻيا عملدرآمد جا آپشن سيٽ ڪريو (چونڊن جي فهرست لاءِ صفحي 75 تي منطق جي سنٿيسس عملدرآمد جا آپشن سيٽنگ ڏسو). ٺيڪ تي ڪلڪ ڪريو.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 79
باب 4: منطق جي سنٿيسس پروجيڪٽ قائم ڪرڻ منطق جي سنٿيسس جي عمل درآمد جا آپشن مقرر ڪرڻ
4. سنٿيسس هلائڻ لاءِ رن بٽڻ تي ڪلڪ ڪريو.
سافٽ ويئر توهان جي مقرر ڪيل اختيارن کي استعمال ڪندي ڊيزائن کي مرتب ۽ نقشو ڪري ٿو.
ايڇ ڊي ايل تجزياتي ڊيٽابيس جي پيداوار
ڊفالٽ طور، سافٽ ويئر پوري ڊيزائن کي پڙهي ٿو، منطق جي اصلاح ۽ وقت جي تبليغ انجام ڏئي ٿو، ۽ هڪ واحد نيٽ لسٽ (srs) ۾ آئوٽ پُٽ لکي ٿو. جيئن ڊيزائن وڏا ٿين ٿا، ڊيزائن کي هلائڻ ۽ ڊيبگ ڪرڻ جو وقت وڌيڪ مشڪل ٿي ويندو آهي.
هي آپشن ڪمپائلر کي اجازت ڏئي ٿو ته هو ڊزائن کي ڪيترن ئي ماڊلز ۾ اڳ ۾ ورهائي سگهي جيڪي الڳ نيٽ لسٽ ۾ لکيل آهن. files (srs). هن آپشن کي فعال ڪرڻ لاءِ، امپليمينٽيشن آپشنز ڊائلاگ باڪس جي آپشنز ٽيب تي HDL اينالسٽ ڊيٽابيس جنريشن چيڪ باڪس کي چونڊيو. هي فيچر وڏي ڊيزائن لاءِ ياداشت جي استعمال کي خاص طور تي بهتر بڻائي ٿو.
هي خصوصيت هيٺ ڏنل set_option Tcl ڪمانڊ استعمال ڪندي Tcl اسڪرپٽ ونڊو مان پڻ فعال ڪري سگهجي ٿي:
سيٽ_آپشن -hdl_qload 1
هڪ ڀيرو HDL اينالسٽ ڊيٽابيس جنريشن آپشن فعال ٿي وڃي، HDL اينالسٽ ٽول ۾ انڪريمينٽل ڪوئڪ لوڊ آپشن استعمال ڪريو ته جيئن هڪ واحد نيٽ لسٽ (srs) يا ڪيترن ئي ٽاپ ليول RTL ماڊيول نيٽ لسٽ (srs) استعمال ڪندي ڊيزائن کي ڏيکاري سگهجي. ٽول فائدو وٺي سگھي ٿو.tagهن خصوصيت جو اي صرف متاثر ٿيل ڊيزائن جي درجي بندي کي متحرڪ طور تي لوڊ ڪندي. مثال طورampلي، هائيرارڪي برائوزر صرف هيٺين سطح جي هائيرارڪي کي وڌائي سگھي ٿو جيئن جلدي لوڊ لاءِ ضرورت هجي. انڪريمينٽل ڪوئڪ لوڊ آپشن HDL اينالسٽ آپشنز ڊائلاگ باڪس جي جنرل پينل تي واقع آهي. جنرل پينل ڏسو، صفحي 304 تي.
عالمي تعدد ۽ پابندي جي وضاحت Files
هي طريقو توهان کي ٻڌائي ٿو ته عالمي فريڪوئنسي ڪيئن سيٽ ڪجي ۽ پابندي کي ڪيئن بيان ڪجي. fileعملدرآمد لاءِ.
1. عالمي فريڪوئنسي مقرر ڪرڻ لاءِ، ھيٺين مان ھڪڙو ڪريو:
پروجيڪٽ ۾ هڪ گلوبل فريڪوئنسي ٽائيپ ڪريو. view.
لاڳو ڪرڻ جا آپشن فارم کوليو لاڳو ڪرڻ تي ڪلڪ ڪندي
آپشن بٽڻ "ڪنسٽرينٽس" ٽيب.
or
چونڊ ڪرڻ
پروجيڪٽ-> عملدرآمد
اختيارن،
۽
ڪلڪ ڪريو
جي
برابر Tcl set_option ڪمانڊ -frequency frequencyValue آهي.
© 2014 سينوپسس، انڪارپوريٽڊ 80
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
منطق جي سنٿيسس جي عمل درآمد جا آپشن سيٽ ڪرڻ باب 4: منطق جي سنٿيسس پروجيڪٽ کي سيٽ ڪرڻ
توهان مقامي پابندين سان عالمي فريڪوئنسي کي اوور رائڊ ڪري سگهو ٿا، جيئن صفحي 119 تي وضاحت ڪيل SCOPE پابندين ۾ بيان ڪيو ويو آهي. Synplify Pro ٽول ۾، توهان عالمي فريڪوئنسي سيٽ ڪرڻ جي بدران پنهنجي ڊيزائن لاءِ خودڪار طريقي سان ڪلاڪ پابنديون پيدا ڪري سگهو ٿا. تفصيل لاءِ صفحي 291 تي خودڪار پابنديون استعمال ڪندي ڏسو.
گلوبل فريڪوئنسي ۽ پابنديون پروجيڪٽ View
عملدرآمد جا اختيار-> پابنديون
2. پابندي بيان ڪرڻ لاءِ fileلاڳو ڪرڻ لاءِ، ھيٺين مان ھڪڙو ڪريو:
پروجيڪٽ->عمل درآمد جا آپشن->پابنديون چونڊيو. پابندي چيڪ ڪريو.
fileجيڪي توهان منصوبي ۾ استعمال ڪرڻ چاهيو ٿا.
عملدرآمد جا اختيار-> پابنديون پينل مان، توهان پڻ ڪلڪ ڪري سگهو ٿا
پابندي شامل ڪريو file.
جنهن عمل درآمد کي توهان استعمال ڪرڻ چاهيو ٿا، ان کي چونڊيو، شامل ڪريو تي ڪلڪ ڪريو. File ۾
پروجيڪٽ view، ۽ پابندي شامل ڪريو fileتوهان کي ضرورت آهي.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 81
باب 4: منطق جي سنٿيسس پروجيڪٽ قائم ڪرڻ منطق جي سنٿيسس جي عمل درآمد جا آپشن مقرر ڪرڻ
پابندي پيدا ڪرڻ لاءِ files، صفحي 119 تي SCOPE پابنديون بيان ڪرڻ ڏسو.
3. پابندي ختم ڪرڻ لاءِ fileجيڪڏهن توهان هڪ عملدرآمد مان s حاصل ڪرڻ چاهيو ٿا، ته هيٺ ڏنل مان هڪ ڪريو:
پروجيڪٽ->عمل درآمد جا اختيار->پابنديون چونڊيو. چيڪ باڪس تي ڪلڪ ڪريو.
جي اڳيان file نالو.
منصوبي ۾ view، پابندي تي ساڄي ڪلڪ ڪريو file هٽايو وڃي ۽
پروجيڪٽ مان هٽايو چونڊيو.
هي پابندي کي هٽائي ٿو. file عملدرآمد کان، پر ان کي ختم نٿو ڪري.
4. ضرورت مطابق ٻيا عملدرآمد جا آپشن سيٽ ڪريو (چونڊن جي فهرست لاءِ صفحي 75 تي منطق جي سنٿيسس عملدرآمد جا آپشن سيٽنگ ڏسو). ٺيڪ تي ڪلڪ ڪريو.
جڏهن توهان ڊيزائن کي سنٿيسائيز ڪندا آهيو، سافٽ ويئر توهان جي مقرر ڪيل اختيارن کي استعمال ڪندي ڊيزائن کي مرتب ۽ نقشو ڪندو آهي.
نتيجن جي اختيارن جي وضاحت ڪرڻ
هي سيڪشن توهان کي ڏيکاري ٿو ته سنٿيسس رن جي آئوٽ پُٽ لاءِ معيار ڪيئن بيان ڪجي.
1. عملدرآمد جا آپشن فارم کوليو لاڳو ڪرڻ جا آپشن بٽڻ تي ڪلڪ ڪري يا پروجيڪٽ-> لاڳو ڪرڻ جا آپشن چونڊيو، ۽ مٿي تي لاڳو ڪرڻ جا نتيجا ٽيب تي ڪلڪ ڪريو.
© 2014 سينوپسس، انڪارپوريٽڊ 82
LO
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
منطق جي سنٿيسس جي عمل درآمد جا آپشن سيٽ ڪرڻ باب 4: منطق جي سنٿيسس پروجيڪٽ کي سيٽ ڪرڻ
2. آئوٽ پُٽ بيان ڪريو fileجيڪو توهان پيدا ڪرڻ چاهيو ٿا.
نقشي واري نيٽ لسٽ ٺاهڻ لاءِ files، Write Mapped Verilog Netlist تي ڪلڪ ڪريو يا Write ڪريو
نقشي ۾ شامل VHDL نيٽ لسٽ.
وينڊر جي مخصوص پابندي پيدا ڪرڻ لاءِ file اڳتي جي تشريح لاءِ،
ڪلڪ ڪريو وينڊر ڪانسٽرنٽ لکو File. هن رپورٽ جي تفصيل لاءِ، وڌيڪ معلومات لاءِ ريفرنس مينوئل جي صفحي 270 تي، صفحي 56 تي، پابندي چيڪنگ رپورٽ ڏسو.
3. ڊاريڪٽري سيٽ ڪريو جنهن ۾ توهان نتيجا لکڻ چاهيو ٿا.
4. آئوٽ پُٽ لاءِ فارميٽ سيٽ ڪريو file. اسڪرپٽنگ لاءِ برابر Tcl ڪمانڊ project -result_format فارميٽ آهي.
توهان شايد نالو-ميپنگ کي ڪنٽرول ڪرڻ لاءِ خاصيتون مقرر ڪرڻ چاهيندا. تفصيل لاءِ، ريفرنس مينوئل ۾ مناسب وينڊر باب جو حوالو ڏيو.
5. ضرورت مطابق ٻيا عملدرآمد جا آپشن سيٽ ڪريو (چونڊن جي فهرست لاءِ صفحي 75 تي منطق جي سنٿيسس عملدرآمد جا آپشن سيٽنگ ڏسو). ٺيڪ تي ڪلڪ ڪريو.
جڏهن توهان ڊيزائن کي سنٿيسائيز ڪندا آهيو، سافٽ ويئر توهان جي مقرر ڪيل اختيارن کي استعمال ڪندي ڊيزائن کي مرتب ۽ نقشو ڪندو آهي.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 83
باب 4: منطق جي سنٿيسس پروجيڪٽ قائم ڪرڻ منطق جي سنٿيسس جي عمل درآمد جا آپشن مقرر ڪرڻ
وقت جي رپورٽ جي پيداوار جي وضاحت
توهان هيٺ ڏنل اختيارن کي سيٽ ڪندي طئي ڪري سگهو ٿا ته ٽائمنگ رپورٽ ۾ ڪيترو رپورٽ ڪيو ويو آهي.
1. پروجيڪٽ->عمل درآمد جا آپشن چونڊيو، ۽ ٽائمنگ رپورٽ ٽيب تي ڪلڪ ڪريو. 2. نازڪ رستن جو تعداد مقرر ڪريو جيڪي توهان سافٽ ويئر رپورٽ ڪرڻ چاهيو ٿا.
3. شروعاتي ۽ آخري پوائنٽن جو تعداد بيان ڪريو جيڪي توهان نازڪ رستي جي حصن ۾ رپورٽ ٿيل ڏسڻ چاهيو ٿا.
4. ضرورت مطابق ٻيا عملدرآمد جا آپشن سيٽ ڪريو (چونڊن جي فهرست لاءِ صفحي 75 تي منطق جي سنٿيسس لاڳو ڪرڻ جا آپشن سيٽنگ ڏسو). ٺيڪ تي ڪلڪ ڪريو. جڏهن توهان ڊيزائن کي سنٿيسائيز ڪندا آهيو، سافٽ ويئر توهان جي سيٽ ڪيل اختيارن کي استعمال ڪندي ڊيزائن کي مرتب ۽ نقشو ڪندو آهي.
ويريلاگ ۽ وي ايڇ ڊي ايل آپشنز کي سيٽ ڪرڻ
جڏهن توهان ويريلاگ ۽ وي ايڇ ڊي ايل سورس سيٽ اپ ڪندا آهيو fileتوهان جي پروجيڪٽ ۾ s، توهان ڪجهه ڪمپائلر آپشن پڻ بيان ڪري سگهو ٿا.
ويريلاگ سيٽنگ File اختيارن
توهان ويريلاگ سيٽ ڪيو file آپشنز کي پراجيڪٽ-> امپليمينٽيشن آپشنز-> ويريلاگ، يا آپشنز-> ويريلاگ ڪمپائلر کي ترتيب ڏيڻ سان چونڊيو.
© 2014 سينوپسس، انڪارپوريٽڊ 84
LO
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
منطق جي سنٿيسس جي عمل درآمد جا آپشن سيٽ ڪرڻ باب 4: منطق جي سنٿيسس پروجيڪٽ کي سيٽ ڪرڻ
1. استعمال ڪرڻ لاءِ ويريلاگ فارميٽ بيان ڪريو.
سڀني لاءِ عالمي سطح تي ڪمپائلر سيٽ ڪرڻ لاءِ fileمنصوبي ۾، چونڊيو
پروجيڪٽ->عمل درآمد جا آپشن->ويريلاگ. جيڪڏهن توهان ويريلاگ 2001 يا سسٽم ويريلاگ استعمال ڪري رهيا آهيو، ته سپورٽ ٿيل تعميرات لاءِ ريفرنس مينوئل چيڪ ڪريو.
هڪ في تي ويريلاگ ڪمپائلر کي بيان ڪرڻ لاءِ file بنياد تي، چونڊيو file ۾
پروجيڪٽ view. ساڄي ڪلڪ ڪريو ۽ چونڊيو File آپشنز. مناسب ڪمپائلر چونڊيو. ڊفالٽ ويريلاگ file نون منصوبن لاءِ فارميٽ SystemVerilog آهي.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 85
باب 4: منطق جي سنٿيسس پروجيڪٽ قائم ڪرڻ منطق جي سنٿيسس جي عمل درآمد جا آپشن مقرر ڪرڻ
2. جيڪڏهن توهان پروجيڪٽ ۾ اڳ ۾ ئي اهو نه ڪيو آهي ته اعليٰ سطحي ماڊيول بيان ڪريو. view.
3. سورس ڪوڊ مان پيرا ميٽر ڪڍڻ لاءِ، هيٺيان ڪريو:
پيرا ميٽر ڪڍڻ تي ڪلڪ ڪريو. ڊفالٽ کي اوور رائڊ ڪرڻ لاءِ، پيرا ميٽر لاءِ هڪ نئين قيمت داخل ڪريو.
سافٽ ويئر صرف موجوده عمل درآمد لاءِ نئين قدر استعمال ڪري ٿو. نوٽ ڪريو ته مخلوط ڊيزائن لاءِ پيرا ميٽر ڪڍڻ جي سهولت نه آهي.
4. بيانن کي الڳ ڪرڻ لاءِ اسپيس استعمال ڪندي، ڪمپائلر هدايتن ۾ هدايت ٽائيپ ڪريو. توهان هدايتون ٽائيپ ڪري سگهو ٿا جيڪي توهان عام طور تي ڪوڊ ۾ 'ifdef' ۽ 'define statements' سان داخل ڪندا آهيو. مثال طورample، ABC=30 جي نتيجي ۾ سافٽ ويئر پروجيڪٽ ۾ هيٺيان بيان لکي ٿو file:
سيٽ_آپشن -hdl_define - "ABC=30" سيٽ ڪريو
LO
© 2014 سينوپسس، انڪارپوريٽڊ 86
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
منطق جي سنٿيسس جي عمل درآمد جا آپشن سيٽ ڪرڻ باب 4: منطق جي سنٿيسس پروجيڪٽ کي سيٽ ڪرڻ
5. انڪلوڊ پاٿ آرڊر ۾، ويريلاگ لاءِ انڪلوڊ ڪمانڊز لاءِ سرچ رستا بيان ڪريو. fileجيڪي توهان جي پروجيڪٽ ۾ آهن. رستن کي شامل ڪرڻ، ختم ڪرڻ، يا ٻيهر ترتيب ڏيڻ لاءِ دٻي جي مٿي ساڄي ڪنڊ ۾ بٽڻ استعمال ڪريو.
6. لائبريري ڊائريڪٽريز ۾، ڊائريڪٽري جو رستو بيان ڪريو جنهن ۾ لائبريري شامل آهي. fileتوهان جي منصوبي لاءِ. رستن کي شامل ڪرڻ، ختم ڪرڻ، يا ٻيهر ترتيب ڏيڻ لاءِ دٻي جي مٿي ساڄي ڪنڊ ۾ بٽڻ استعمال ڪريو.
7. ضرورت مطابق ٻيا عملدرآمد جا آپشن سيٽ ڪريو (چونڊن جي فهرست لاءِ صفحي 75 تي منطق جي سنٿيسس لاڳو ڪرڻ جا آپشن سيٽنگ ڏسو). ٺيڪ تي ڪلڪ ڪريو. جڏهن توهان ڊيزائن کي سنٿيسائيز ڪندا آهيو، سافٽ ويئر توهان جي سيٽ ڪيل اختيارن کي استعمال ڪندي ڊيزائن کي مرتب ۽ نقشو ڪندو آهي.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 87
باب 4: منطق جي سنٿيسس پروجيڪٽ قائم ڪرڻ منطق جي سنٿيسس جي عمل درآمد جا آپشن مقرر ڪرڻ
VHDL سيٽنگ File اختيارن
توهان VHDL سيٽ ڪيو file يا ته پروجيڪٽ->عمل درآمد جا آپشن->VHDL، يا آپشن->VHDL ڪمپائلر ترتيب ڏيڻ سان آپشنز کي منتخب ڪريو.
VHDL ذريعو لاءِ، توهان هيٺ ڏنل بيان ڪيل اختيارن کي بيان ڪري سگهو ٿا.
1. جيڪڏهن توهان پروجيڪٽ ۾ اڳ ۾ ئي اهو نه ڪيو آهي ته اعليٰ سطحي ماڊيول بيان ڪريو. view. جيڪڏهن مٿين سطح جو ماڊيول ڊفالٽ ڪم لائبريري ۾ موجود نه آهي، ته توهان کي لائبريري بيان ڪرڻ گهرجي جتي ڪمپائلر ماڊيول ڳولي سگهي ٿو. اهو ڪيئن ڪجي ان بابت معلومات لاءِ، صفحي 200 تي VHDL پينل ڏسو.
توهان هي آپشن مخلوط ٻولي ڊيزائن لاءِ پڻ استعمال ڪري سگهو ٿا يا جڏهن توهان هڪ ماڊيول بيان ڪرڻ چاهيو ٿا جيڪو HDL تجزيه نگار جي ڊسپلي ۽ اسڪيميٽڪ ۾ LdOebugging لاءِ اصل اعليٰ سطحي ادارو نه آهي. views. 2. استعمال ڪندڙ جي بيان ڪيل رياست مشين انڪوڊنگ لاءِ، هيٺيان ڪريو:
انڪوڊنگ جو قسم بيان ڪريو جيڪو توهان استعمال ڪرڻ چاهيو ٿا.
© 2014 سينوپسس، انڪارپوريٽڊ 88
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
منطق جي سنٿيسس جي عمل درآمد جا آپشن سيٽ ڪرڻ باب 4: منطق جي سنٿيسس پروجيڪٽ کي سيٽ ڪرڻ
FSM ڪمپائلر کي غير فعال ڪريو.
جڏهن توهان ڊيزائن کي سنٿيسائيز ڪندا آهيو، سافٽ ويئر اسٽيٽ مشينن کي انڪوڊ ڪرڻ لاءِ توهان جي هتي مقرر ڪيل ڪمپائلر هدايتن کي استعمال ڪندو آهي ۽ FSM ڪمپائلر نه هلائيندو آهي، جيڪو ڪمپائلر هدايتن کي اوور رائڊ ڪندو. متبادل طور تي، توهان اسٽيٽ مشينن کي syn_encoding خاصيت سان بيان ڪري سگهو ٿا، جيئن VHDL ۾ اسٽيٽ مشينن جي وضاحت ۾، صفحي 308 تي بيان ڪيو ويو آهي.
3. سورس ڪوڊ مان جنريڪس ڪڍڻ لاءِ، هي ڪريو:
ڪلڪ ڪريو Extract Generic Constants. ڊفالٽ کي اوور رائڊ ڪرڻ لاءِ، هڪ عام لاءِ هڪ نئين قدر داخل ڪريو.
سافٽ ويئر صرف موجوده عمل درآمد لاءِ نئين قدر استعمال ڪري ٿو. نوٽ ڪريو ته جيڪڏهن توهان وٽ مخلوط ٻولي ڊيزائن آهي ته توهان جنريڪس نه ٿا ڪڍي سگهو.
4. ٽرسٽيٽس کي پروسيس/بلاڪ جي حدن جي پار ڌڪڻ لاءِ، چيڪ ڪريو ته پش ٽرسٽيٽس فعال آهي. تفصيل لاءِ، ريفرنس مينوئل ۾ صفحي 212 تي پش ٽرسٽيٽس آپشن ڏسو.
5. synthesis_on ۽ synthesis_off هدايتن جي تشريح جو تعين ڪريو:
ڪمپائلر کي synthesis_on ۽ synthesis_off هدايتن جي تشريح ڪرڻ لاءِ
جيئن translate_on/translate_off، سنٿيسس آن/آف کي فعال ڪريو ترجمو آن/آف آپشن جي طور تي لاڳو ڪيو ويو آهي.
synthesis_on ۽ synthesis_off هدايتن کي نظرانداز ڪرڻ لاءِ، پڪ ڪريو ته
هي آپشن چيڪ نه ڪيو ويو آهي. وڌيڪ معلومات لاءِ ريفرنس مينوئل ۾ صفحي 226 تي translate_off/translate_on ڏسو.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 89
باب 4: هڪ منطقي سنٿيسس پروجيڪٽ قائم ڪرڻ
خاصيتون ۽ هدايتون بيان ڪرڻ
6. ضرورت مطابق ٻيا عملدرآمد جا آپشن سيٽ ڪريو (چونڊن جي فهرست لاءِ صفحي 75 تي منطق جي سنٿيسس عملدرآمد جا آپشن سيٽنگ ڏسو). ٺيڪ تي ڪلڪ ڪريو.
جڏهن توهان ڊيزائن کي سنٿيسائيز ڪندا آهيو، سافٽ ويئر توهان جي مقرر ڪيل اختيارن کي استعمال ڪندي ڊيزائن کي مرتب ۽ نقشو ڪندو آهي.
خاصيتون ۽ هدايتون بيان ڪرڻ
خاصيتون ۽ هدايتون اهي وضاحتون آهن جيڪي توهان ڊيزائن جي شين کي تفويض ڪندا آهيو ته جيئن توهان جي ڊيزائن جي تجزيو، اصلاح، ۽ نقشي جي طريقي کي ڪنٽرول ڪري سگهجي.
خاصيتون ڪنٽرول ميپنگ اصلاح ۽ هدايتون ڪنٽرول ڪمپائلر اصلاح. هن فرق جي ڪري، توهان کي سورس ڪوڊ ۾ هدايتون بيان ڪرڻ گهرجن. هي جدول انهن طريقن کي بيان ڪري ٿو جيڪي خاصيت ۽ هدايت جي وضاحتون ٺاهڻ لاءِ موجود آهن:
وي ايڇ ڊي ايل ويريلاگ اسڪوپ ايڊيٽر پابنديون File
خاصيتون ها ها ها ها
هدايتون ها ها نه نه
اهو بهتر آهي ته SCOPE ايڊيٽر ۾ خاصيتون بيان ڪيون وڃن يا رڪاوٽون file، ڇاڪاڻ ته توهان کي پهريان ڊيزائن کي ٻيهر مرتب ڪرڻ جي ضرورت ناهي. هدايتن لاءِ، توهان کي انهن کي اثر انداز ٿيڻ لاءِ ڊيزائن کي مرتب ڪرڻ گهرجي.
جيڪڏهن دائرو/پابنديون file ۽ HDL سورس ڪوڊ هڪ ڊيزائن لاءِ بيان ڪيا ويا آهن، جڏهن تڪرار هوندا آهن ته پابنديون ترجيح هونديون آهن.
وڌيڪ تفصيل لاءِ، هيٺ ڏنل ڏسو:
· VHDL ۾ خاصيتون ۽ هدايتون بيان ڪرڻ، صفحي 91 تي · Verilog ۾ خاصيتون ۽ هدايتون بيان ڪرڻ، صفحي 92 تي · SCOPE ايڊيٽر جي مدد سان خاصيتون بيان ڪرڻ، صفحي 93 تي · پابندين ۾ خاصيتون بيان ڪرڻ File، صفحي 97 تي
© 2014 سينوپسس، انڪارپوريٽڊ 90
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
خاصيتون ۽ هدايتون بيان ڪرڻ
باب 4: هڪ منطقي سنٿيسس پروجيڪٽ قائم ڪرڻ
VHDL ۾ خاصيتون ۽ هدايتون بيان ڪرڻ
توهان شين ۾ خاصيتون شامل ڪرڻ لاءِ ٻيا طريقا استعمال ڪري سگهو ٿا، جيئن صفحي 90 تي خاصيتون ۽ هدايتون بيان ڪرڻ ۾ درج ٿيل آهي. جڏهن ته، توهان صرف سورس ڪوڊ ۾ هدايتون بيان ڪري سگهو ٿا. VHDL ۾ خاصيتون ۽ هدايتون بيان ڪرڻ جا ٻه طريقا آهن:
· اڳواٽ طئي ٿيل خاصيتن جي پيڪيج کي استعمال ڪندي
· هر استعمال وقت خاصيت جو اعلان ڪرڻ
VHDL خاصيت جي نحو جي تفصيل لاءِ، حوالو دستور ۾ صفحي 561 تي VHDL خاصيت ۽ هدايتي نحو ڏسو.
اڳواٽ بيان ڪيل VHDL خاصيتون پيڪيج استعمال ڪندي
صلاحtagاڳواٽ بيان ڪيل پيڪيج استعمال ڪرڻ جو نقصان اهو آهي ته توهان هر ڀيري جڏهن توهان انهن کي سورس ڪوڊ ۾ شامل ڪندا آهيو ته خاصيتن ۽ هدايتن کي ٻيهر بيان ڪرڻ کان پاسو ڪندا آهيو.tage اهو آهي ته توهان جو سورس ڪوڊ گهٽ پورٽيبل آهي. خاصيتون پيڪيج installDirectory/lib/vhd/synattr.vhd ۾ واقع آهي.
1. سافٽ ويئر لائبريري ۾ شامل ڪيل اڳواٽ بيان ڪيل خاصيتن جي پيڪيج کي استعمال ڪرڻ لاءِ، نحو ۾ اهي لائينون شامل ڪريو:
لائبريري سنپلائيفائي؛ استعمال ڪريو synplify.attributes.all؛
2. ڊيزائن يونٽ جي اعلان کان پوءِ جيڪو وصف يا هدايت توهان چاهيو ٿا اهو شامل ڪريو.
اعلان؛ آبجيڪٽ جو وصف_نالي: آبجيڪٽ ٽائپ قدر آهي؛
مثال طورampاليزي:
entity simpledff پورٽ آهي (q: out bit_vector(7 downto 0); d: in bit_vector(7 downto 0); clk: in bit);
clk جو syn_noclockbuf وصف: سگنل سچو آهي؛
نحو جي ڪنوينشن جي تفصيل لاءِ، حوالو دستور ۾ صفحي 561 تي VHDL خاصيت ۽ هدايتي نحو ڏسو.
3. ذريعو شامل ڪريو file منصوبي ڏانهن.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 91
باب 4: هڪ منطقي سنٿيسس پروجيڪٽ قائم ڪرڻ
خاصيتون ۽ هدايتون بيان ڪرڻ
VHDL خاصيتن ۽ هدايتن جو اعلان ڪرڻ
جيڪڏهن توهان خاصيتون پيڪيج استعمال نٿا ڪريو، ته توهان کي هر ڀيري خاصيتن کي ٻيهر بيان ڪرڻ گهرجي جڏهن توهان انهن کي سورس ڪوڊ ۾ شامل ڪندا.
1. هر دفعي جڏهن توهان ڪا خاصيت يا هدايت استعمال ڪندا آهيو، ته ان کي ڊيزائن يونٽ جي اعلانن کان فوري طور تي هيٺ ڏنل نحو استعمال ڪندي بيان ڪريو:
ڊيزائن_يونٽ_ڊڪليريشن؛ خاصيت جو خاصيت جو نالو: ڊيٽا ٽائپ؛ خاصيت جو خاصيت جو نالو: اعتراض جو قسم قدر آهي؛
مثال طورampاليزي:
entity simpledff پورٽ آهي (q: out bit_vector(7 downto 0); d: in bit_vector(7 downto 0); clk: in bit);
خاصيت syn_noclockbuf: بولين؛ خاصيت syn_noclockbuf جو clk: سگنل سچو آهي؛
2. ذريعو شامل ڪريو file منصوبي ڏانهن.
ويريلاگ ۾ خاصيتون ۽ هدايتون بيان ڪرڻ
توهان شين ۾ خاصيتون شامل ڪرڻ لاءِ ٻيا طريقا استعمال ڪري سگهو ٿا، جيئن صفحي 90 تي خاصيتون ۽ هدايتون بيان ڪرڻ ۾ بيان ڪيو ويو آهي. جڏهن ته، توهان صرف سورس ڪوڊ ۾ هدايتون بيان ڪري سگهو ٿا.
ويريلاگ ۾ اڳواٽ طئي ٿيل سنٿيسس خاصيتون ۽ هدايتون نه آهن، تنهن ڪري توهان کي انهن کي تبصرن جي طور تي شامل ڪرڻ گهرجي. وصف يا هدايت جو نالو ڪي ورڊ سنٿيسس کان اڳ هوندو آهي. ويريلاگ files ڪيس حساس آهن، تنهن ڪري خاصيتون ۽ هدايتون بلڪل بيان ڪيون وڃن جيئن انهن جي نحو جي وضاحت ۾ پيش ڪيون ويون آهن. نحو جي تفصيل لاءِ، حوالو دستور ۾ صفحي 363 تي Verilog Attribute ۽ Directive Syntax ڏسو.
1. ويريلاگ ۾ ڪا خاصيت يا هدايت شامل ڪرڻ لاءِ، ويريلاگ لائن يا بلاڪ تبصرو (سي-اسٽائل) نحو استعمال ڪريو جيڪو سڌو سنئون ڊيزائن اعتراض جي پٺيان اچي. بلاڪ تبصرو سيمي ڪولن کان اڳ هجڻ گهرجي، جيڪڏهن ڪو آهي.
LO
© 2014 سينوپسس، انڪارپوريٽڊ 92
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
خاصيتون ۽ هدايتون بيان ڪرڻ
باب 4: هڪ منطقي سنٿيسس پروجيڪٽ قائم ڪرڻ
ويريلاگ بلاڪ تبصرو نحو
/* سنٿيسس وصف نالو = قدر */ /* سنٿيسس ڊاريڪٽري نالو = قدر */
ويريلاگ لائين تبصرو نحو
// سنٿيسس وصف نالو = قدر // سنٿيسس ڊاريڪٽري نالو = قدر
نحو جي قاعدن جي تفصيل لاءِ، حوالو دستور ۾ صفحي 363 تي Verilog Attribute ۽ Directive Syntax ڏسو. هيٺيان مثال آهنamples:
ماڊيول fifo(ٻاهر، اندر) /* سنٿيسس syn_hier = "سخت" */;
2. هڪ ئي شئي سان ڪيتريون ئي خاصيتون يا هدايتون ڳنڍڻ لاءِ، خاصيتن کي سفيد جڳهن سان الڳ ڪريو، پر سنٿيسس ڪي ورڊ کي نه ورجايو. ڪاما استعمال نه ڪريو. مثال طورampاليزي:
ڪيس اسٽيٽ /* سنٿيسس فل_ڪيس پيراول_ڪيس */;
3. جيڪڏهن هڪ ئي Verilog reg بيان استعمال ڪندي ڪيترائي رجسٽر بيان ڪيا وڃن ۽ انهن تي هڪ خاصيت لاڳو ڪئي وڃي، ته پوءِ سنٿيسس سافٽ ويئر صرف reg بيان ۾ آخري اعلان ڪيل رجسٽر لاڳو ڪري ٿو. مثال طورampاليزي:
reg [5:0] q، q_a، q_b، q_c، q_d /* سنٿيسس syn_preserve=1 */;
syn_preserve وصف صرف q_d تي لاڳو ٿئي ٿو. هي سنٿيسس ٽولز لاءِ متوقع رويي آهي. هن وصف کي سڀني رجسٽرن تي لاڳو ڪرڻ لاءِ، توهان کي هر رجسٽر لاءِ هڪ الڳ Verilog reg بيان استعمال ڪرڻ گهرجي ۽ وصف لاڳو ڪرڻ گهرجي.
SCOPE ايڊيٽر استعمال ڪندي خاصيتون بيان ڪرڻ
SCOPE ونڊو ڪنهن به خاصيت کي شامل ڪرڻ لاءِ استعمال ۾ آسان انٽرفيس فراهم ڪري ٿي. توهان ان کي هدايتون شامل ڪرڻ لاءِ استعمال نٿا ڪري سگهو، ڇاڪاڻ ته انهن کي ماخذ ۾ شامل ڪيو وڃي. files. (صفحو 91 تي VHDL ۾ خاصيتون ۽ هدايتون بيان ڪرڻ ڏسو يا صفحي 92 تي Verilog ۾ خاصيتون ۽ هدايتون بيان ڪرڻ ڏسو). هيٺ ڏنل طريقيڪار ڏيکاري ٿو ته SCOPE ونڊو ۾ سڌو سنئون هڪ خاصيت ڪيئن شامل ڪجي.
1. هڪ مرتب ڪيل ڊيزائن سان شروع ڪريو ۽ SCOPE ونڊو کوليو. موجوده رڪاوٽ ۾ خاصيتون شامل ڪرڻ لاءِ file، موجوده تي ڪلڪ ڪري SCOPE ونڊو کوليو file منصوبي ۾ view. نئين ۾ خاصيتون شامل ڪرڻ لاءِ file، SCOPE آئڪن تي ڪلڪ ڪريو ۽ SCOPE ونڊو کولڻ لاءِ Initialize تي ڪلڪ ڪريو.
2. SCOPE ونڊو جي تري ۾ Attributes ٽيب تي ڪلڪ ڪريو.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 93
باب 4: هڪ منطقي سنٿيسس پروجيڪٽ قائم ڪرڻ
خاصيتون ۽ هدايتون بيان ڪرڻ
توهان يا ته پهرين شئي کي چونڊي سگهو ٿا (قدم 3) يا پهرين خاصيت (قدم 4).
3. اعتراض کي بيان ڪرڻ لاءِ، اعتراض ڪالم ۾ ھيٺين مان ھڪڙو ڪريو. جيڪڏھن توھان اڳ ۾ ئي خاصيت بيان ڪئي آھي، اعتراض ڪالم صرف ان خاصيت لاءِ صحيح اعتراض جي چونڊ کي لسٽ ڪري ٿو.
آبجيڪٽ فلٽر ڪالم ۾ آبجيڪٽ جو قسم چونڊيو، ۽ پوءِ هڪ چونڊيو
"Object" ڪالم ۾ اختيارن جي فهرست مان "Object" چونڊيو. اهو بهترين طريقو آهي انهي ڳالهه کي يقيني بڻائڻ جو ته توهان هڪ اهڙي شئي جي وضاحت ڪري رهيا آهيو جيڪا مناسب هجي، صحيح نحو سان.
© 2014 سينوپسس، انڪارپوريٽڊ 94
LO
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
خاصيتون ۽ هدايتون بيان ڪرڻ
باب 4: هڪ منطقي سنٿيسس پروجيڪٽ قائم ڪرڻ
ان شئي کي ڇڪيو جنهن سان توهان خاصيت ڳنڍڻ چاهيو ٿا
آر ٽي ايل يا ٽيڪنالاجي viewSCOPE ونڊو ۾ آبجيڪٽ ڪالم ڏانهن. ڪجهه خاصيتن لاءِ، ڇڪڻ ۽ ڇڏڻ سان صحيح آبجيڪٽ نه چونڊجي سگھي ٿو. مثال طورampلي، جيڪڏهن توهان syn_hier کي ڪنهن ماڊيول يا اينٽيٽي جهڙوڪ an ۽ گيٽ تي سيٽ ڪرڻ چاهيو ٿا، ته توهان کي ان کي view انهي ماڊيول لاءِ. اعتراض ۾ هي نحو هوندو: ويريلاگ ۾ v:moduleName، يا VHDL ۾ v:library.moduleName، جتي توهان وٽ ڪيتريون ئي لائبريريون ٿي سگهن ٿيون.
آبجيڪٽ ڪالم ۾ آبجيڪٽ جو نالو لکو. جيڪڏهن توهان کي خبر ناهي ته
نالو، ڳولا ڪمانڊ يا آبجيڪٽ فلٽر ڪالم استعمال ڪريو. پڪ ڪريو ته جتي ضرورت هجي اتي اعتراض لاءِ مناسب پريفڪس ٽائيپ ڪريو. مثال طورample، هڪ خاصيت کي هڪ تي مقرر ڪرڻ لاءِ view، توهان کي ماڊيول يا انٽيٽي نالي ۾ v: پريفڪس شامل ڪرڻ گهرجي. VHDL لاءِ، توهان کي لائبريري سان گڏ ماڊيول جو نالو به بيان ڪرڻو پوندو.
4. جيڪڏهن توهان پهرين شئي جي وضاحت ڪئي هئي، ته هاڻي توهان وصف جي وضاحت ڪري سگهو ٿا. فهرست صرف توهان جي چونڊيل شئي جي قسم لاءِ صحيح وصفون ڏيکاري ٿي. وصف جي ڪالم ۾ مائوس بٽڻ کي دٻائي ۽ فهرست مان هڪ وصف چونڊي وصف جي وضاحت ڪريو.
جيڪڏهن توهان پهرين شئي کي چونڊيو آهي، ته موجود چونڊون چونڊيل شئي ۽ توهان جي استعمال ڪيل ٽيڪنالاجي جي ذريعي طئي ڪيون وينديون آهن. جيڪڏهن توهان پهرين خاصيت کي چونڊيو آهي، ته موجود چونڊون ٽيڪنالاجي جي ذريعي طئي ڪيون وينديون آهن.
جڏهن توهان ڪا خاصيت چونڊيندا آهيو، ته SCOPE ونڊو توهان کي ٻڌائي ٿي ته توهان کي ان خاصيت لاءِ ڪهڙي قسم جي قيمت داخل ڪرڻ گهرجي ۽ خاصيت جي مختصر وضاحت فراهم ڪري ٿي. جيڪڏهن توهان پهرين خاصيت چونڊيو آهي، ته پڪ ڪريو ته واپس وڃو ۽ اعتراض بيان ڪريو.
5. قدر ڀريو. قدر ڪالم ۾ مائوس بٽڻ کي دٻايو، ۽ فهرست مان چونڊيو. توهان هڪ قدر پڻ ٽائيپ ڪري سگهو ٿا.
مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو يوزر گائيڊ آڪٽوبر 2014
© 2014 سينوپسس، انڪارپوريٽڊ 95
باب 4: هڪ منطقي نظام قائم ڪرڻ
دستاويز / وسيلا
![]() |
مائڪروسيمي ايڊيشن لاءِ SYnOPSYS FPGA سنٿيسس سنپلائيفائي پرو [pdf] استعمال ڪندڙ ھدايت مائڪروسيمي ايڊيشن لاءِ ايف پي جي اي سنٿيسس سنپلائيفائي پرو، مائڪروسيمي ايڊيشن لاءِ سنٿيسس سنپلائيفائي پرو، مائڪروسيمي ايڊيشن لاءِ سنپلائيفائي پرو، مائڪروسيمي ايڊيشن لاءِ پرو، مائڪروسيمي ايڊيشن لاءِ مائڪروسيمي ايڊيشن، ايڊيشن |