altera Nios V Embedded Processor

Мушаххасоти

  • Номи маҳсулот: Processor Nios V
  • Software Compatibility: Quartus Prime Software and Platform Designer
  • Навъи протсессори: Altera FPGA
  • Системаи хотира: Хотираи идоранашаванда ва ғайридавлатӣ
  • Интерфейси коммуникатсионӣ: Агенти UART

Nios V Processor Hardware System Design

To design the Nios V Processor hardware system, follow these steps:

  1. Create Nios V Processor system design using Platform Designer.
  2. Интегратсия кардани система ба лоиҳаи Quartus Prime.
  3. Design memory system including volatile and non-volatile memory.
  4. Соатҳоро татбиқ кунед ва таҷрибаҳои беҳтаринро барқарор кунед.
  5. Барои кори самаранок агентҳои пешфарз ва UART таъин кунед.

Nios V Processor Software System Design

Барои тарҳрезии системаи нармафзор барои Nios V Processor:

  1. Ҷараёни таҳияи нармафзорро барои Nios V Processor пайгирӣ кунед.
  2. Create Board Support Package Project and Application Project.

Nios V Processor Configuration and Booting Solutions

Барои танзим ва пурбор кардани протсессори Nios V:

  1. Understand the introduction to configuration and booting solutions.
  2. Пайваст кардани замимаҳо барои кори бефосила.

About the Nios® V Embedded Processor
1.1. Altera® FPGA ва протсессори дохилшудаview
Дастгоҳҳои Altera FPGA метавонанд мантиқиро амалӣ созанд, ки ҳамчун микропросессори мукаммал фаъолият карда, дар баробари фароҳам овардани имконоти зиёде.
Фарқи муҳими байни микропросессорҳои дискретӣ ва Altera FPGA дар он аст, ки матоъи Altera FPGA ҳангоми ба кор андохтани он мантиқ надорад. Протсессори Nios® V протсессори мулоими моликияти зеҳнӣ (IP) дар асоси мушаххасоти RISC-V мебошад. Пеш аз он ки шумо нармафзорро дар системаи протсессори Nios V иҷро кунед, шумо бояд дастгоҳи Altera FPGA-ро бо тарҳи сахтафзор танзим кунед, ки дорои протсессори Nios V мебошад. Шумо метавонед протсессори Nios V-ро вобаста ба талаботи тарҳ дар ҳама ҷо дар Altera FPGA ҷойгир кунед.


Барои он ки системаи дарунсохтаи Altera® FPGA-и IP-и шумо ҳамчун як системаи дискретии ба микропросессор асосёфта амал кунад, системаи шумо бояд инҳоро дар бар гирад: · AJTAG интерфейс барои дастгирии конфигуратсияи Altera FPGA, сахтафзор ва нармафзор
debugging · Механизми конфигуратсияи фаъоли Altera FPGA
Агар системаи шумо ин қобилиятҳоро дошта бошад, шумо метавонед тарҳи худро аз тарҳи сахтафзори қаблан озмудашуда, ки дар Altera FPGA бор карда шудааст, оғоз кунед. Истифодаи Altera FPGA инчунин ба шумо имкон медиҳад, ки тарҳи худро барои ҳалли мушкилот ё илова кардани функсияҳои нав зуд тағир диҳед. Шумо метавонед ин тарҳҳои сахтафзори навро ба осонӣ тавассути аз нав танзим кардани Altera FPGA бо истифода аз J системаи худ санҷедTAG интерфейс.
ҶTAG интерфейси таҳияи сахтафзор ва нармафзорро дастгирӣ мекунад. Шумо метавонед вазифаҳои зеринро бо истифода аз JTAG интерфейс: · Танзими Altera FPGA · Нармафзорро зеркашӣ ва ислоҳ кардан · Бо Altera FPGA тавассути интерфейси UART (J) муошират кунедTAG UART
терминал) · Таҷҳизоти ислоҳи хатогӣ (бо таҳлилгари мантиқии воридшудаи Signal Tap) · Хотираи флеши барнома
Пас аз он ки шумо Altera FPGA-ро бо тарҳи протсессори Nios V танзим мекунед, ҷараёни таҳияи нармафзор ба ҷараёни тарҳҳои микроконтроллерҳои дискретӣ монанд аст.


Маълумоти марбут · AN 985: Дастури протсессори Nios V
Дастури оғозёбӣ дар бораи эҷоди системаи оддии протсессори Nios V ва иҷро кардани барномаи Hello World.
© Корпоратсияи Altera. Altera, логотипи Altera, тамғаи "a" ва дигар аломатҳои Altera тамғаҳои тиҷоратии Altera Corporation мебошанд. Altera ҳуқуқ дорад, ки ба ҳама гуна маҳсулот ва хидматҳо дар вақти дилхоҳ бидуни огоҳӣ тағирот ворид кунад. Altera ҳеҷ гуна масъулият ё масъулиятеро ба дӯш намегирад, ки аз барнома ё истифодаи ҳама гуна маълумот, маҳсулот ё хидмати дар ин ҷо тавсифшуда ба вуҷуд меояд, ба истиснои ҳолатҳое, ки аз ҷониби Altera дар шакли хаттӣ мувофиқа шудааст. Ба муштариёни Altera тавсия дода мешавад, ки пеш аз такя ба ҳама гуна маълумоти нашршуда ва пеш аз фармоиш додани маҳсулот ё хидматҳо версияи охирини мушаххасоти дастгоҳро дастрас кунанд. * Дигар номҳо ва брендҳо метавонанд ҳамчун моликияти дигарон даъво карда шаванд.

1. Дар бораи протсессори Nios® V дарунсохти 726952 | 2025.07.16
· Дастури истинод ба протсессори Nios V маълумотро дар бораи нишондиҳандаҳои иҷрои протсессори Nios V, меъмории протсессор, модели барномасозӣ ва татбиқи аслӣ пешниҳод мекунад.
· Дастури истифодабарандаи дастгоҳҳои дарунсохташудаи IP · Дастур барои таҳиягарони нармафзори протсессори Nios V


Муҳити таҳияи нармафзори протсессори Nios V, асбобҳое, ки дастрасанд ва раванди сохтани нармафзорро барои кор дар протсессори Nios V тавсиф мекунад. · Ashling* RiscFree* Муҳити ҳамгирошудаи рушд (IDE) барои дастури корбари Altera FPGAs муҳити ҳамгирошудаи таҳияи RiscFree*-ро (IDE) барои HPS дар асоси Altera FPGAs Arm* ва протсессори асосии Nios V тавсиф мекунад. · Nios V Processor Altera FPGA IP Release Notes
1.2. Дастгирии нармафзори Quartus® Prime
Ҷараёни сохтани протсессори Nios V барои нармафзори Quartus® Prime Pro Edition ва нармафзори Quartus Prime Standard Edition гуногун аст. Барои маълумоти бештар дар бораи фарқиятҳо ба AN 980: Nios V Processor Quartus Prime Дастгирии нармафзор муроҷиат кунед.
Маълумоти марбут AN 980: Nios V протсессори Quartus Сарвазири Дастгирии Software
1.3. Иҷозатномаи протсессори Nios V
Ҳар як варианти протсессори Nios V калиди иҷозатномаи худро дорад. Пас аз гирифтани калиди литсензия, шумо метавонед як калиди литсензияро барои ҳамаи лоиҳаҳои протсессори Nios V то ба охир расидани мӯҳлат истифода баред. Шумо метавонед иҷозатномаҳои IP Nios V Processor Altera FPGA-ро бо арзиши сифр ба даст оред.
Рӯйхати калиди литсензияи протсессори Nios V дар Маркази иҷозатномадиҳии худхизматрасонии Altera FPGA дастрас аст. Ҷадвали ба қайд гирифтан барои арзёбӣ ё литсензияи ройгонро клик кунед ва имконоти мувофиқро барои пешниҳоди дархост интихоб кунед.
Тасвири 1. Маркази литсензионии худхизматрасонии Altera FPGA

Бо калидҳои иҷозатнома шумо метавонед:
Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 7

1. Дар бораи протсессори Nios® V дарунсохти 726952 | 2025.07.16
· Дар системаи худ протсессори Nios V-ро татбиқ кунед. · Рафтори системаи протсессори Nios V-ро тақлид кунед. · Фаъолияти тарроҳиро, ба монанди андоза ва суръатро тафтиш кунед. · Таҳияи барномасозии дастгоҳ fileс. · Дастгоҳро барномарезӣ кунед ва тарҳро дар сахтафзор тафтиш кунед.
Барои таҳияи нармафзор дар IDE Ashling* RiscFree* барои Altera FPGAs ба шумо иҷозатнома лозим нест.
Маълумоти марбут · Маркази литсензионии худхизматрасонии Altera FPGA
Барои маълумоти бештар дар бораи гирифтани калидҳои иҷозатномаи IP Nios V Processor Altera FPGA. · Насбкунӣ ва иҷозатномадиҳии нармафзори Altera FPGA Барои маълумоти бештар дар бораи иҷозатномадиҳии нармафзори Altera FPGA ва насби иҷозатномаи собит ва сервери иҷозатномаи шабакавӣ.
1.4. Тарҳрезии системаи дохилшуда
Дар расми зерин ҷараёни тарҳрезии системаи соддакардашудаи протсессори Nios V, аз ҷумла таҳияи сахтафзор ва нармафзорро нишон медиҳад.

Дастури тарҳрезии протсессори дохилшудаи Nios® V 8

Фиристодани фикру ақида

1. Дар бораи протсессори Nios® V дарунсохти 726952 | 2025.07.16

Расми 2.

Ҷараёни тарҳрезии системаи протсессори Nios V
Консепсияи система

Талаботи системаро таҳлил кунед

Ниос® В
Корҳои протсессор ва ҷузъҳои стандартӣ

Муайян ва тавлиди система дар
Тарҳрезии платформа

Ҷараёни сахтафзор: Интегратсия ва тартиб додани лоиҳаи Intel Quartus Prime

Ҷараёни нармафзор: Таҳия ва сохтани нармафзори пешниҳоди Nios V

Ҷараёни сахтафзор: Тарҳрезии FPGA-ро зеркашӣ кунед
ба Шӯрои мақсаднок

Ҷараёни нармафзор: Санҷиш ва ислоҳи нармафзори протсессори Nios V

Нармафзор ба мушаххасот мувофиқат намекунад?
Бале
Таҷҳизот ба мушаххасот мувофиқат намекунад? Бале
Система пурра

Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 9

726952 | 2025.07.16 Фиристодани фикру мулоҳизаҳо

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform

Расми 3.

Диаграммаи зерин тарҳи сахтафзори протсессори Nios V-ро нишон медиҳад. Ҷараёни тарҳрезии сахтафзор системаи протсессори Nios V

Оғоз

Nios V Cores ва ҷузъҳои стандартӣ

Барои тарҳрезии системаи Nios V дар асоси тарроҳии платформа истифода баред
Таҳияи тарроҳии платформаи тарроҳӣ

Интегратсия кардани системаи тарроҳии платформа бо лоиҳаи Intel Quartus Prime
Ҷойҳои Pin, Талаботи вақт ва дигар маҳдудиятҳои тарроҳиро таъин кунед
Ҷамъоварии сахтафзор барои дастгоҳи мақсаднок дар Intel Quartus Prime

Омода барои зеркашӣ
2.1. Эҷоди тарҳи системаи протсессори Nios V бо тарроҳии платформа
Нармафзори Quartus Prime асбоби ҳамгироии системаи Platform Designer-ро дар бар мегирад, ки вазифаи муайян кардан ва ҳамгироии асосии IP протсессори Nios V ва дигар IP-ро ба тарҳи системаи Altera FPGA содда мекунад. Тарҳрези Платформа ба таври худкор мантиқи байниҳамдигариро аз пайвасти сатҳи баланд муайян мекунад. Автоматикунонии байниҳамдигарӣ вазифаи вақти зиёдро барои муайян кардани пайвастҳои HDL дар сатҳи система бартараф мекунад.
© Корпоратсияи Altera. Altera, логотипи Altera, тамғаи "a" ва дигар аломатҳои Altera тамғаҳои тиҷоратии Altera Corporation мебошанд. Altera ҳуқуқ дорад, ки ба ҳама гуна маҳсулот ва хидматҳо дар вақти дилхоҳ бидуни огоҳӣ тағирот ворид кунад. Altera ҳеҷ гуна масъулият ё масъулиятеро ба дӯш намегирад, ки аз барнома ё истифодаи ҳама гуна маълумот, маҳсулот ё хидмати дар ин ҷо тавсифшуда ба вуҷуд меояд, ба истиснои ҳолатҳое, ки аз ҷониби Altera дар шакли хаттӣ мувофиқа шудааст. Ба муштариёни Altera тавсия дода мешавад, ки пеш аз такя ба ҳама гуна маълумоти нашршуда ва пеш аз фармоиш додани маҳсулот ё хидматҳо версияи охирини мушаххасоти дастгоҳро дастрас кунанд. * Дигар номҳо ва брендҳо метавонанд ҳамчун моликияти дигарон даъво карда шаванд.

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform
726952 | 2025.07.16

Пас аз таҳлили талаботи сахтафзори система, шумо Quartus Prime-ро барои муайян кардани ядрои протсессори Nios V, хотира ва ҷузъҳои дигаре, ки системаи шумо талаб мекунад, истифода мебаред. Тарҳрезии Платформа ба таври худкор мантиқи байниҳамдигарӣ барои ҳамгироии ҷузъҳо дар системаи сахтафзор тавлид мекунад.

2.1.1. Instantiating Nios V протсессори Altera FPGA IP

You can instantiate any of the processor IP cores in Platform Designer IP Catalog Processors and Peripherals Embedded Processors.

Асоси IP-и ҳар як протсессор имконоти гуногуни конфигуратсияро дар асоси меъмории беназири худ дастгирӣ мекунад. Шумо метавонед ин конфигуратсияҳоро барои беҳтар мувофиқ кардани ниёзҳои тарроҳии худ муайян кунед.

Ҷадвали 1.

Имконоти конфигуратсия дар саросари вариантҳои аслӣ

Имконоти конфигуратсия

Протсессори Nios V/c

Протсессори Nios V/m

Ислоҳоти истифодаи дархости азнавсозӣ

Домҳо, Истисноҳо ва Танаффусҳо

Архитектураи CPU

ECC

Кэшҳо, минтақаҳои периферӣ ва TCMs

Дастурҳои фармоишӣ

Lockstep

Протсессори Nios V/g

2.1.1.1. Насбкунии Nios V/c Compact Microcontroller Altera FPGA IP Расми 4. Nios V/c Microcontroller Compact Altera FPGA IP

Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 11

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform
726952 | 2025.07.16

2.1.1.1.1. Ҷадвали меъмории CPU

Ҷадвали 2.

Ҷадвали меъмории CPU

Хусусият

Тавсифи

Интерфейси Avalon®-ро фаъол созед Интерфейси Avalon-ро барои менеҷери дастурҳо ва мудири додаҳо фаъол мекунад. Агар ғайрифаъол бошад, система интерфейси AXI4-Lite -ро истифода мебарад.

Арзиши CSR mhartid

· Интихоби IP нодуруст. · Дар протсессори Nios V/c арзиши mhartid CSR-ро истифода набаред.

2.1.1.1.2. Ҷадвали азнавсозии дархостро истифода баред

Ҷадвали 3.

Параметри ҷадвали Reset Request-ро истифода баред

Ҷадвали азнавсозии дархостро истифода баред

Тавсифи

Иловаи Интерфейси азнавсозии дархост

· Ин хосиятро барои фош кардани бандарҳои аз нав танзимкунии маҳаллӣ фаъол созед, ки дар он устои маҳаллӣ метавонад онро истифода барад, то протсессори Nios V-ро аз нав барқарор кунад, бе таъсир ба ҷузъҳои дигари системаи протсессори Nios V.
· Интерфейси барқароркунӣ аз сигнали resetreq-и вуруд ва сигнали баромади акк иборат аст.
· Шумо метавонед бо тасдиқи сигнали resetreq аз нав танзимкунии ядрои протсессори Nios V дархост кунед.
· Сигнали resetreq бояд то он даме ки протсессор сигнали акро тасдиқ накунад, нигоҳ дошта шавад. Нокомии тасдиқшуда боқӣ мондани сигнал метавонад боиси дар ҳолати ғайримуқаррарӣ қарор гирифтани протсессор гардад.
· Протсессори Nios V ҷавоб медиҳад, ки аз нав барқароркунӣ бо нишон додани сигнал бомуваффақият аст.
· Пас аз бомуваффақият аз нав танзимкунии протсессор, тасдиқи сигнали акк метавонад давра ба давра то қатъ шудани сигнали resetreq якчанд маротиба рух диҳад.

2.1.1.1.3. Ҷадвали домҳо, истисноҳо ва қатъҳо

Ҷадвали 4.

Параметрҳои ҷадвали домҳо, истисноҳо ва қатъҳо

Домҳо, Истисноҳо ва Танаффусҳо

Тавсифи

Бозсозии агент

· Хотирае, ки вектори аз нав танзим мекунад (суроғаи аз нав танзимкунии протсессори Nios V), ки дар он рамзи барқароркунӣ ҷойгир аст.
· Шумо метавонед ягон модули хотираро интихоб кунед, ки ба устоди дастури протсессори Nios V пайваст ва аз ҷониби ҷараёни пурборкунандаи Nios V ҳамчун агенти аз нав танзимшаванда дастгирӣ карда мешавад.

Офсетро аз нав танзим кунед

· Ҷуброни вектори барқароркуниро нисбат ба суроғаи асосии агенти аз нав танзимкунии интихобшуда муайян мекунад. · Платформаи тарроҳӣ ба таври худкор арзиши пешфарзро барои ҷуброни азнавсозӣ таъмин мекунад.

Шарҳ:

Платформаи тарроҳӣ варианти мутлақро пешкаш мекунад, ки ба шумо имкон медиҳад суроғаи мутлақро дар Reset Offset муайян кунед. Ин хосиятро вақте истифода баред, ки хотираи захиракунандаи вектори аз нав танзимшаванда берун аз системаи протсессор ва зерсистемаҳо ҷойгир аст.

Дастури тарҳрезии протсессори дохилшудаи Nios® V 12

Фиристодани фикру ақида

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform
726952 | 2025.07.16

2.1.1.1.4. Ҷадвали ECC

Ҷадвали 5.

Ҷадвали ECC

ECC

Муайянкунии хатогиҳо ва гузоришдиҳии вазъро фаъол созед

Тавсифи
· Барои татбиқи хусусияти ECC барои блокҳои дохилии RAM протсессори Nios V ин хосиятро фаъол созед. · Хусусиятҳои ECC то 2-бит хатогиҳоро ошкор мекунанд ва дар асоси рафтори зерин вокуниш нишон медиҳанд:
— Агар ин хатогии ислоҳшавандаи 1-бит бошад, пас аз ислоҳи хато дар лӯлаи протсессори протсессор корашро идома медиҳад. Аммо ислох дар хотирахои сарчашмахо инъикос наёфтааст.
— Агар хато ислоҳнашаванда бошад, протсессор бидуни ислоҳи он дар лӯлаи протсессор ва хотираҳои манбаъ корашро идома медиҳад, ки метавонад боиси ворид шудани протсессор ба ҳолати ғайримуқаррарӣ гардад.

2.1.1.2. Насбкунии Nios V/m Microcontroller Altera FPGA IP Расми 5. Nios V/m Microcontroller Altera FPGA IP

Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 13

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform
726952 | 2025.07.16

2.1.1.2.1. Ҷадвали ислоҳ

Ҷадвали 6.

Параметрҳои ҷадвалбандии ислоҳ

Ҷадвали ислоҳ

Тавсифи

Debug-ро фаъол созед
Reset аз модули дебагро фаъол созед

· Барои илова кардани JTAG модули пайвастшавӣ ҳадаф ба протсессори Nios V. · ҶTAG модули пайвастшавӣ ҳадаф имкон медиҳад пайвастшавӣ ба протсессори Nios V тавассути
JTAG пинҳои интерфейси FPGA. · Пайвастшавӣ имкониятҳои асосии зеринро фароҳам меорад:
— Протсессори Nios V-ро оғоз ва қатъ кунед — Реестрҳо ва хотираро тафтиш ва таҳрир кунед. — Замимаи Nios V .elf -ро зеркашӣ кунед file ба хотираи протсессор дар вақти корӣ тавассути
niosv - зеркашӣ кунед. — Барномаеро, ки дар протсессори Nios V кор мекунад, ислоҳ кунед · Порти dm_agent-ро ба дастури протсессор ва автобуси додаҳо пайваст кунед. Боварӣ ҳосил кунед, ки суроғаи пойгоҳ байни ҳарду автобус якхела бошад.
· Ин хосиятро барои фош кардани бандарҳои dbg_reset_out ва ndm_reset_in фаъол созед. · ҶTAG debugger ё фармони niosv-download -r dbg_reset_out-ро ба вуҷуд меорад, ки
ба протсессори Nios V имкон медиҳад, ки периферии системаро, ки ба ин порт пайваст мешаванд, аз нав танзим кунад. · Шумо бояд интерфейси dbg_reset_out -ро ба ndm_reset_in ба ҷои азнавсозӣ пайваст кунед
интерфейс барои аз нав танзимкунии триггер ба ядрои протсессор ва модули таймер. Шумо набояд интерфейси dbg_reset_out-ро барои аз нав танзимкунии интерфейс пайваст накунед, то рафтори номуайянро пешгирӣ кунед.

2.1.1.2.2. Ҷадвали азнавсозии дархостро истифода баред

Ҷадвали 7.

Параметри ҷадвали Reset Request-ро истифода баред

Ҷадвали азнавсозии дархостро истифода баред

Тавсифи

Иловаи Интерфейси азнавсозии дархост

· Ин хосиятро барои фош кардани бандарҳои аз нав танзимкунии маҳаллӣ фаъол созед, ки дар он устои маҳаллӣ метавонад онро истифода барад, то протсессори Nios V-ро аз нав барқарор кунад, бе таъсир ба ҷузъҳои дигари системаи протсессори Nios V.
· Интерфейси барқароркунӣ аз сигнали resetreq-и вуруд ва сигнали баромади акк иборат аст.
· Шумо метавонед бо тасдиқи сигнали resetreq аз нав танзимкунии ядрои протсессори Nios V дархост кунед.
· Сигнали resetreq бояд то он даме ки протсессор сигнали акро тасдиқ накунад, нигоҳ дошта шавад. Нокомии тасдиқшуда боқӣ мондани сигнал метавонад боиси дар ҳолати ғайримуқаррарӣ қарор гирифтани протсессор гардад.
· Тасдиқи сигнали resetreq дар реҷаи дебаг ба ҳолати протсессор таъсир намерасонад.
· Протсессори Nios V ҷавоб медиҳад, ки аз нав барқароркунӣ бо нишон додани сигнал бомуваффақият аст.
· Пас аз бомуваффақият аз нав танзимкунии протсессор, тасдиқи сигнали акк метавонад давра ба давра то қатъ шудани сигнали resetreq якчанд маротиба рух диҳад.

2.1.1.2.3. Ҷадвали домҳо, истисноҳо ва қатъҳо

Ҷадвали 8.

Ҷадвали домҳо, истисноҳо ва халалҳо

Ҷадвали домҳо, истисноҳо ва халалҳо

Тавсифи

Бозсозии агент

· Хотирае, ки вектори аз нав танзим мекунад (суроғаи аз нав танзимкунии протсессори Nios V), ки дар он рамзи барқароркунӣ ҷойгир аст.
· Шумо метавонед ягон модули хотираро интихоб кунед, ки ба устоди дастури протсессори Nios V пайваст ва аз ҷониби ҷараёни пурборкунандаи Nios V ҳамчун агенти аз нав танзимшаванда дастгирӣ карда мешавад.

Реҷаи қатъи офсетро аз нав танзим кунед

· Ҷуброни вектори барқароркуниро нисбат ба суроғаи асосии агенти аз нав танзимкунии интихобшуда муайян мекунад. · Платформаи тарроҳӣ ба таври худкор арзиши пешфарзро барои ҷуброни азнавсозӣ таъмин мекунад.
Муайян кардани навъи контролери қатъкунӣ ё мустақим ё векторӣ. Эзоҳ: Протсессори ғайри қубури Nios V/m қатъшавии Vectored-ро дастгирӣ намекунад.
Аз ин рӯ, вақте ки протсессор дар реҷаи Nonpipelined аст, аз истифодаи реҷаи қатъи Vectored худдорӣ кунед.

Дастури тарҳрезии протсессори дохилшудаи Nios® V 14

Фиристодани фикру ақида

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform
726952 | 2025.07.16

Шарҳ:

Платформаи тарроҳӣ варианти мутлақро пешкаш мекунад, ки ба шумо имкон медиҳад суроғаи мутлақро дар Reset Offset муайян кунед. Ин хосиятро вақте истифода баред, ки хотираи захиракунандаи вектори аз нав танзимшаванда берун аз системаи протсессор ва зерсистемаҳо ҷойгир аст.

2.1.1.2.4. Архитектураи CPU

Ҷадвали 9.

Параметрҳои ҷадвали меъмории CPU

Архитектураи CPU

Тавсифи

Пайвасткуниро дар CPU фаъол созед

· Барои ба кор андохтани протсессори қубури Nios V/m ин хосиятро фаъол созед. — IPC аз ҳисоби майдони баландтари мантиқ ва басомади пасти Fmax баландтар аст.
· Барои ба кор андохтани протсессори ғайри қубури Nios V/m ин хосиятро хомӯш кунед. — Ба монанди протсессори Nios V/c иҷрои якхелаи аслӣ дорад. — Қобилияти ислоҳи ислоҳ ва таваққуфро дастгирӣ мекунад — Майдони мантиқӣ ва басомади баландтари Fmax бо арзиши IPC камтар.

Интерфейси Avalon -ро фаъол созед

Интерфейси Avalon -ро барои менеҷери дастурҳо ва мудири додаҳо фаъол мекунад. Агар ғайрифаъол бошад, система интерфейси AXI4-Lite -ро истифода мебарад.

Арзиши CSR mhartid

· Қимати реестри ID Hart (mhartid) дар пешфарз 0 аст. · Аз 0 то 4094 арзиш таъин кунед. · Бо Altera FPGA Avalon Mutex Core HAL API мувофиқ аст.

Маълумоти марбута Дастури истифодабарандаи периферии IP дарунсохташуда – Intel FPGA Avalon® Mutex Core

2.1.1.2.5. Ҷадвали ECC
Ҷадвали 10. Ҷадвали ECC
ECC Муайянкунии хатогиҳо ва гузоришдиҳии вазъро фаъол созед

Тавсифи
· Барои татбиқи хусусияти ECC барои блокҳои дохилии RAM протсессори Nios V ин хосиятро фаъол созед. · Хусусиятҳои ECC то 2-бит хатогиҳоро ошкор мекунанд ва дар асоси рафтори зерин вокуниш нишон медиҳанд:
— Агар ин хатогии ислоҳшавандаи 1-бит бошад, пас аз ислоҳи хато дар лӯлаи протсессори протсессор корашро идома медиҳад. Аммо ислох дар хотирахои сарчашмахо инъикос наёфтааст.
— Агар хато ислоҳнашаванда бошад, протсессор бидуни ислоҳи он дар лӯлаи протсессор ва хотираҳои манбаъ корашро идома медиҳад, ки метавонад боиси ворид шудани протсессор ба ҳолати ғайримуқаррарӣ гардад.

Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 15

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform
726952 | 2025.07.16
2.1.1.3. Instantiating Nios V / г протсессори таъиноти умумӣ Altera FPGA IP
Расми 6. Протсессори таъиноти умумӣ Nios V/g Altera FPGA IP – Қисми 1

Расми 7.

Nios V/g протсессори таъиноти умумӣ Altera FPGA IP - Қисми 2 (Хомӯш кардани контролери қатъшавии сатҳи аслӣ)

Дастури тарҳрезии протсессори дохилшудаи Nios® V 16

Фиристодани фикру ақида

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform
726952 | 2025.07.16

Расми 8.

Nios V/g протсессори таъиноти умумӣ Altera FPGA IP – Қисми 2 (Фаъғози нозири қатъшавии сатҳи аслӣ)

Расми 9. Протсессори таъиноти умумӣ Nios V/g Altera FPGA IP – Қисми 3

Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 17

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform
726952 | 2025.07.16
Расми 10. Протсессори таъиноти умумӣ Nios V/g Altera FPGA IP – Қисми 4

2.1.1.3.1. Архитектураи CPU

Ҷадвали 11. Параметрҳои меъмории CPU

Ҷадвали меъмории CPU Воҳиди нуқтаи шинокунандаро фаъол созед

Тавсифи ин хосиятро барои илова кардани воҳиди шинокунандаи нуқтаи шинокунанда ("F") дар ядрои протсессор фаъол созед.

Пешгӯии филиалро фаъол созед

Барои дастурҳои филиал пешгӯии шохаҳои статикиро фаъол созед (Ба ақиб гирифташуда ва ба пеш гирифта нашудаанд).

Арзиши CSR mhartid

· Қимати реестри ID Hart (mhartid) дар пешфарз 0 аст. · Аз 0 то 4094 арзиш таъин кунед. · Бо Altera FPGA Avalon Mutex Core HAL API мувофиқ аст.

Дастурҳои FSQRT ва FDIV-ро барои FPU хомӯш кунед

· Амалиёти решаи квадратии нуқтаҳои шинокунанда (FSQRT) ва тақсими нуқтаҳои шинокунанда (FDIV) дар FPU хориҷ карда шаванд.
· Дар ҳарду дастур дар давоми вақти корӣ эмуляцияи нармафзорро татбиқ кунед.

Маълумоти марбута Дастури истифодабарандаи периферии IP дарунсохташуда – Intel FPGA Avalon® Mutex Core

Дастури тарҳрезии протсессори дохилшудаи Nios® V 18

Фиристодани фикру ақида

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform
726952 | 2025.07.16

2.1.1.3.2. Ҷадвали ислоҳ

Ҷадвали 12. Параметрҳои ҷадвалбандии ислоҳи

Ҷадвали ислоҳ

Тавсифи

Debug-ро фаъол созед
Reset аз модули дебагро фаъол созед

· Барои илова кардани JTAG модули пайвастшавӣ ҳадаф ба протсессори Nios V. · ҶTAG модули пайвастшавӣ ҳадаф имкон медиҳад пайвастшавӣ ба протсессори Nios V тавассути
JTAG пинҳои интерфейси FPGA. · Пайвастшавӣ имкониятҳои асосии зеринро фароҳам меорад:
— Протсессори Nios V-ро оғоз ва қатъ кунед — Реестрҳо ва хотираро тафтиш ва таҳрир кунед. — Замимаи Nios V .elf -ро зеркашӣ кунед file ба хотираи протсессор дар вақти корӣ тавассути
niosv - зеркашӣ кунед. — Барномаеро, ки дар протсессори Nios V кор мекунад, ислоҳ кунед · Порти dm_agent-ро ба дастури протсессор ва автобуси додаҳо пайваст кунед. Боварӣ ҳосил кунед, ки суроғаи пойгоҳ байни ҳарду автобус якхела бошад.
· Ин хосиятро барои фош кардани бандарҳои dbg_reset_out ва ndm_reset_in фаъол созед. · ҶTAG debugger ё фармони niosv-download -r dbg_reset_out-ро ба вуҷуд меорад, ки
ба протсессори Nios V имкон медиҳад, ки периферии системаро, ки ба ин порт пайваст мешаванд, аз нав танзим кунад. · Шумо бояд интерфейси dbg_reset_out -ро ба ndm_reset_in ба ҷои азнавсозӣ пайваст кунед
интерфейс барои аз нав танзимкунии триггер ба ядрои протсессор ва модули таймер. Шумо набояд интерфейси dbg_reset_out-ро барои аз нав танзимкунии интерфейс пайваст накунед, то рафтори номуайянро пешгирӣ кунед.

2.1.1.3.3. Ҷадвали Lockstep Tab 13. Lockstep Tab
Параметрҳо Фаъолсозии Lockstep-и пешфарз Давраи мӯҳлатро фаъол созед Интерфейси азнавсозии васеъ

Тавсиф · Системаи дугонаи асосии Lockstep -ро фаъол созед. · Қимати пешфарзии вақти барномарезишаванда ҳангоми баромадан аз навсозӣ (аз 0 то 255). · Интерфейси ихтиёрии аз нав танзимкунии васеъшударо барои назорати азнавсозии васеъ фаъол созед. · Ҳангоми ғайрифаъол, fRSmartComp Control Basic Reset -ро амалӣ мекунад.

2.1.1.3.4. Ҷадвали азнавсозии дархостро истифода баред

Ҷадвали 14. Параметри ҷадвали Reset Request-ро истифода баред

Ҷадвали азнавсозии дархостро истифода баред

Тавсифи

Иловаи Интерфейси азнавсозии дархост

· Ин хосиятро барои фош кардани бандарҳои аз нав танзимкунии маҳаллӣ фаъол созед, ки дар он устои маҳаллӣ метавонад онро истифода барад, то протсессори Nios V-ро аз нав барқарор кунад, бе таъсир ба ҷузъҳои дигари системаи протсессори Nios V.
· Интерфейси барқароркунӣ аз сигнали resetreq-и вуруд ва сигнали баромади акк иборат аст.
· Шумо метавонед бо тасдиқи сигнали resetreq аз нав танзимкунии ядрои протсессори Nios V дархост кунед.
· Сигнали resetreq бояд то он даме ки протсессор сигнали акро тасдиқ накунад, нигоҳ дошта шавад. Нокомии тасдиқшуда боқӣ мондани сигнал метавонад боиси дар ҳолати ғайримуқаррарӣ қарор гирифтани протсессор гардад.
· Тасдиқи сигнали resetreq дар реҷаи дебаг ба ҳолати протсессор таъсир намерасонад.
· Протсессори Nios V ҷавоб медиҳад, ки аз нав барқароркунӣ бо нишон додани сигнал бомуваффақият аст.
· Пас аз бомуваффақият аз нав танзимкунии протсессор, тасдиқи сигнали акк метавонад давра ба давра то қатъ шудани сигнали resetreq якчанд маротиба рух диҳад.

Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 19

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform
726952 | 2025.07.16

2.1.1.3.5. Ҷадвали домҳо, истисноҳо ва қатъҳо

Ҷадвали 15.

Ҷадвали домҳо, Истисноҳо ва Танаффусҳо ҳангоми фаъол кардани контролери қатъшавии сатҳи аслӣ хомӯш карда мешавад

Ҷадвали домҳо, истисноҳо ва халалҳо
Бозсозии агент

Тавсифи
· Хотирае, ки вектори аз нав танзим мекунад (суроғаи аз нав танзимкунии протсессори Nios V), ки дар он рамзи барқароркунӣ ҷойгир аст.
· Шумо метавонед ягон модули хотираро интихоб кунед, ки ба устоди дастури протсессори Nios V пайваст ва аз ҷониби ҷараёни пурборкунандаи Nios V ҳамчун агенти аз нав танзимшаванда дастгирӣ карда мешавад.

Офсетро аз нав танзим кунед

· Ҷуброни вектори барқароркуниро нисбат ба суроғаи асосии агенти аз нав танзимкунии интихобшуда муайян мекунад. · Платформаи тарроҳӣ ба таври худкор арзиши пешфарзро барои ҷуброни азнавсозӣ таъмин мекунад.

Назоратчии қатъшавии сатҳи асосӣ (CLIC) -ро фаъол созед

· CLIC-ро фаъол созед, то таваққуфҳои пешакӣ ва ҳолати триггери танзимшавандаи таваққуфро дастгирӣ кунад.
· Вақте ки фаъол карда шудааст, шумо метавонед шумораи халалҳои платформаро танзим кунед, шартҳои триггерро муқаррар кунед ва баъзе аз қатъҳоро ҳамчун пешгирикунанда таъин кунед.

Реестри Сояи Ҳолати қатъ Files

Намудҳои танаффусро ҳамчун Direct, ё Vectored Enable реестри сояҳо барои кам кардани гузариши контекст ҳангоми қатъкунӣ муайян кунед.

Ҷадвали 16.

Домҳо, Истисноҳо ва Танаффусҳо ҳангоми фаъол кардани контролери қатъшавии сатҳи аслӣ

Домҳо, Истисноҳо ва Танаффусҳо

Тавсифҳо

Бозсозии агент
Офсетро аз нав танзим кунед
Назоратчии қатъшавии сатҳи асосӣ (CLIC) -ро фаъол созед

· Хотирае, ки вектори аз нав танзим мекунад (суроғаи аз нав танзимкунии протсессори Nios V), ки дар он рамзи барқароркунӣ ҷойгир аст.
· Шумо метавонед ягон модули хотираро интихоб кунед, ки ба устоди дастури протсессори Nios V пайваст ва аз ҷониби ҷараёни пурборкунандаи Nios V ҳамчун агенти аз нав танзимшаванда дастгирӣ карда мешавад.
· Ҷуброни вектори барқароркуниро нисбат ба суроғаи асосии агенти аз нав танзимкунии интихобшуда муайян мекунад. · Платформаи тарроҳӣ ба таври худкор арзиши пешфарзро барои ҷуброни азнавсозӣ таъмин мекунад.
· CLIC-ро фаъол созед, то таваққуфҳои пешакӣ ва ҳолати триггери танзимшавандаи таваққуфро дастгирӣ кунад. · Ҳангоми фаъол, шумо метавонед шумораи халалҳои платформаро танзим кунед, шартҳои триггерро муқаррар кунед,
ва баъзе аз танаффусҳоро ҳамчун пешгирикунанда таъин кунед.

Ҳолати қатъ

· Намудҳои қатъро ҳамчун Direct, Vectored ё CLIC муайян кунед.

Феҳристи соя Files

· Феҳристи сояафканро фаъол созед, то гузариши контекст ҳангоми қатъшавӣ кам карда шавад.
· Ду равишро пешниҳод мекунад:
— Шумораи сатҳҳои қатъи CLIC
— Шумораи сатҳҳои қатъи CLIC – 1: Ин хосият вақте муфид аст, ки шумо шумораи реестрро мехоҳед file нусхабардорӣ мекунад, то ба шумораи дақиқи блокҳои M20K ё M9K мувофиқат кунад.
· Протсессори Nios V-ро барои истифодаи реестри соя фаъол созед files, ки хароҷоти ивазкунии контекстро ҳангоми танаффус кам мекунанд.
Барои маълумоти бештар дар бораи феҳристи соя fileс, ба Дастури Reference Processor Nios V муроҷиат кунед.

Шумораи манбаъҳои қатъи платформа

· Шумораи қатъшавии платформаро аз 16 то 2048 муайян мекунад.
Эзоҳ: CLIC то 2064 вуруди танаффусро дастгирӣ мекунад ва 16 вуруди қатъи аввал низ ба контроллерҳои асосии қатъшавӣ пайваст карда шудаанд.

Ҳамоҳангсозии ҷадвали вектории CLIC

· Ба таври худкор аз рӯи шумораи манбаъҳои қатъшавии платформа муайян карда мешавад. · Агар шумо ҳаммосро истифода баред, ки аз арзиши тавсияшуда камтар аст, CLIC мантиқро зиёд мекунад
мураккабӣ тавассути илова кардани иловаи иловагӣ барои иҷрои ҳисобҳои векторӣ. · Агар шумо ҳамворкуниро истифода баред, ки аз арзиши тавсияшуда пасттар бошад, ин боиси афзоиши он мегардад
мураккабии мантиқӣ дар CLIC.
идома дод…

Дастури тарҳрезии протсессори дохилшудаи Nios® V 20

Фиристодани фикру ақида

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform
726952 | 2025.07.16

Домҳо, Истисноҳо ва Танаффусҳо
Шумораи сатҳҳои қатъ
Шумораи Афзалиятҳои Танаффус дар як сатҳ
Қутбияти таваққуф танзимшавандаи Дастгирии канори ангезаи қатъ

Тавсифҳо
· Шумораи сатҳҳои қатъро бо сатҳи иловагии 0 барои рамзи барнома муайян мекунад. Танаффусҳои сатҳи баландтар метавонанд коркарди иҷрошавандаро барои қатъи сатҳи поёнтар қатъ кунанд (пешакӣ).
· Бо сатҳҳои қатъи ғайрисифр ҳамчун ягона вариант барои қатъкунӣ, рамзи барнома ҳамеша дар сатҳи пасттарин 0 аст. Эзоҳ: Конфигуратсияи вақти иҷрошавии сатҳ ва афзалияти қатъ дар реестри ягонаи 8-бит анҷом дода мешавад. Агар шумораи сатҳҳои танаффус 256 бошад, дар вақти иҷро афзалияти қатъро танзим кардан ғайриимкон аст. Дар акси ҳол, шумораи максималии афзалиятҳои танзимшаванда 256 / (шумораи сатҳҳои қатъ - 1) аст.
· Миқдори афзалиятҳои танаффусро муайян мекунад, ки CLIC онҳоро барои муайян кардани тартиби даъвати коркардкунандагони қатънашаванда истифода мебарад. Эзоҳ: Пайвасткунии арзишҳои бинарии сатҳи қатъи интихобшуда ва афзалияти қатъии интихобшуда бояд камтар аз 8 бит бошад.
· Ба шумо имкон медиҳад, ки қутби қатъро дар вақти кор танзим кунед. · Қутбияти пешфарз қутбияти мусбат аст.
· Ба шумо имкон медиҳад, ки ҳолати триггери танаффусро дар вақти кор танзим кунед, яъне триггери сатҳи баланд ё канори мусбӣ (вақте ки қутбияти танаффус дар қутббандии танзимшавандаи қатъ мусбат аст).
· Ҳолати триггери пешфарз қатъшавии сатҳи триггер мебошад.

Шарҳ:

Платформаи тарроҳӣ варианти мутлақро пешкаш мекунад, ки ба шумо имкон медиҳад суроғаи мутлақро дар Reset Offset муайян кунед. Ин хосиятро вақте истифода баред, ки хотираи захиракунандаи вектори аз нав танзимшаванда берун аз системаи протсессор ва зерсистемаҳо ҷойгир аст.

Маълумоти марбут ба Nios® V Processor Reference Manual

2.1.1.3.6. Ҷадвали конфигуратсияҳои хотира

Ҷадвали 17. Параметрҳои ҷадвали конфигуратсияи хотира

Категория

Ҷадвали конфигуратсияи хотира

Тавсифи

Кэшҳо

Андозаи кэши маълумот

· Андозаи кэши маълумотро муайян мекунад. · Андозаҳои дуруст аз 0 килобайт (КБ) то 16 КБ мебошанд. · Вақте ки андоза 0 KB аст, кэши маълумотро хомӯш кунед.

Андозаи кэши дастур

· Андозаи кэши дастурро муайян мекунад. · Андозаҳои дуруст аз 0 КБ то 16 КБ мебошанд. · Вақте ки андоза 0 KB аст, кэши дастурро хомӯш кунед.

Минтақаҳои периферии А ва В

Андоза

· Андозаи минтақаи перифериро муайян мекунад.
· Андозаҳои дуруст аз 64 КБ то 2 гигабайт (ГБ) мебошанд ё Ҳеҷ. Интихоби Ҳеҷ минтақаи канориро ғайрифаъол мекунад.

Суроғаи асосӣ

· Суроғаи асосии минтақаи канориро пас аз интихоби андоза муайян мекунад.
· Ҳама суроғаҳо дар минтақаи канорӣ дастрасии кэшнашавандаи маълумотро ба вуҷуд меоранд.
· Суроғаи пойгоҳи минтақаи периферӣ бояд ба андозаи минтақаи канорӣ мувофиқ карда шавад.

Хотираҳои зич алоқаманд

Андоза

· Андозаи хотираи зич пайвастшударо муайян мекунад. — Андозаҳои дуруст аз 0 МБ то 512 МБ мебошанд.

Оғозсозии суроғаи асосӣ File

· Суроғаи асосии хотираи зич алоқамандро муайян мекунад. · Оғозкуниро муайян мекунад file барои хотираи зич пайваст.

Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 21

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform
726952 | 2025.07.16

Шарҳ:

Дар системаи протсессори Nios V, ки кэш фаъол аст, шумо бояд таҷҳизоти периферии системаро дар як минтақаи канорӣ ҷойгир кунед. Шумо метавонед минтақаҳои перифериро барои муайян кардани транзаксияҳои кэшнашаванда барои дастгоҳҳои периферӣ ба монанди UART, PIO, DMA ва дигарон истифода баред.

2.1.1.3.7. Ҷадвали ECC

Ҷадвали 18. Ҷадвали ECC
ECC Муайянкунии хатогиҳо ва гузоришдиҳии вазъро фаъол созед
Ислоҳи як битро фаъол созед

Тавсифи
· Барои татбиқи хусусияти ECC барои блокҳои дохилии RAM протсессори Nios V ин хосиятро фаъол созед. · Хусусиятҳои ECC то 2-бит хатогиҳоро ошкор мекунанд ва дар асоси рафтори зерин вокуниш нишон медиҳанд:
— Агар ин як хатои ислоҳшавандаи як бит бошад ва Фаъолсозии Ислоҳи як бит хомӯш карда шуда бошад, пас аз ислоҳи хато дар лӯлаи протсессори протсессор корашро идома медиҳад. Аммо ислох дар хотирахои сарчашмахо инъикос наёфтааст.
— Агар ин як хатои ислоҳшавандаи як бит бошад ва Фаъолсозии Ислоҳи ягонаи битӣ фаъол бошад, протсессор пас аз ислоҳи хато дар лӯлаи протсессор ва хотираҳои манбаъ корашро идома медиҳад.
— Агар ин хатои ислохнашаванда бошад, протсессор кори худро бозмедорад.
Ислоҳи як битро дар блокҳои хотираи дарунсохт дар ядро ​​фаъол созед.

2.1.1.3.8. Ҷадвали дастури фармоишӣ

Шарҳ:

Ин ҷадвал танҳо барои асосии протсессори Nios V/g дастрас аст.

Дастури фармоишии Nios V Ҷадвали интерфейси сахтафзори дастури фармоишӣ
Nios V фармоишгари нармафзори дастури ҷадвали макро

Тавсифи
· Протсессори Nios V ин ҷадвалро барои муайян кардани интерфейсҳои менеҷери дастурҳои фармоишии худ истифода мебарад.
· Интерфейсҳои муайяншудаи менеҷери дастурҳои фармоишӣ аз ҷониби Opcode (CUSTOM0-3) ва 3 бит аз funct7[6:4] ба таври беназир рамзгузорӣ карда мешаванд.
· Шумо метавонед то 32 интерфейси инфиродии менеҷери дастурҳои фармоиширо муайян кунед.
· Протсессори Nios V ин ҷадвалро барои муайян кардани рамзгузории нармафзори дастури фармоишӣ барои интерфейсҳои муайяншудаи менеҷери дастурҳо истифода мебарад.
· Барои ҳар як рамзгузории нармафзори дастури фармоишии муайяншуда, рамзи Opcode (CUSTOM0-3) ва 3 бит рамзгузории funct7[6:4] бояд бо рамзгузории интерфейси муайяншудаи менеҷери дастурҳои фармоишӣ дар Ҷадвали интерфейси Дастури Сахтафзори фармоишӣ мувофиқат кунад.
· Шумо метавонед funct7[6:4], funct7[3:0] ва funct3[2:0]-ро барои муайян кардани рамзгузории иловагӣ барои дастури фармоишии додашуда истифода баред, ё ҳамчун Xҳо ҳамчун далелҳои иловагӣ интиқол дода мешаванд.
· Протсессори Nios V рамзгузории нармафзори дастури фармоиширо ҳамчун макросҳои C дар system.h тавлидшуда таъмин мекунад ва формати дастури R-навъи RISC-V-ро риоя мекунад.
· Mnemonics метавонад барои муайян кардани номҳои фармоишӣ барои: — C-Macros тавлидшуда дар system.h.
— Мнемоникаи ислоҳи GDB тавлидшуда дар custom_instruction_debug.xml.

Маълумоти марбут
AN 977: Дастури фармоишии протсессори Nios V Барои маълумоти бештар дар бораи дастурҳои фармоишӣ, ки ба шумо имкон медиҳанд протсессори Nios® V-ро барои қонеъ кардани ниёзҳои як барномаи мушаххас танзим кунед.

Дастури тарҳрезии протсессори дохилшудаи Nios® V 22

Фиристодани фикру ақида

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform 726952 | 2025.07.16
2.1.2. Муайян кардани тарҳрезии ҷузъҳои система
Платформаи тарроҳиро барои муайян кардани хусусиятҳои сахтафзори системаи протсессори Nios V истифода баред ва ҷузъҳои дилхоҳро илова кунед. Диаграммаи зерин тарҳи асосии системаи протсессори Nios V-ро бо ҷузъҳои зерин нишон медиҳад: · Протсессори асосии Nios V · Хотираи чипӣ · JTAG UART · Вақтсанҷи фосилавӣ (ихтиёрӣ)(1)
Вақте ки хотираи нави Chip ба системаи тарроҳии Платформа илова карда мешавад, синхронизатсияи системаро иҷро кунед, то ҷузъҳои хотираи иловашударо дар барқарорсозӣ инъикос кунед. Интихобан, шумо метавонед ҳамоҳангсозии худкорро дар Платформаи Designer фаъол созед, то тағироти охирини ҷузъро ба таври худкор инъикос кунад
Расми 11. Мисample пайвасти протсессори Nios V бо дигар перифери дар Platform Designer

(1) Шумо имкон доред, ки хусусиятҳои Nios V Timer Internal Timer-ро барои иваз кардани Таймери фосилавии беруна дар Platform Designer истифода баред.

Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 23

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform
726952 | 2025.07.16
Шумо инчунин бояд пинҳои амалиётиро барои содирот ҳамчун канал дар системаи тарроҳии Платформаи худ муайян кунед. Барои мисолample, рӯйхати пинҳои амалиётии дурусти системаи FPGA ба таври зерин муайян карда мешавад, аммо бо маҳдуд намешавад:
· Соат
· Бозсозӣ
· Сигналҳои воридотӣ
2.1.3. Муайян кардани суроғаҳои асосӣ ва афзалиятҳои дархости қатъ
Барои муайян кардани он, ки ҷузъҳои дар тарҳ иловашуда барои ташкили система чӣ гуна мутақобила мекунанд, шумо бояд суроғаҳои асосиро барои ҳар як ҷузъи агент таъин кунед ва афзалиятҳои дархости қатъ (IRQ) -ро барои J таъин кунед.TAG UART ва таймери фосилавӣ. Тарҳрези Платформа фармонеро пешниҳод мекунад - Суроғаҳои асосиро таъин кунед, ки ба таври худкор суроғаҳои асосиро ба ҳамаи ҷузъҳои система таъин мекунад. Аммо, шумо метавонед суроғаҳои асосиро дар асоси ниёзҳои худ танзим кунед.
Инҳоянд чанд роҳнамо барои таъини суроғаҳои асосӣ:
· Як ядрои протсессори Nios V дорои фосилаи суроғаи 32-бит мебошад. Барои дастрасӣ ба ҷузъҳои агент, суроғаи асосии онҳо бояд аз 0x00000000 ва 0xFFFFFFFF бошад.
· Барномаҳои Nios V барои истинод ба суроғаҳо константаҳои рамзӣ истифода мебаранд. Ба шумо лозим нест, ки арзишҳои суроғаеро интихоб кунед, ки ба осонӣ дар хотир дошта бошанд.
· Қиматҳои суроғаҳо, ки ҷузъҳоро бо фарқияти суроғаи як-бит фарқ мекунанд, сахтафзори муассиртар тавлид мекунанд. Ба шумо лозим нест, ки ҳамаи суроғаҳои асосиро ба хурдтарин диапазони суроғаҳо фишурда кунед, зеро фишурдан метавонад сахтафзори камсамар эҷод кунад.
· Тарҳрезии платформа кӯшиш намекунад, ки ҷузъҳои хотираи ҷудогонаро дар диапазони хотираи ҳамҷоя мувофиқ созад. Барои мисолampАгар шумо хоҳед, ки ҷузъҳои зиёди хотираи On-Chip ҳамчун як диапазони хотираи ҳамшафат суроға шаванд, шумо бояд ба таври возеҳ суроғаҳои асосиро таъин кунед.
Дизайнери платформа инчунин фармони автоматикунониро таъмин мекунад - Рақамҳои қатъро таъин кунед, ки сигналҳои IRQ-ро барои ба даст овардани натиҷаҳои дурусти сахтафзор пайваст мекунад. Аммо, ба таври муассир таъин кардани IRQ фаҳмиши рафтори умумии вокуниши системаро талаб мекунад. Дизайнери платформа наметавонад дар бораи беҳтарин супориши IRQ тахмин кунад.
Арзиши пасттарини IRQ афзалияти баландтарин дорад. Дар системаи идеалӣ, Altera тавсия медиҳад, ки ҷузъи таймер дорои афзалияти баландтарин IRQ, яъне арзиши пасттарин бошад, то дақиқии аломати соати системаро нигоҳ дорад.
Дар баъзе мавридҳо, шумо метавонед ба таҷҳизоти периферии вақти воқеӣ (масалан, контроллерҳои видеоӣ) афзалияти баландтар таъин кунед, ки нисбат ба ҷузъҳои таймер суръати баландтари қатъро талаб мекунад.
Маълумоти марбут
Дастури корбар Quartus Prime Pro Edition: Маълумоти бештар дар бораи сохтани система бо Платформаи Designer.

Дастури тарҳрезии протсессори дохилшудаи Nios® V 24

Фиристодани фикру ақида

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform 726952 | 2025.07.16
2.2. Интегратсия кардани системаи тарроҳии платформа ба лоиҳаи Quartus Prime
Пас аз тавлиди тарҳи системаи Nios V дар Платформаи Designer, барои ҳамгироӣ кардани модули системаи Nios V ба лоиҳаи тарроҳии Quartus Prime FPGA, вазифаҳои зеринро иҷро кунед. · Модули системаи Nios V-ро дар лоиҳаи Quartus Prime эҷод кунед · Сигналҳоро аз модули системаи Nios V ба сигналҳои дигар дар мантиқи FPGA пайваст кунед · Ҷойгиршавии пинҳои физикиро таъин кунед · Тарҳи FPGA-ро маҳдуд кунед
2.2.1. Ташкили модули системаи протсессори Nios V дар лоиҳаи Quartus Prime
Дизайнери платформа як объекти тарроҳии модули системаро тавлид мекунад, ки шумо метавонед онро дар Quartus Prime эҷод кунед. Чӣ тавр шумо модули системаро эҷод мекунед, аз усули вуруди тарроҳӣ барои лоиҳаи умумии Quartus Prime вобаста аст. Барои мисолample, агар шумо Verilog HDL-ро барои вуруди тарроҳӣ истифода мебурдед, модули системаи Verilog-ро ба кор баред. Агар шумо хоҳед, ки усули блок-диаграммаро барои вуруди тарҳ истифода баред, рамзи модули системаро .bdf эҷод кунед. file.
2.2.2. Пайваст кардани сигналҳо ва таъин кардани ҷойҳои PIN ҷисмонӣ
Барои пайваст кардани тарҳи Altera FPGA ба тарҳи сатҳи тахтаи худ, вазифаҳои зеринро иҷро кунед: · Сатҳи болоро муайян кунед file барои тарҳрезӣ ва сигналҳои шумо барои пайвастшавӣ ба Altera беруна
Пайвастҳои дастгоҳи FPGA. · Фаҳмед, ки кадом пинҳоро тавассути дастури корбарии тарҳрезии сатҳи тахтаи худ пайваст кардан лозим аст ё
схемаҳо. · Сигналҳоро дар тарҳи сатҳи боло ба портҳои дастгоҳи Altera FPGA бо пин таъин кунед
воситаҳои таъин.
Системаи тарроҳии платформаи шумо метавонад тарҳи сатҳи олӣ бошад. Аммо, Altera FPGA инчунин метавонад мантиқи иловагиро дар асоси эҳтиёҷоти шумо дар бар гирад ва ба ин васила сатҳи фармоишии болоро ҷорӣ кунад file. Дараҷаи олӣ file сигналҳои модули системаи протсессори Nios V ба дигар мантиқи тарҳи Altera FPGA мепайвандад.
Маълумоти марбут ба дастури корбар Quartus Prime Pro Edition: Маҳдудиятҳои тарроҳӣ
2.2.3. Маҳдуд кардани тарҳи Altera FPGA
Тарҳрезии дурусти системаи Altera FPGA маҳдудиятҳои тарроҳиро дар бар мегирад, то ин ки тарроҳӣ ба бастани вақт ва дигар талаботҳои маҳдудияти мантиқӣ мувофиқат кунад. Шумо бояд тарҳи Altera FPGA-и худро маҳдуд кунед, то ин талаботро ба таври возеҳ бо истифода аз асбобҳое, ки дар нармафзори Quartus Prime ё провайдерҳои EDA пешниҳод шудаанд, қонеъ гардонед. Нармафзори Quartus Prime маҳдудиятҳои пешниҳодшударо дар марҳилаи таҳия барои ба даст овардани натиҷаҳои беҳтарини ҷойгиркунӣ истифода мебарад.

Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 25

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform
726952 | 2025.07.16
Маълумоти марбут · Дастури корбар Quartus Prime Pro Edition: Маҳдудиятҳои тарроҳӣ · Шарикони тарафи сеюми EDA · Дастури корбар Quartus Prime Pro Edition: Таҳлилгари вақт
2.3. Тарҳрезии системаи хотираи протсессори Nios V
Ин бахш таҷрибаҳои беҳтарини интихоби дастгоҳҳои хотираро дар системаи дохилии Platform Designer бо протсессори Nios V ва ноил шудан ба иҷрои беҳтарин тавсиф мекунад. Дастгоҳҳои хотира дар беҳтар кардани кори умумии системаи дохилӣ нақши муҳим мебозанд. Хотираи системавӣ дастурҳо ва маълумотҳои барномаро нигоҳ медорад.
2.3.1. Хотираи идоранашаванда
Фарқияти асосӣ дар навъи хотира ноустуворӣ мебошад. Хотираи идоранашаванда танҳо мундариҷаи онро дар ҳоле нигоҳ медорад, ки шумо ба дастгоҳи хотира қувваи барқ ​​медиҳед. Ҳамин ки шумо барқро хориҷ мекунед, хотира мундариҷаи худро гум мекунад.
Exampхотираи идоранашаванда RAM, кэш ва регистрҳо мебошанд. Инҳо намудҳои хотираи зуд мебошанд, ки иҷрои корро зиёд мекунанд. Altera ба шумо тавсия медиҳад, ки дастурҳои протсессори Nios V-ро дар хотираи оперативӣ бор кунед ва иҷро кунед ва ядрои Nios V IP-ро бо On-Chip Memory IP ё External Memory Interface IP барои иҷрои беҳтарин пайваст кунед.
Барои беҳтар кардани кор, шумо метавонед ҷузъҳои иловагии мутобиқсозии Platform Designer-ро тавассути мувофиқ кардани намуди интерфейси менеҷери додаҳои протсессори Nios V бо RAM пурборшаванда нест кунед. Барои мисолample, шумо метавонед On-Chip Memory II-ро бо интерфейси 32-бита AXI-4 танзим кунед, ки ба интерфейси мудири маълумоти Nios V мувофиқат мекунад.
Маълумоти марбут · Интерфейсҳои хотираи беруна Маркази дастгирии IP · Хотираи дар чип (RAM ё ROM) Altera FPGA IP · Хотираи дар чип II (RAM ё ROM) Altera FPGA IP · Барномаи протсессори Nios V аз OCRAM иҷрошаванда дар саҳифаи 54
2.3.1.1. Конфигуратсияи хотираи дар чип RAM ё ROM
Шумо метавонед IP-ҳои хотираи Altera FPGA On-Chip-ро ҳамчун RAM ё ROM танзим кунед. · RAM қобилияти хондан ва навиштанро таъмин мекунад ва хусусияти ноустувор дорад. Агар шумо бошед
протсессори Nios V-ро аз RAM-и On-Chip пурбор карда, шумо бояд боварӣ ҳосил кунед, ки мундариҷаи пурборкунӣ нигоҳ дошта мешавад ва дар сурати аз нав танзимкунии вақти корӣ вайрон намешавад. · Агар протсессори Nios V аз ROM пурбор шавад, ҳама гуна хатогии нармафзор дар протсессори Nios V наметавонад мундариҷаи хотираи On-Chip-ро хато аз нав нависад. Ҳамин тариқ, кам кардани хатари коррупсияи нармафзори боркунӣ.
Маълумоти марбут · Хотираи дар чип (RAM ё ROM) Altera FPGA IP · Хотираи дар чип II (RAM ё ROM) Altera FPGA IP · Барномаи протсессори Nios V дар ҷои иҷрошаванда аз OCRAM дар саҳифа 54

Дастури тарҳрезии протсессори дохилшудаи Nios® V 26

Фиристодани фикру ақида

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform 726952 | 2025.07.16
2.3.1.2. Кэшҳо
Хотираҳои чипӣ одатан барои татбиқи функсияҳои кэш аз сабаби таъхири пасти онҳо истифода мешаванд. Протсессори Nios V хотираи чипиро барои дастур ва кэшҳои маълумот истифода мебарад. Иқтидори маҳдуди хотираи чипӣ одатан барои кэшҳо мушкил нест, зеро онҳо одатан хурд мебошанд.
Кэшҳо одатан дар шароити зерин истифода мешаванд:
· Хотираи муқаррарӣ дар берун аз чип ҷойгир аст ва нисбат ба хотираи чипӣ вақти дастрасии дарозтар дорад.
· Қисмҳои муҳими иҷроиш аз коди нармафзор метавонанд дар кэши дастур ҷойгир шаванд ва кори системаро беҳтар созанд.
· Қисмати аз ҷиҳати иҷрои интиқодӣ, ки бештар истифодашавандаи додаҳо метавонад дар кэши додаҳо ҷойгир карда, кори системаро беҳтар созад.
Фаъолсозии кэшҳо дар протсессори Nios V иерархияи хотираро эҷод мекунад, ки вақти дастрасии хотираро кам мекунад.
2.3.1.2.1. Минтақаи периферӣ
Ҳама гуна таҷҳизоти дарунсохташудаи IP, ба монанди UART, I2C ва SPI набояд кэш карда шаванд. Кэш барои хотираҳои беруна, ки ба вақти дастрасии тӯлонӣ таъсир мерасонад, тавсия дода мешавад, дар ҳоле ки хотираҳои дохилии чипҳо аз сабаби вақти кӯтоҳи дастрасии онҳо метавонанд истисно карда шаванд. Шумо набояд ягон IP-и периферии дарунсохташударо, ба монанди UART, I2C ва SPI, ба истиснои хотираҳо, кэш накунед. Ин муҳим аст, зеро рӯйдодҳои дастгоҳҳои беруна, ба монанди дастгоҳҳои агентӣ, ки IP-ҳои нармро нав мекунанд, аз ҷониби кэши протсессор сабт карда намешаванд ва дар навбати худ протсессор қабул намекунанд. Дар натиҷа, ин рӯйдодҳо то он даме, ки шумо кэшро тоза кунед, нодида гирифта мешаванд, ки ин метавонад боиси рафтори ғайричашмдошт дар системаи шумо гардад. Хулоса, минтақаи бо хариташудаи хотираи IP-ҳои периферии дарунсохташуда ғайриимкон аст ва бояд дар дохили минтақаҳои периферии протсессор ҷойгир бошад.
Барои танзими минтақаи канорӣ, ин қадамҳоро иҷро кунед:
1. Харитаи суроғаи системаро дар тарроҳии платформа кушоед.
2. Ба харитаи суроғаи менеҷери дастури протсессор ва менеҷери маълумот гузаред.
3. Қисмҳои канорӣ ва хотираҳоро дар системаи худ муайян кунед.
Расми 12. МисampХаритаи суроға

Эзоҳ: Тирҳои кабуд ба хотираҳо ишора мекунанд. 4. Асбобҳои перифериро гурӯҳбандӣ кунед:
а. Хотира ҳамчун кэшшаванда б. Асбобҳои периферӣ ҳамчун кэшнашаванда

Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 27

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform
726952 | 2025.07.16

Ҷадвали 19. Минтақаи кэшшаванда ва ғайриимкон

Тобе

Харитаи адресҳо

Статус

Минтақаи периферӣ

Андоза

Суроғаи асосӣ

user_application_mem.s1

0x0 ~ 0x3ffff

Кэшшаванда

Н/А

Н/А

cpu.dm_agent bootcopier_rom.s1

0x40000 ~ 0x4ffff 0x50000 ~ 0x517ff

Кэш карданашаванда

65536 байт нест

0x40000 Не

bootcopier_ram.s1 cpu.timer_sw_agent mailbox.avmm

0x52000 ~ 0x537ff 0x54000 ~ 0x5403f 0x54040 ~ 0x5407f

Кэш кардашаванда Кэш карда намешавад

144 байт (андозаи ҳадди ақал 65536 байт)

0х54000

sysid_qsys_0.control_slave

0x54080 ~ 0x54087

Беимкон

uart.avalon_jtag_ғулом

0x54088 ~ 0x5408f

Беимкон

5. Минтақаҳои перифериро бо андозаҳои мушаххаси онҳо мувофиқ кунед:
· Барои мисолample, агар андоза 65536 байт бошад, он ба 0x10000 байт мувофиқат мекунад. Аз ин рӯ, суроғаи пойгоҳи иҷозатдодашуда бояд чандкаратаи 0x10000 бошад.
· CPU.dm_agent суроғаи асосии 0x40000-ро истифода мебарад, ки чандкаратаи 0x10000 аст. Дар натиҷа, Минтақаи периферии А, ки андозаи 65536 байт ва суроғаи асосии 0x40000 мебошад, ба талабот ҷавобгӯ аст.
· Суроғаи асосии коллексияи минтақаҳои кэшнашаванда дар 0x54000 чандкаратаи 0x10000 нест. Шумо бояд онҳоро ба 0x60000 ё дигар чандкаратаи 0x10000 таъин кунед. Ҳамин тариқ, Минтақаи периферии В, ки андозаи 65536 байт ва суроғаи асосии 0x60000 дорад, ба меъёрҳо мувофиқат мекунад.

Ҷадвали 20. Минтақаи кэшшаванда ва ғайриимкон бо таъинот

Тобе

Харитаи адресҳо

Статус

Минтақаи периферӣ

Андоза

Суроғаи асосӣ

user_application_mem.s1

0x0 ~ 0x3ffff

Кэшшаванда

Н/А

Н/А

cpu.dm_agent

0x40000 ~ 0x4ffff

65536 байт ғайриимкон аст

0х40000

bootcopier_rom.s1

0x50000 ~ 0x517ff

Кэшшаванда

Н/А

Н/А

bootcopier_ram.s1 cpu.timer_sw_agent mailbox.avmm sysid_qsys_0.control_slave

0x52000 ~ 0x537ff 0x60000 ~ 0x6003f 0x60040 ~ 0x6007f 0x60080 ~ 0x60087

Кэш карданашавандаи кэшнашаванда

144 байт (андозаи ҳадди ақал 65536 байт)

0х60000

uart.avalon_jtag_ғулом

0x60088 ~ 0x6008f

Беимкон

2.3.1.3. Хотираи зич пайваст
Хотираҳои зич пайвастшуда (TCM) бо истифода аз хотираи чипӣ амалӣ карда мешаванд, зеро таъхири пасти онҳо онҳоро ба вазифа мувофиқ мекунад. TCMҳо хотираҳое мебошанд, ки дар фазои муқаррарии суроғаҳо харита шудаанд, аммо интерфейси махсус барои микропросессор доранд ва дорои хосиятҳои баландсифат ва таъхирнопазири хотираи кэш мебошанд. TCM инчунин интерфейси тобеъро барои мизбони беруна таъмин мекунад. Протсессор ва мизбони беруна барои коркарди TCM як сатҳи иҷозат доранд.

Дастури тарҳрезии протсессори дохилшудаи Nios® V 28

Фиристодани фикру ақида

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform
726952 | 2025.07.16

Шарҳ:

Вақте ки бандари зертобеи TCM ба ҳости беруна пайваст мешавад, он метавонад бо суроғаи асосии дигар аз суроғаи асосие, ки дар ядрои протсессор таъин шудааст, намоиш дода шавад. Altera тавсия медиҳад, ки ҳарду суроғаро ба як арзиш мувофиқ созед.

2.3.1.4. Интерфейси хотираи беруна (EMIF)
EMIF (Интерфейси хотираи берунӣ) ба SRAM (Хотираи дастрасии тасодуфии статикӣ) шабеҳ кор мекунад, аммо он динамикӣ аст ва барои нигоҳ доштани мундариҷаи он мунтазам тароват додан лозим аст. Ҳуҷайраҳои хотираи динамикӣ дар EMIF аз ҳуҷайраҳои хотираи статикӣ дар SRAM хеле хурдтаранд, ки ин боиси иқтидори баландтар ва дастгоҳҳои хотираи камхарҷ мегардад.
Илова ба талаботи навсозӣ, EMIF дорои талаботи мушаххаси интерфейс мебошад, ки аксар вақт сахтафзори махсуси контроллерро талаб мекунанд. Баръакси SRAM, ки маҷмӯи собит сатрҳои суроға дорад, EMIF фазои хотираи худро ба бонкҳо, сатрҳо ва сутунҳо ташкил мекунад. Гузариш байни бонкҳо ва сатрҳо каме хароҷотро ба бор меорад, аз ин рӯ шумо бояд дастрасии хотираро бодиққат фармоиш диҳед, то EMIF-ро самаранок истифода баред. EMIF инчунин суроғаҳои сатр ва сутунро дар як сатри суроғаҳо мултиплекс мекунад ва шумораи пинҳоро барои андозаи додаи EMIF кам мекунад.
Версияҳои баландсуръати EMIF, аз қабили DDR, DDR2, DDR3, DDR4 ва DDR5, талаботҳои қатъии якпорчагии сигналро муқаррар мекунанд, ки тарроҳони PCB бояд ба назар гиранд.
Дастгоҳҳои EMIF дар байни навъҳои аз ҳама камхарҷ ва иқтидори баландтарини RAM ҷойгиранд, ки онҳоро як варианти маъмул мегардонанд. Ҷузъи калидии интерфейси EMIF ин EMIF IP мебошад, ки вазифаҳои марбут ба мултипликатсия, тароват ва гузариш байни сатрҳо ва бонкҳоро идора мекунад. Ин тарҳ ба боқимондаи система имкон медиҳад, ки бидуни фаҳмидани меъмории дохилии он ба EMIF дастрасӣ пайдо кунанд.

Маълумоти марбут ба интерфейсҳои хотираи беруна Маркази дастгирии IP

2.3.1.4.1. Адрес Span Extender IP
Address Span Extender Altera FPGA IP имкон медиҳад, ки интерфейсҳои мизбони бо хотира хариташуда ба харитаи суроғаҳои калонтар ё хурдтар аз паҳнои сигналҳои суроғаҳои онҳо дастрасӣ пайдо кунанд. Address Span Extender IP фазои суроғашавандаро ба якчанд равзанаҳои алоҳида тақсим мекунад, то мизбон тавассути тиреза ба қисми мувофиқи хотира дастрасӣ пайдо кунад.
Address Span Extender паҳнои хост ва агентро бо конфигуратсияи 32-бит ва 64-бит маҳдуд намекунад. Шумо метавонед Address Span Extender-ро бо равзанаҳои суроғаи 1-64 бит истифода баред.

Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 29

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform
726952 | 2025.07.16

Расми 13. Address Span Extender Altera FPGA IP
Суроғаи калимаи агент

Address Span Extender

A

Ҷадвали харитасозӣ
Порти назорати А

Реестри назорат 0 Реестри назорати Z-1

Суроғаи васеъшудаи мизбон H

Маълумоти марбут
Дастури корбар Quartus® Prime Pro Edition: Тарҳрези платформа Барои маълумоти бештар ба мавзӯи Address Span Extender Intel® FPGA IP муроҷиат кунед.

2.3.1.4.2. Истифодаи Address Span Extender IP бо протсессори Nios V
Протсессори 32-битии Nios V метавонад то 4 ГБ фосилаи суроғаро ҳал кунад. Агар EMIF зиёда аз 4 ГБ хотира дошта бошад, он аз ҳадди ниҳоии суроғаи дастгирӣшаванда зиёд буда, системаи тарроҳии Платформаро хато мекунад. IP Address Span Extender барои ҳалли ин масъала тавассути тақсим кардани фазои суроғаи ягонаи EMIF ба якчанд тирезаҳои хурдтар лозим аст.
Altera тавсия медиҳад, ки шумо параметрҳои зеринро баррасӣ кунед.

Љадвали 21. Параметрњои Address Span Extender

Параметр

Танзимоти тавсияшаванда

Паҳнои роҳи маълумот
Васеъ Суроғаи Мастер Байт

32-битро интихоб кунед, ки ба протсессори 32-бит алоқаманд аст. Аз андозаи хотираи EMIF вобаста аст.

Суроғаи ғуломӣ Width Burstcount Width

2 ГБ ё камтарро интихоб кунед. Фосилаи суроғаи боқимондаи протсессори Nios V барои дигар IP-ҳои дарунсохташуда маҳфуз аст.
Бо 1 оғоз кунед ва тадриҷан ин арзишро афзоиш диҳед, то иҷрои онро беҳтар созед.

Шумораи зер тирезаҳо

Агар шумо EMIF-ро ба протсессори Nios V ҳамчун дастур ва хотираи маълумот ё ҳарду пайваст кунед, 1 зер-равзанаро интихоб кунед. Гузариш байни зерравзанаҳои сершумор ҳангоми иҷрои протсессори Nios V аз EMIF хатарнок аст.

Порти назорати ғуломро фаъол созед

Агар шумо EMIF-ро ба протсессори Nios V ҳамчун дастур ва/ё хотираи маълумот пайваст кунед, бандари назорати ғуломро хомӯш кунед. Ҳамон нигарониҳо бо Шумораи зерравзанаҳо.

Максимум хондани интизорӣ

Бо 1 оғоз кунед ва тадриҷан ин арзишро афзоиш диҳед, то иҷрои онро беҳтар созед.

Дастури тарҳрезии протсессори дохилшудаи Nios® V 30

Фиристодани фикру ақида

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform 726952 | 2025.07.16
Расми 14. Пайваст кардани дастур ва менеҷери маълумот ба Address Span Extender

Расми 15. Харитасозии суроғаҳо

Аҳамият диҳед, ки Address Span Extender метавонад ба тамоми фазои хотираи 8 ГБ EMIF дастрасӣ пайдо кунад. Аммо, тавассути Address Span Extender, протсессори Nios V метавонад танҳо ба фазои аввалини хотираи 1 ГБ EMIF дастрасӣ пайдо кунад.

Расми 16. Диаграммаи блоки соддакардашуда

Системаи тарроҳии платформа

Боқимонда 3 ГБ

Суроғаи протсессори Nios V

span барои воридшуда аст

NNioios sVV PProrocecsesosor р
M

IP-ҳои нарм дар ҳамон система.
Равзанаи 1 ГБ

Фазои суроға

S

Васеъкунанда

M

Танҳо 1 ГБ аввал

хотираи EMIF ба Nios V пайваст карда шудааст

EMIF

коркардкунанда.

8 ГБ
S

Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 31

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform
726952 | 2025.07.16
2.3.1.4.3. Муайян кардани дастгоҳи хотираи Address Span Extender Linker 1. Васеъкунандаи Address Span Extender (EMIF) -ро ҳамчун вектори аз нав танзимкунӣ муайян кунед. Интихобан, шумо метавонед вектори барқароркунии протсессори Nios V-ро ба дигар хотираҳо, ба монанди OCRAM ё дастгоҳҳои флешдор таъин кунед.
Расми 17. Вариантҳои сершумор ҳамчун Вектори аз нав танзимкунии
Аммо, Муҳаррири Дастгирии Шӯрои Дастгоҳ (BSP) наметавонад ба таври худкор васеъкунандаи Address Span Extender (EMIF) -ро ҳамчун хотираи дуруст сабт кунад. Вобаста аз интихоби шумо, шумо ду ҳолати гуногунро мебинед, ки дар расмҳои зерин нишон дода шудаанд. Расми 18. Хатои BSP ҳангоми муайян кардани Address Span Extender (EMIF) ҳамчун Reset Vector

Дастури тарҳрезии протсессори дохилшудаи Nios® V 32

Фиристодани фикру ақида

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform 726952 | 2025.07.16
Расми 19. Набудани EMIF ҳангоми муайян кардани хотираҳои дигар ҳамчун вектори аз нав танзимшуда

2. Шумо бояд ба таври дастӣ Address Span Extender (EMIF) -ро бо истифода аз Иловаи дастгоҳи хотира, Иловаи минтақаи хотираи пайвандкунанда ва илова кардани харитаҳои қисмати пайвандкунанда дар ҷадвали скрипти BSP Linker илова кунед.
3. Ин қадамҳоро иҷро кунед:
а. Бо истифода аз харитаи хотира (собиқample дар расми зерин диапазони Address Span Extender аз 0x0 то 0x3fff_ffff истифода мешавад.
Расми 20. Харитаи хотира

б. Иловаи дастгоҳи хотираро клик кунед ва дар асоси маълумоте, ки дар Харитаи хотираи тарроҳии худ ҷойгир шудааст, пур кунед: i. Номи таҷҳизот: emif_ddr4. Эзоҳ: Боварӣ ҳосил кунед, ки шумо ҳамон номро аз Харитаи хотира нусхабардорӣ мекунед. ii. Суроғаи асосӣ: 0x0 iii. Андоза: 0x40000000
в. Барои илова кардани минтақаи нави хотираи пайвандкунанда Иловаро клик кунед:

Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 33

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform
726952 | 2025.07.16

Ҷадвали 22. Илова кардани минтақаи хотираи пайвандкунанда

Қадамҳо

Векторро аз нав танзим кунед

emif_ddr4

Дигар хотираҳо

1

Минтақаи хотираи нави пайвандакро бо номи reset илова кунед. Минтақаи хотираи нави пайвандакро барои

· Номи минтақа: барқарор

emif_ddr4.

· Андозаи минтақа: 0x20

· Номи минтақа: emif_ddr4

· Дастгоҳи хотира: emif_ddr4

· Андозаи минтақа: 0x40000000

· Офсети хотира: 0x0

· Дастгоҳи хотира: emif_ddr4

· Офсети хотира: 0x0

2

Минтақаи хотираи нави пайвандакро барои

emif_ddr4 боқимонда.

· Номи минтақа: emif_ddr4

· Андозаи минтақа: 0x3fffffe0

· Дастгоҳи хотира: emif_ddr4

· Офсети хотира: 0x20

Расми 21. Минтақаи пайвандкунанда ҳангоми муайян кардани васеъкунандаи суроға (EMIF) ҳамчун вектори аз нав танзимшуда

Расми 22. Минтақаи пайвандкунанда ҳангоми муайян кардани дигар хотираҳо ҳамчун вектори аз нав танзимшуда
г. Пас аз он ки emif_ddr4 ба BSP илова карда мешавад, шумо метавонед онро барои ягон Бахши Linker интихоб кунед.
Расми 23. Иловаи васеъкунандаи суроға (EMIF) бомуваффақият

д. Огоҳӣ дар бораи дастгоҳи хотира emif_ddr4 дар тарҳи SOPC намоён нест, нодида гиред.
f. Барои тавлиди BSP идома диҳед.
Маълумоти марбут Муқаддима ба усулҳои пурборкунии протсессори Nios V дар саҳифаи 51

Дастури тарҳрезии протсессори дохилшудаи Nios® V 34

Фиристодани фикру ақида

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform 726952 | 2025.07.16
2.3.2. Хотираи ғайридавлатӣ
Хотираи ғайридавлатӣ ҳангоми хомӯш кардани барқ ​​мундариҷаи худро нигоҳ медорад ва онро барои нигоҳ доштани иттилооте, ки система бояд пас аз як давраи барқи система дарёфт кунад, интихоби хубест. Хотираи идоранашаванда одатан рамзи пурборкунандаи протсессор, танзимоти доимии барнома ва маълумоти конфигуратсияи Altera FPGA-ро нигоҳ медорад. Ҳарчанд хотираи ғайридавлатӣ идоранашавандаи дорадtagҳангоми хориҷ кардани қувваи барқ, он дар муқоиса бо хотираи идоранашаванда хеле сусттар аст ва аксар вақт тартиботи мураккабтар навиштан ва тоза кардан дорад. Хотираи ғайридавлатӣ низ одатан танҳо кафолат дода мешавад, ки чанд маротиба тоза карда шавад, пас он метавонад ноком шавад.
ExampХотираи ғайримуқаррарӣ ҳама намудҳои флеш, EPROM ва EEPROM -ро дар бар мегирад. Altera ба шумо тавсия медиҳад, ки битҳои Altera FPGA ва тасвирҳои барномаи Nios Vро дар хотираи ғайрифаъол нигоҳ доред ва флеши пайдарпайро ҳамчун дастгоҳи пурборкунанда барои коркардкунандагони Nios V истифода баред.
Маълумоти марбут
· Дастури корбарии умумӣ интерфейси Flash Serial Altera FPGA IP
· Дастури корбар барои муштарии паёмдони Altera FPGA IP · Дастури корбари хотираи Flash MAX® 10: On-Chip Flash Altera FPGA IP Core
2.4. Соатҳо ва аз нав танзимкунии таҷрибаҳои беҳтарин
Фаҳмидани он, ки чӣ тавр соати протсессори Nios V ва домени аз нав барқароркунӣ бо ҳар як перифери пайвастшуда, муҳим аст. Системаи оддии протсессори Nios V аз як домени соат оғоз мешавад ва он метавонад бо системаи домени бисёрсоата мушкил шавад, вақте ки домени соатҳои тез бо домени соати суст бархӯрд мекунад. Шумо бояд ба назар гиред ва бифаҳмед, ки чӣ гуна ин доменҳои гуногун аз нав барқарор мешаванд ва боварӣ ҳосил кунед, ки ягон мушкилоти нозук вуҷуд надорад.
Барои таҷрибаи беҳтарин, Altera тавсия медиҳад, ки протсессори Nios V ва хотираи пурборкунандаро дар як домени соат ҷойгир кунед. Протсессори Nios V-ро аз навсозӣ дар домени соати тез раҳо накунед, вақте ки он аз хотирае, ки дар домени соати хеле суст ҷойгир аст,, ки метавонад боиси хатогии гирифтани дастур гардад. Шумо метавонед якчанд пайдарпайии дастӣ аз он чизе, ки тарроҳии Платформа ба таври нобаёнӣ пешниҳод мекунад, талаб кунед ва мувофиқи ҳолати истифодаи шумо топологияи барқароркунии релизро ба нақша гиред. Агар шумо хоҳед, ки системаи худро пас аз ба кор даромадан ва муддате кор карданро аз нав танзим кунед, ҳамон мулоҳизаҳоро дар бораи пайдарпайии аз нав танзимкунии система ва талаботи оғозкунии пас аз барқароркунӣ татбиқ кунед.
2.4.1. Системаи ҶTAG Соат
Муайян кардани маҳдудиятҳои соат дар ҳар як системаи протсессори Nios V як баррасии муҳими тарҳрезии система аст ва барои дурустӣ ва рафтори детерминистӣ зарур аст. Quartus Prime Timeing Analyzer таҳлили статикии вақтро барои тасдиқи иҷрои вақти тамоми мантиқи тарроҳии шумо бо истифода аз маҳдудият, таҳлил ва методологияи ҳисоботдиҳии стандартии соҳа анҷом медиҳад.
Example 1. Соати асосӣ 100 МГс бо 50/50 сикли навбатдорӣ ва 16 МГс JTAG Соат
#**************************************************************** # Соати 100МГс #**************************************************************** create_clock -ном {clk} -давраи 10 [get_ports {clk}] #************************ Сохтани 16MHz JTAG Соат #************************

Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 35

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform
726952 | 2025.07.16
create_clock -name {altera_reserved_tck} -period 62.500 [get_ports {altera_reserved_tck}] set_clock_groups -asynchronous -group [get_clocks {altera_reserved_tck}] Маълумоти марбут ба Quartus Prime Timeing Analyzer Bookbook
2.4.2. Интерфейси дархостро аз нав танзим кунед
Протсессори Nios V дорои иншооти дархости аз нав танзимкунии ихтиёрӣ мебошад. Воситаи дархости барқароркунӣ аз сигналҳои reset_req ва reset_req_ack иборат аст.
Барои фаъол кардани дархости азнавсозӣ дар Designer Platform: 1. Муҳаррири Параметрҳои IP Nios V Processor -ро оғоз кунед. 2. Дар танзимоти Истифодаи азнавсозии дархост, интерфейси Иловаи дархости аз нав барқароркуниро фаъол созед
вариант.
Расми 24. Дархости аз нав танзимкунии протсессори Nios V -ро фаъол созед
Сигнали reset_req ҳамчун халалдор амал мекунад. Вақте ки шумо reset_req-ро тасдиқ мекунед, шумо дархост мекунед, ки ба аслӣ аз нав барқарор кунед. Асосӣ мунтазири ҳама гуна муомилоти барҷастаи автобус аст, то кори худро анҷом диҳад. Барои мисолample, агар амалиёти дастрасии хотираи интизорӣ вуҷуд дорад, аслӣ интизори посухи пурра аст. Ба ҳамин монанд, аслӣ ҳама гуна посухи интизории дастурро қабул мекунад, аммо пас аз гирифтани сигнали reset_req дархости дастурӣ намедиҳад.
Амали барқароркунӣ аз ҷараёнҳои зерин иборат аст: 1. Ҳама амалҳои интизоршударо анҷом диҳед 2. Қубури дохилиро шуста кунед 3. Ҳисобкунаки барномаро ба вектори аз нав танзимкунӣ насб кунед 4. Барқарор кардани аслӣ тамоми амалиёти барқароркунӣ чанд давраи соатро мегирад. Reset_req бояд то он даме, ки reset_req_ack тасдиқ карда нашавад, бомуваффақият анҷом ёфтани амалиёти барқароркунии аслӣ нишон дода шавад. Набудани ин ҳолат боиси ғайримуқаррарӣ будани ҳолати аслӣ мегардад.

Дастури тарҳрезии протсессори дохилшудаи Nios® V 36

Фиристодани фикру ақида

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform 726952 | 2025.07.16
2.4.2.1. Ҳолатҳои маъмулии истифода
· Шумо метавонед сигнали reset_req-ро ҳангоми фаъолшавӣ тасдиқ кунед, то ядрои протсессори Nios V аз оғози иҷрои барнома аз вектори аз нав танзимкунии он пешгирӣ кунад, то он даме, ки дигар ҳостҳои FPGA дар система хотираи пурборкунандаи Nios V протсессориро оғоз кунанд. Дар ин ҳолат, тамоми зерсистема метавонад аз нав танзимкунии сахтафзор тоза эҳсос кунад. Протсессори Nios V ба таври номуайян дар ҳолати дархости барқароркунӣ нигоҳ дошта мешавад, то он даме, ки дигар ҳостҳои FPGA хотираи пурборкунандаи протсессоро оғоз накунанд.
· Дар системае, ки шумо бояд ядрои протсессори Nios V-ро бидуни халалдор кардани қисми боқимондаи система аз нав танзим кунед, шумо метавонед сигнали reset_req-ро тасдиқ кунед, то кори ҷории ядроро комилан қатъ кунад ва пас аз он ки система сигнали reset_req_ack-ро мебарорад, протсессорро аз вектори азнавсозӣ дубора оғоз кунед.
· Хости беруна метавонад интерфейси дархости барқароркуниро барои осон кардани иҷрои вазифаҳои зерин истифода барад:
- Барномаи ҳозираи протсессори Nios V-ро қатъ кунед.
— Барномаи навро ба хотираи пурборкунандаи протсессори Nios V бор кунед.
— Ба протсессор иҷозат диҳед, ки иҷрои барномаи навро оғоз кунад.
Altera ба шумо тавсия медиҳад, ки механизми тамғаи вақтро барои назорат кардани ҳолати сигнали reset_req_ack татбиқ кунед. Агар ядрои протсессори Nios V ба ҳолати интизории беохир афтад ва бо сабабҳои номаълум дар истод, reset_req_ack наметавонад ба таври номуайян тасдиқ кунад. Механизми вақт ба шумо имкон медиҳад, ки:
· Мӯҳлати барқарорсозии вақтро муайян кунед ва барқарорсозии системаро бо аз нав танзимкунии сатҳи система иҷро кунед.
· Баргардонидани сатҳи сахтафзорро иҷро кунед.
2.4.3. Барқарорсозии Release IP
Дастгоҳҳои ба Altera SDM асосёфта меъмории параллелӣ ва бахшро истифода мебаранд, ки мантиқи асосии матоъро дар бахшҳои гуногун паҳн мекунанд. Altera ба шумо тавсия медиҳад, ки Reset Release Altera FPGA IP-ро ҳамчун яке аз вурудоти ибтидоӣ ба схемаи азнавсозӣ истифода баред. Дастгоҳҳои Intel® SDM асоси дастгоҳҳои Stratix® 10 ва AgilexTM-ро дар бар мегиранд. Дастгоҳҳои ба блок асосёфта аз ин талабот таъсир намерасонанд.
Маълумоти марбут
AN 891: Истифодаи Reset Release Altera FPGA IP
2.5. Таъин кардани агенти пешфарз
Designer Platform ба шумо имкон медиҳад, ки агенти пешфарзро муайян кунед, ки ҳамчун агенти пешфарз ҷавоби хатогӣ амал мекунад. Агенти пешфарз, ки шумо таъин мекунед, барои ҳостҳое, ки кӯшиши дастрасӣ ба харитаи суроғаро доранд, хидмати вокуниш ба хатогиҳоро пешкаш мекунад.
Сенарияҳои зерин як ҳодисаи рамзкушодашударо ба вуҷуд меоранд:
· Вайрон кардани ҳолати амнияти муомилоти автобус
· Дастрасии транзаксия ба минтақаи хотираи номуайян
· Ҳодисаи истисноӣ ва ғайра.

Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 37

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform
726952 | 2025.07.16

Агенти пешфарз бояд барои идора кардани чунин рӯйдодҳо таъин карда шавад, ки дар он транзаксияи номуайян ба агенти пешфарз интиқол дода мешавад ва баъдан ба протсессори Nios V бо ҷавоби хато ҷавоб медиҳад.
Маълумоти марбут
· Дастури корбар Quartus Prime Pro Edition: Тарҳрези платформа. Таъин кардани агенти пешфарз
· Дастури корбар Quartus Prime Pro Edition: Тарҳрези платформа. Ҷавоб ба хатогии ғулом Altera FPGA IP
· Github - ҷузъҳои аз нав танзимкунии иловагӣ барои Qsys

2.6. Таъин кардани агенти UART барои чоп
Чоп барои ислоҳи ислоҳи барномаи нармафзор ва инчунин барои мониторинги ҳолати системаи шумо муфид аст. Altera тавсия медиҳад, ки маълумоти асосӣ, ба монанди паёми оғозёбӣ, паёми хатогӣ ва пешрафти иҷрои барномаи нармафзорро чоп кунед.
Дар ҳолатҳои зерин аз истифодаи функсияи китобхонаи printf() худдорӣ намоед: · Китобхонаи printf() боиси қатъ шудани барнома мегардад, агар ягон ҳост баромади хонданиро надошта бошад.
Ин ба ҶTAG Танҳо UART. · Китобхонаи printf() миқдори зиёди хотираи барномаро истеъмол мекунад.

2.6.1. Пешгирии дӯконҳо аз ҷониби ҶTAG UART

Љадвали 23. Тафовут байни UART-и анъанавӣ ва ҶTAG UART

Навъи UART анъанавии UART

Тавсифи
Новобаста аз он, ки мизбони беруна гӯш мекунад, маълумотҳои силсилавиро интиқол медиҳад. Агар ягон мизбон маълумоти пайдарпайро нахонад, маълумот гум мешавад.

JTAG UART

Маълумоти интиқолшударо ба буфери баромад менависад ва ба ҳости беруна барои хондан аз буфер барои холӣ кардани он такя мекунад.

ҶTAG Драйвери UART интизор мешавад, ки буфери баромад пур мешавад. ҶTAG Драйвери UART интизор мешавад, ки хости беруна пеш аз навиштани маълумоти интиқоли бештар аз буфери баромад хонда шавад. Ин раванд талафоти интиқоли маълумотро пешгирӣ мекунад.
Аммо, вақте ки ислоҳи ислоҳи система талаб карда намешавад, масалан ҳангоми истеҳсол, системаҳои воридшуда бидуни компютери мизбон ба J пайваст карда мешаванд.TAG UART. Агар система JTAG UART ҳамчун агенти UART, он метавонад боиси қатъ шудани система гардад, зеро ягон ҳости беруна пайваст нашудааст.
Барои пешгирй кардани кафомонй аз ҷониби ҶTAG UART, аз имконоти зерин истифода баред:

Дастури тарҳрезии протсессори дохилшудаи Nios® V 38

Фиристодани фикру ақида

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform
726952 | 2025.07.16

Љадвали 24. Пешгирии ќатъї аз љониби ЉTAG UART

Имконот
Интерфейси UART ва драйвер мавҷуд нест
Дигар интерфейси UART ва драйверро истифода баред
Нигоҳ доштани ҶTAG Интерфейси UART (бе драйвер)

Ҳангоми таҳияи сахтафзор (дар тарроҳии платформа)

Ҳангоми таҳияи нармафзор (дар муҳаррири бастаи дастгирӣ)

Ҷойгир кардани ҶTAG UART аз система

hal.stdin, hal.stdout ва hal.stderr-ро ҳамчун "Ҳеҷ" танзим кунед.

Ҷойи ҶTAG UART бо дигар нарм Configure hal.stdin, hal.stdout ва hal.stderr

UART IP

бо дигар UART IP нарм.

Нигоҳ доштани ҶTAG UART дар система

· Hal.stdin, hal.stdout ва hal.stderr-ро ҳамчун Ҳеч дар Муҳаррири бастаи дастгирии шӯро танзим кунед.
· Хомӯш кардани ҶTAG Драйвери UART дар ҷадвали драйвери BSP.

2.7. ҶTAG Сигналхо
Модули debug протсессори Nios V JTAG интерфейс барои зеркашии нармафзори ELF ва ислоҳи нармафзор. Вақте ки шумо тарҳи худро бо JTAG интерфейс, ҶTAG сигналҳои TCK, TMS, TDI ва TDO ҳамчун як қисми тарҳрезӣ амалӣ карда мешаванд. Муайян кардани ҶTAG маҳдудиятҳои сигнал дар ҳар як системаи протсессори Nios V як баррасии муҳими тарҳрезии система аст ва барои дурустӣ ва рафтори детерминистӣ зарур аст.
Altera тавсия медиҳад, ки басомади соати системавии ҳар як тарҳ ҳадди аққал чор маротиба аз JTAG басомади соат барои таъмини дуруст кор кардани асбоби чип (OCI).
Маълумоти марбут · Китоби ошпазии Quartus® Prime Timeing Analyzer: ҶTAG Сигналхо
Барои маълумоти бештар дар бораи ҶTAG дастурҳои маҳдудиятҳои вақт. · KDB: Чаро niosv-боргирӣ бо протсессори қубурнашудаи Nios® V/m ноком мешавад
JTAG басомади 24 МГс ё 16 МГс?
2.8. Оптимизатсияи кори системаи тарроҳии платформа
Platform Designer асбобҳоро барои беҳтар кардани кори пайвасти системавӣ барои тарҳҳои Altera FPGA таъмин мекунад.

Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 39

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform
726952 | 2025.07.16
Расми 25. Optimization Examples

собиқample, ки дар расм нишон дода шудааст, қадамҳои зеринро нишон медиҳад:
1. Пули қубурро барои сабук кардани роҳҳои муҳим тавассути ҷойгир кардани он илова мекунад: a. Байни менеҷери дастур ва агентҳои он б. Байни Менеҷери маълумот ва агентҳои он
2. Порти True Dual On-Chip RAM-ро истифода баред, ки ҳар як порт мутаносибан ба Менеҷери Дастур ва Менеҷери маълумот бахшида шудааст.

Дастури тарҳрезии протсессори дохилшудаи Nios® V 40

Фиристодани фикру ақида

2. Тарҳрезии системаи сахтафзори протсессори Nios V бо нармафзори Quartus Prime ва Designer Platform 726952 | 2025.07.16
Ба истинодҳои зерини алоқаманд дар зер муроҷиат кунед, ки дар онҳо усулҳои истифодабарии абзорҳои мавҷуда ва муомилоти ҳар як амалисозӣ пешниҳод мешаванд.
Маълумоти марбут · Дастури корбар Quartus® Prime Pro Edition: Тарҳрезии платформа
Барои маълумоти бештар ба мавзӯи "Беҳтарсозии фаъолияти системаи тарроҳии платформа" муроҷиат кунед. · Дастури корбар Quartus® Prime Standard Edition: Тарҳрези Платформа Барои маълумоти бештар ба мавзӯи Оптимизатсияи иҷрои системаи тарроҳии платформа муроҷиат кунед.

Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 41

726952 | 2025.07.16 Фиристодани фикру мулоҳизаҳо

3. Тарҳрезии системаи нармафзори протсессори Nios V
Ин боб ҷараёни таҳияи нармафзори протсессори Nios V ва асбобҳои нармафзорро, ки шумо метавонед дар таҳияи системаи тарҳрезии дохилии худ истифода баред, тавсиф мекунад. Мундариҷа ҳамчун як боло хизмат мекунадview пеш аз таҳияи системаи нармафзори протсессори Nios V.
Расми 26. Ҷараёни тарҳрезии нармафзор
Оғоз

BSP-ро дар тарроҳии платформа бо истифода аз Муҳаррири BSP эҷод кунед

Бо истифода аз Shell фармони Nios V BSP-ро эҷод кунед
Барномаи CMake Build-ро тавлид кунед File Истифодаи Shell фармони Nios V

Шарҳ:

BSP ва Application CMake Build-ро ворид кунед File
Бо истифода аз барномаи протсессори Nios V созед
RiscFree IDE барои Intel FPGA

Барномаи Nios V Processor -ро бо истифода аз ҳама гуна барнома созед
муҳаррири коди ибтидоии сатри фармон, CMake ва Make
фармон медиҳад
Поён

Altera тавсия медиҳад, ки шумо маҷмӯаи таҳияи Altera FPGA ё тахтаи прототипи фармоиширо барои таҳия ва ислоҳи нармафзор истифода баред. Бисёре аз таҷҳизоти канорӣ ва хусусиятҳои сатҳи система танҳо вақте дастрасанд, ки нармафзори шумо дар тахтаи воқеӣ кор кунад.

© Корпоратсияи Altera. Altera, логотипи Altera, тамғаи "a" ва дигар аломатҳои Altera тамғаҳои тиҷоратии Altera Corporation мебошанд. Altera ҳуқуқ дорад, ки ба ҳама гуна маҳсулот ва хидматҳо дар вақти дилхоҳ бидуни огоҳӣ тағирот ворид кунад. Altera ҳеҷ гуна масъулият ё масъулиятеро ба дӯш намегирад, ки аз барнома ё истифодаи ҳама гуна маълумот, маҳсулот ё хидмати дар ин ҷо тавсифшуда ба вуҷуд меояд, ба истиснои ҳолатҳое, ки аз ҷониби Altera дар шакли хаттӣ мувофиқа шудааст. Ба муштариёни Altera тавсия дода мешавад, ки пеш аз такя ба ҳама гуна маълумоти нашршуда ва пеш аз фармоиш додани маҳсулот ё хидматҳо версияи охирини мушаххасоти дастгоҳро дастрас кунанд. * Дигар номҳо ва брендҳо метавонанд ҳамчун моликияти дигарон даъво карда шаванд.

3. Системаи нармафзори протсессори Nios V Design 726952 | 2025.07.16
3.1. Ҷараёни таҳияи нармафзори протсессори Nios V
3.1.1. Лоиҳаи Дастгирии Раёсат
Лоиҳаи Nios V Board Support Package (BSP) китобхонаи махсусест, ки дорои рамзи дастгирии система мебошад. BSP муҳити иҷрои нармафзорро барои як протсессори системаи сахтафзори протсессори Nios V пешбинӣ мекунад.
Нармафзори Quartus Prime муҳаррири бастаи дастгирии Nios V Board ва абзорҳои niosv-bsp-ро барои тағир додани танзимоте, ки рафтори BSP-ро назорат мекунанд, таъмин мекунад.
BSP дорои унсурҳои зерин аст: · Қабати абстраксияи сахтафзор · Драйверҳои дастгоҳ · Бастаҳои нармафзори иловагӣ · Системаи оператсионии вақти воқеӣ
3.1.2. Лоиҳаи татбиқ
Лоиҳаи барномаи Nios VC/C++ дорои хусусиятҳои зерин аст: · Аз маҷмӯи рамзи сарчашма ва CMakeLists.txt иборат аст.
— CMakeLists.txt рамзи сарчашмаро тартиб медиҳад ва онро бо BSP ва як ё якчанд китобхонаҳои ихтиёрӣ мепайвандад, то як .elf эҷод кунад. file
· Яке аз сарчашмаҳо files дорои функсияи main(). · Рамзе, ки функсияҳоро дар китобхонаҳо ва BSP-ҳо даъват мекунад, дар бар мегирад.
Altera асбоби утилитаи niosv-барномаро дар абзорҳои нармафзори Quartus Prime барои сохтани Ариза CMakeLists.txt ва RiscFree IDE барои Altera FPGAs барои тағир додани рамзи сарчашма дар муҳити бар Eclipse таъмин менамояд.
3.2. Altera FPGA Tools дарунсохти рушди
Протсессори Nios V абзорҳои зеринро барои таҳияи нармафзор дастгирӣ мекунад: · Интерфейси графикии корбар (GUI) – Воситаҳои таҳияи графикӣ, ки дар
ҳам Windows* ва ҳам Linux* системаҳои оператсионӣ (OS). — Муҳаррири бастаи дастгирии Nios V Board (Editor Nios V BSP) — Ashling RiscFree IDE барои Altera FPGAs · Асбобҳои сатри фармон (CLI) – Воситаҳои таҳия, ки аз Nios V Command Shell оғоз шудаанд. Ҳар як асбоб ҳуҷҷатҳои худро дар шакли кӯмаке, ки аз сатри фармон дастрас аст, пешкаш мекунад. Shell Command Nios V -ро кушоед ва фармони зеринро нависед: — ёрй расондан view менюи Help. — Tools Utilities Nios V — File Асбобҳои табдили формат — Дигар асбобҳои коммуналӣ

Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 43

3. Системаи нармафзори протсессори Nios V Design 726952 | 2025.07.16

Љадвали 25. Воситањои GUI ва Асбобњои сатри Фармон Хулосаи Вазифањо

Вазифа

Воситаи GUI

Воситаи сатри фармон

Эҷоди BSP

Муҳаррири Nios V BSP

· Дар нармафзори Quartus Prime Pro Edition: niosv-bsp -c -s=<.qsys file> -t= [Options] settings.bsp
· Дар нармафзори Quartus Prime Standard Edition: niosv-bsp -c -s=<.sopcinfo file> -t= [Options] settings.bsp

Эҷоди BSP бо истифода аз .bsp мавҷуда file
Навсозии BSP

Муҳаррири Nios V BSP Муҳаррири Nios V BSP

niosv-bsp -g [Options] settings.bsp niosv-bsp -u [Options] settings.bsp

Санҷиши BSP

Муҳаррири Nios V BSP

niosv-bsp -q -E= [Options] settings.bsp

Эҷоди барнома

niosv-app -a= -b= -s= fileфеҳристи s> [ПАРВАРДИГОР]

Эҷоди китобхонаи корбар

niosv-app -l= -s= fileфеҳристи s> -p= [Options]

Тағир додани барнома Тағир додани китобхонаи корбар Сохтани барнома

RiscFree IDE барои Altera FPGAs
RiscFree IDE барои Altera FPGAs
RiscFree IDE барои Altera FPGAs

Ҳар як муҳаррири сарчашмаи сатри фармон
Ҳар як муҳаррири сарчашмаи сатри фармон
· кардан · сохтан

Сохтани китобхонаи корбарон

RiscFree IDE барои Altera FPGAs

· кардан · сохтан

Зеркашии замимаи ELF
Табдил додани .elf file

RiscFree IDE барои Altera FPGAs

niosv - зеркашӣ кунед
· elf2flash · elf2hex

Маълумоти марбут
Ashling RiscFree муҳити интегратсионӣ ба рушд (IDE) барои дастури корбари Altera FPGAs

3.2.1. Муҳаррири бастаи дастгирии Шӯрои протсессори Nios V
Шумо метавонед Nios V протсессори BSP Editor-ро барои иҷрои вазифаҳои зерин истифода баред: · Эҷод ё тағир додани лоиҳаи протсессори Nios V BSP • Таҳрири танзимот, минтақаҳои пайвандкунанда ва харитасозии қисматҳо · Бастаҳои нармафзор ва драйверҳои дастгоҳро интихоб кунед.
Имкониятҳои Муҳаррири BSP дорои имкониятҳои коммуналии niosv-bsp мебошанд. Ҳар як лоиҳае, ки дар Муҳаррири BSP сохта шудааст, инчунин метавонад бо истифода аз утилитаҳои сатри фармон эҷод карда шавад.

Дастури тарҳрезии протсессори дохилшудаи Nios® V 44

Фиристодани фикру ақида

3. Системаи нармафзори протсессори Nios V Design 726952 | 2025.07.16

Шарҳ:

Барои нармафзори Quartus Prime Standard Edition, ба AN 980: Nios V Processor Processor Quartus Prime Дастгирии нармафзорро барои қадамҳои даъват кардани GUI Editor BSP муроҷиат кунед.

Барои оғоз кардани Муҳаррири BSP, ин қадамҳоро иҷро кунед: 1. Платформаи тарроҳиро кушоед ва ба File меню.
а. Барои кушодани танзимоти мавҷудаи BSP file, Кушодан… клик кунед б. Барои сохтани BSP-и нав, BSP нав… -ро клик кунед 2. Ҷадвали Муҳаррири BSP-ро интихоб кунед ва тафсилоти мувофиқро пешниҳод кунед.

Расми 27. Муҳаррири BSP-ро оғоз кунед

Маълумоти марбут AN 980: Nios V протсессори Quartus Сарвазири Дастгирии Software
3.2.2. RiscFree IDE барои Altera FPGAs
RiscFree IDE барои Altera FPGAs як IDE дар асоси Eclipse барои протсессори Nios V мебошад. Altera тавсия медиҳад, ки нармафзори протсессори Nios V-ро дар ин IDE бо сабабҳои зерин таҳия кунед: · Хусусиятҳо таҳия ва тасдиқ карда шудаанд, ки бо Nios V мувофиқанд.
ҷараёни сохтани протсессор. · Бо тамоми асбобҳои зарурӣ ва асбобҳои дастгирӣ муҷаҳҳаз шудааст, ки ба шумо имкон медиҳад
ба осонӣ оғоз кардани коркарди протсессори Nios V.
Маълумоти марбут ба Ashling RiscFree Entegrated Development Environment (IDE) барои дастури корбари Altera FPGAs
3.2.3. Tools Utilities Nios V
Шумо метавонед барномаҳои Nios V-ро бо фармонҳои дар сатри фармон чопшуда ё дар скрипт воридшуда эҷод, тағир диҳед ва созед. Асбобҳои сатри фармони Nios V, ки дар ин бахш тавсиф шудаанд, дар феҳристи /niosv/bin.

Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 45

3. Системаи нармафзори протсессори Nios V Design 726952 | 2025.07.16

Љадвали 26. Tools Utilities Nios V

Асбобҳои сатри фармон

Хулоса

niosv-app niosv-bsp niosv-зеркашӣ niosv-shell niosv-stack-report

Барои тавлид ва танзим кардани лоиҳаи барнома.
Барои эҷод ё навсозии танзимоти BSP file ва BSP эҷод кунед fileс. Барои зеркашии ELF file ба протсессори Nios® V.
Барои кушодани Shell фармони Nios V. Барои он ки ба шумо дар бораи фазои хотираи боқимонда барои барномаи шумо .elf барои истифодаи стек ё теппа дастрас бошад.

3.2.4. File Воситаҳои табдили формат

File Табдили формат баъзан ҳангоми интиқоли маълумот аз як утилита ба барномаи дигар зарур аст. Дар file Воситаҳои табдили формат дар
феҳристи насби нармафзор>/niosv/bin.

Ҷадвали 27. File Воситаҳои табдили формат

Асбобҳои сатри фармон elf2flash elf2hex

Хулоса Барои тарҷумаи .elf file ба формати .srec барои барномасозии хотираи флеш. Барои тарҷумаи .elf file ба формати .hex барои оғози хотира.

3.2.5. Дигар асбобҳои коммуналӣ

Ҳангоми сохтани системаи протсессори Nios V, шумо метавонед асбобҳои сатри фармонҳои зеринро талаб кунед. Ин асбобҳои сатри фармон аз ҷониби Intel дар / quartus/bin ё ба даст овардан аз
воситаҳои кушодаасос.

Љадвали 28. Дигар асбобњои сатри фармон

Асбобҳои сатри фармон

Навъи

Хулоса

juart-терминал

Intel пешниҳод кардааст

Барои назорат кардани stdout ва stderr ва таъмин кардани вуруд ба протсессори Nios® V
зерсистема тавассути stdin. Ин восита танҳо ба JTAG UART IP вақте ки он ба протсессори Nios® V пайваст аст.

openocd

Intel барои иҷро кардани OpenOCD.

openocd-cfg-gen

Intel таъмин · Барои тавлиди конфигуратсияи OpenOCD file. · Барои намоиш додани ҶTAG индекси дастгоҳи занҷир.

Дастури тарҳрезии протсессори дохилшудаи Nios® V 46

Фиристодани фикру ақида

726952 | 2025.07.16 Фиристодани фикру мулоҳизаҳо
4. Танзимоти протсессори Nios V ва ҳалли пурборкунӣ
Шумо метавонед протсессори Nios V-ро барои боркунӣ ва иҷро кардани нармафзор аз маконҳои гуногуни хотира танзим кунед. Хотираи пурборшаванда флеши чоргонаи периферии периферӣ (QSPI), хотираи чипӣ (OCRAM) ё хотираи зич пайвастшуда (TCM) мебошад.
Маълумоти марбут · Шартҳои триггери пурқувват дар саҳифа 193 · Триггерҳои пурқувват
Барои маълумоти бештар дар бораи триггерҳои пурқувват.
4.1. Муқаддима
Протсессори Nios V ду намуди равандҳои пурборкуниро дастгирӣ мекунад: · Execute-in-Place (XIP) бо истифода аз функсияи alt_load() · Барнома ба RAM бо истифода аз нусхабардории пурбор нусхабардорӣ карда мешавад. Таҳияи барномаҳои дарунсохташудаи Nios V ба қабати абстраксияи сахтафзор (HAL) асос ёфтааст. HAL як барномаи хурди боркунаки боркуниро таъмин мекунад (инчунин бо номи нусхабардори пурборшаванда), ки қисмҳои пайвандгари мувофиқро аз хотираи пурборкунанда ба макони вақти корашон дар вақти боркунӣ нусхабардорӣ мекунад. Шумо метавонед маконҳои иҷроиши барнома ва хотираи маълумотро тавассути танзими танзимоти муҳаррири бастаи дастгирии Шӯрои (BSP) муайян кунед. Ин бахш тавсиф мекунад: · Нусхабардории пурборкунандаи протсессори Nios V, ки системаи протсессори Nios V-и шуморо мувофиқи мувофиқ пурбор мекунад
интихоби хотираи пурборкунанда · Имкониятҳои пурборкунии протсессори Nios V ва ҷараёни умумӣ · Қарорҳои барномасозии Nios V барои хотираи пурборкунандаи интихобшуда
4.2. Пайваст кардани барномаҳо
Вақте ки шумо лоиҳаи протсессори Nios V-ро тавлид мекунед, Муҳаррири BSP ду пайвандгари алоқамандро тавлид мекунад files: · linker.x: Фармони пайвандкунанда file ки замимаи тавлидшудаfile истифода мебарад
барои сохтани дуи .elf file. · linker.h: дорои маълумот дар бораи тарҳбандии хотираи пайвандкунанда. Ҳама тағиротҳои танзимоти пайвандкунанда, ки шумо ба лоиҳаи BSP мекунед, ба мундариҷаи ин ду пайвандкунанда таъсир мерасонанд fileс. Ҳар як барномаи протсессори Nios V дорои қисмҳои зерини пайвандкунанда мебошад:
© Корпоратсияи Altera. Altera, логотипи Altera, тамғаи "a" ва дигар аломатҳои Altera тамғаҳои тиҷоратии Altera Corporation мебошанд. Altera ҳуқуқ дорад, ки ба ҳама гуна маҳсулот ва хидматҳо дар вақти дилхоҳ бидуни огоҳӣ тағирот ворид кунад. Altera ҳеҷ гуна масъулият ё масъулиятеро ба дӯш намегирад, ки аз барнома ё истифодаи ҳама гуна маълумот, маҳсулот ё хидмати дар ин ҷо тавсифшуда ба вуҷуд меояд, ба истиснои ҳолатҳое, ки аз ҷониби Altera дар шакли хаттӣ мувофиқа шудааст. Ба муштариёни Altera тавсия дода мешавад, ки пеш аз такя ба ҳама гуна маълумоти нашршуда ва пеш аз фармоиш додани маҳсулот ё хидматҳо версияи охирини мушаххасоти дастгоҳро дастрас кунанд. * Дигар номҳо ва брендҳо метавонанд ҳамчун моликияти дигарон даъво карда шаванд.

4. Танзимоти протсессори Nios V ва ҳалли пурборкунӣ 726952 | 2025.07.16

Ҷадвали 29. Қисмҳои пайвандкунанда

.матн

Қисмҳои пайвандкунанда

.родата

.rwdata

.bss

.теппа

.стак

Тавсифҳо Рамзи иҷрошаванда. Ҳама гуна маълумоте, ки дар иҷрои барнома истифода мешавад, танҳо барои хондан. Маълумоти хондан ва навиштанро, ки дар иҷрои барнома истифода мешаванд, нигоҳ медорад. Маълумоти статикии оғознашударо дар бар мегирад. Хотираи ба таври динамикӣ ҷудошударо дар бар мегирад. Параметрҳои занги функсия ва дигар маълумоти муваққатиро нигоҳ медорад.

Шумо метавонед ба .elf қисмҳои иловагии пайвандкунанда илова кунед file барои нигоҳ доштани код ва маълумоти фармоишӣ. Ин қисмҳои пайвандкунанда дар минтақаҳои хотираи номбаршуда ҷойгир шудаанд, ки барои мувофиқ бо дастгоҳҳо ва суроғаҳои хотираи физикӣ муайян карда шудаанд. Бо нобаёнӣ, BSP Editor ин қисмҳои пайвандкунандаро ба таври худкор тавлид мекунад. Бо вуҷуди ин, шумо метавонед қисмҳои пайвандкуниро барои як барномаи мушаххас назорат кунед.

4.2.1. Пайванди рафтор
Ин бахш рафтори пешфарзии пайвандсозии BSP Editor ва чӣ гуна назорат кардани рафтори пайвасткуниро тавсиф мекунад.

4.2.1.1. Пайвастшавии пешфарз BSP
Ҳангоми конфигуратсияи BSP, асбобҳо қадамҳои зеринро ба таври худкор иҷро мекунанд:
1. Номҳои минтақаи хотираро таъин кунед: Ба ҳар як дастгоҳи хотираи система ном таъин кунед ва ҳар як номро ба пайвандкунанда илова кунед file ҳамчун минтақаи хотира.
2. Хотираи калонтаринро ёбед: Бузургтарин минтақаи хотираи хондан ва навиштанро дар пайвандкунанда муайян кунед file.
3. Қисмҳои пайвандкунандаро таъин кунед: Қисмҳои пайвандгари пешфарзро (.text, .rodata, .rwdata, .bss, .heap ва .stack) дар минтақаи хотираи дар қадами қаблӣ муайяншуда ҷойгир кунед.
4. Навиштан files: linker.x ва linker.h -ро нависед files.
Одатан, схемаи тақсимоти қисмати пайвандкунанда дар ҷараёни таҳияи нармафзор кор мекунад, зеро барнома кафолат дода мешавад, ки агар хотира ба қадри кофӣ калон бошад, кор кунад.
Қоидаҳои рафтори пайвасткунии пешфарз дар скриптҳои аз ҷониби Altera тавлидшудаи Tcl bsp-set-defaults.tcl ва bsp-linker-utils.tcl, ки дар /niosv/scripts/bsp-defaults феҳристи. Фармони niosv-bsp ин скриптҳоро даъват мекунад. Ин скриптҳоро мустақиман тағир надиҳед.

Дастури тарҳрезии протсессори дохилшудаи Nios® V 48

Фиристодани фикру ақида

4. Танзимоти протсессори Nios V ва ҳалли пурборкунӣ 726952 | 2025.07.16

4.2.1.2. Пайвастшавии BSP танзимшаванда
Шумо метавонед рафтори пайвасткунии пешфарзро дар ҷадвали Скрипти Linker Editor BSP идора кунед. Бо истифода аз усулҳои зерин скрипти пайвандкунандаро идора кунед: · Иловаи минтақаи хотира: Номи минтақаи хотираро ба дастгоҳи хотираи ҷисмонӣ харита мекунад. · Илова кардани харитасозии бахш: Номи қисматро ба минтақаи хотира харита мекунад. BSP
Муҳаррир ба шумо имкон медиҳад view харитаи хотира пеш аз ворид кардани тағйирот.

4.3. Усулҳои пурборкунии протсессори Nios V

Якчанд усулҳои пурбор кардани протсессори Nios V дар дастгоҳҳои Altera FPGA мавҷуданд. Усулҳои пурборкунии протсессори Nios V вобаста ба интихоби хотираи флешдор ва оилаҳои дастгоҳ фарқ мекунанд.

Љадвали 30. Хотирањои Flash-и дастгиришаванда бо имконоти пурборкунии дахлдор

Хотираи пурборшаванда дастгирӣ карда мешавад

Дастгоҳ

Flash On-Chip (барои конфигуратсияи дохилӣ)

Танҳо ҳадди аксар 10 дастгоҳ (бо On-Chip Flash IP)

Ҳадафи умумӣ QSPI Flash (танҳо барои маълумоти корбар)

Ҳама дастгоҳҳои дастгирӣшавандаи FPGA (бо интерфейси Generic Serial Flash FPGA IP)

Конфигуратсияи QSPI Flash (барои конфигуратсияи Serial Active)

Дар асоси блоки назорат
дастгоҳҳо (бо Generic
Интерфейси Serial Flash Intel FPGA IP)(2)

Усулҳои пурборкунии протсессори Nios V

Ҷойгиршавии вақти иҷрои барнома

Нусхабардории пурбор

Барномаи протсессори Nios V иҷрошаванда дар ҷои он аз On-Chip Flash

On-Chip Flash (XIP) + OCRAM/ RAM-и беруна (барои бахшҳои маълумоти навишташаванда)

alt_load() функсия

Замимаи протсессори Nios V аз On-Chip Flash ба RAM бо истифода аз нусхабардории пурбор нусхабардорӣ карда мешавад

OCRAM/RAM-и беруна

Истифодаи такрории Bootloader тавассути GSFI

Барномаи протсессори Nios V дар ҷои иҷрошаванда аз флеши QSPI таъиноти умумӣ

Флеши таъиноти умумӣ QSPI (XIP) + OCRAM/ RAM-и беруна (барои бахшҳои маълумоти навишташаванда)

alt_load() функсия

Замимаи протсессори Nios V аз флеши таъиноти умумии QSPI ба RAM бо истифода аз нусхабардории пурбор нусхабардорӣ карда мешавад

OCRAM/RAM-и беруна

Боркунак тавассути GSFI

Барномаи протсессори Nios V иҷрошаванда дар ҷои аз конфигуратсияи QSPI флеш

Танзимоти флеши QSPI (XIP) + OCRAM/ RAM-и беруна (барои бахшҳои маълумоти навишташаванда)

alt_load() функсия

Замимаи протсессори Nios V аз конфигуратсияи QSPI флеш ба RAM бо истифода аз нусхабардории пурбор нусхабардорӣ карда шуд

OCRAM / Боркунаки RAM-и беруна тавассути GSFI идома дорад…

(2) Барои рӯйхати дастгоҳҳо ба AN 980: Nios V Processor Quartus Prime Дастгирии нармафзор муроҷиат кунед.

Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 49

4. Танзимоти протсессори Nios V ва ҳалли пурборкунӣ 726952 | 2025.07.16

Хотираи пурборшаванда дастгирӣ карда мешавад
Хотираи чипӣ (OCRAM) Хотираи зич пайвастшуда (TCM)

Дастгоҳ
Дастгоҳҳои ба SDM асосёфта (бо Mailbox Client Intel FPGA IP). (2)
Ҳама дастгоҳҳои дастгирӣшаванда Altera FPGA (2)
Ҳама дастгоҳҳои дастгирӣшаванда Altera FPGA(2)

Усулҳои пурборкунии протсессори Nios V
Замимаи протсессори Nios V аз конфигуратсияи QSPI флеш ба RAM бо истифода аз нусхабардории пурбор нусхабардорӣ карда шуд
Барномаи протсессори Nios V аз OCRAM иҷро мешавад
Барномаи протсессори Nios V аз TCM иҷро мешавад

Ҷойгиршавии вақти иҷрои барнома

Нусхабардории пурбор

OCRAM/ Боркунаки RAM-и беруна тавассути SDM

OCRAM

alt_load() функсия

Дастурамал TCM (XIP) Ҳеҷ + TCM маълумот (барои бахшҳои маълумоти навишташаванда)

Тасвири 28. Ҷараёни пурборкунии протсессори Nios V

Бозсозӣ

Протсессор барои аз нав танзимкунии вектор ҷаҳиш мекунад (оғози рамзи боркунӣ)

Рамзи барномаро метавон ба ҷои дигари хотира нусхабардорӣ кард (вобаста ба имконоти боркунӣ)
Рамзи боркунӣ протсессорро оғоз мекунад

Вобаста аз имконоти пурборкунӣ, рамзи пурборкунанда метавонад арзишҳои ибтидоии маълумот/кодро ба фазои хотираи дигар нусхабардорӣ кунад (alt_load)
Рамзи пурборкунӣ рамзи барнома ва фазои хотираи маълумотро оғоз мекунад
Рамзи пурборкунанда ҳамаи перифериҳои системаро бо драйверҳои HAL оғоз мекунад (alt_main)
Воридшавӣ ба асосӣ
Маълумоти марбут · Дастури корбарии умумӣ Serial Flash Interface Altera FPGA IP
Дастури тарҳрезии протсессори дохилшудаи Nios® V 50

Фиристодани фикру ақида

4. Танзимоти протсессори Nios V ва ҳалли пурборкунӣ 726952 | 2025.07.16
· Дастури корбар барои муштарии паёмдони Altera FPGA IP · AN 980: Дастгирии нармафзори протсессори Nios V Quartus Prime
4.4. Муқаддима ба усулҳои пурборкунии протсессори Nios V
Системаҳои протсессори Nios V талаб мекунанд, ки тасвирҳои нармафзор дар хотираи система пеш аз он ки протсессор ба иҷрои барномаи барнома оғоз кунад, танзим карда шаванд. Барои бахшҳои пайвандкунандаи пешфарз ба қисмҳои пайвандкунанда муроҷиат кунед.
Муҳаррири BSP скрипти пайвандкунандаро тавлид мекунад, ки вазифаҳои зеринро иҷро мекунад: · Кафолат медиҳад, ки нармафзори протсессор мувофиқи танзимоти пайвандкунанда пайваст карда шудааст.
муҳаррири BSP ва муайян мекунад, ки нармафзор дар куҷо ҷойгир аст. · Минтақаи рамзи протсессорро дар ҷузъи хотира мувофиқи
ҷузъҳои хотираи таъиншуда.
Дар фасли зерин ба таври мухтасар усулҳои пурборкунии протсессори Nios V-ро тавсиф мекунад.
4.4.1. Барномаи протсессори Nios V аз Flash Boot Execute-In-Place
Altera контроллерҳои флешро тавре тарҳрезӣ кардааст, ки фазои суроғаи пурборкунандаи флеш ба протсессори Nios V ҳангоми аз нав барқароркунии система бе зарурати оғоз кардани контроллери хотира ё дастгоҳҳои хотира фавран дастрас бошад. Ин ба протсессори Nios V имкон медиҳад, ки коди замимаи дар дастгоҳҳои пурборкунанда ҳифзшударо мустақиман бидуни истифода аз нусхабардории пурборкунанда барои нусхабардории код ба навъи дигари хотира иҷро кунад. Контроллерҳои флеш инҳоянд: · On-Chip Flash бо On-Chip Flash IP (танҳо дар дастгоҳи MAX® 10) · Дурахши таъиноти умумӣ QSPI бо интерфейси умумиҷаҳонии Flash IP · Конфигуратсияи QSPI дурахш бо Интерфейси умумии Flash IP (ба истиснои MAX 10)
дастгоҳҳо)
Вақте, ки барномаи протсессори Nios V аз флеши пурборкунанда дар ҷои худ иҷро мешавад, Муҳаррири BSP вазифаҳои зеринро иҷро мекунад: · Қисмҳои пайвандкунандаи .text-ро ба минтақаи хотираи флеши пурборкунанда насб мекунад. · Қисмҳои пайвандкунандаи .bss,.rodata, .rwdata, .stack ва .heapро ба хотираи RAM насб мекунад
минтақаи хотира. Шумо бояд функсияи alt_load() -ро дар Танзимоти BSP фаъол созед, то қисмҳои маълумотро (.rodata, .rwdata,, .истисноҳо) ба хотираи RAM ҳангоми аз нав барқароркунии система нусхабардорӣ кунед. Қисми рамз (.text) дар минтақаи хотираи флеши пурборшаванда боқӣ мемонад.
Маълумоти марбут · Дастури корбар барои интерфейси умумии Flash Serial Altera FPGA IP · Дастури корбар барои хотираи Flash Altera MAX 10
4.4.1.1. alt_load()
Шумо метавонед функсияи alt_load()-ро дар рамзи HAL бо истифода аз Муҳаррири BSP фаъол созед.
Ҳангоми истифода дар ҷараёни пурборкунӣ, функсияи alt_load() вазифаҳои зеринро иҷро мекунад:

Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 51

4. Танзимоти протсессори Nios V ва ҳалли пурборкунӣ 726952 | 2025.07.16

· Ҳамчун нусхабардории хурди пурборкунанда кор мекунад, ки қисмҳои хотираро ба RAM дар асоси танзимоти BSP нусхабардорӣ мекунад.
· Қисмҳои маълумотро (.rodata, .rwdata, .exceptions) ба хотираи оперативӣ нусхабардорӣ мекунад, аммо ҷузъҳои кодро (.text) не. Қисмати рамз (.text) бахши танҳо барои хондан аст ва дар минтақаи хотираи флеши пурборшаванда боқӣ мемонад. Ин тақсимкунӣ барои кам кардани истифодаи RAM кӯмак мекунад, аммо метавонад иҷрои кодро маҳдуд кунад, зеро дастрасӣ ба хотираи флешдор нисбат ба дастрасӣ ба RAM дар чип сусттар аст.

Дар ҷадвали зерин танзимот ва вазифаҳои Муҳаррири BSP оварда шудаанд:

Ҷадвали 31. Танзимоти Муҳаррири BSP
Танзими Муҳаррири BSP hal.linker.enable_alt_load hal.linker.enable_alt_load_copy_rodata hal.linker.enable_alt_load_copy_rwdata hal.linker.enable_alt_load_copy_exceptions

Функсия Функсияи alt_load() -ро фаъол месозад. alt_load () нусхаи фасли .rodata ба RAM. alt_load () нусхаи фасли .rwdata ба RAM. alt_load () қисмати .exceptions -ро ба RAM нусхабардорӣ мекунад.

4.4.2. Барномаи протсессори Nios V аз Boot Flash ба RAM бо истифода аз Boot Copier нусхабардорӣ шудааст
Протсессори Nios V ва HAL як нусхабардории пурборкуниро дар бар мегирад, ки барои аксари барномаҳои протсессори Nios V функсияҳои кофӣ таъмин мекунад ва барои татбиқ бо ҷараёни таҳияи нармафзори Nios V қулай аст.
Вақте ки барнома нусхабардории пурборкуниро истифода мебарад, он ҳамаи қисмҳои пайвандкунандаро (.text, .heap, .rwdata, .rodata, .bss, .stack) ба хотираи дохилӣ ё берунии RAM муқаррар мекунад. Истифодаи нусхабардории пурборкунанда барои нусхабардории барномаи протсессори Nios V аз флеши пурборкунанда ба хотираи дохилӣ ё берунӣ барои иҷро барои беҳтар кардани иҷрои кор кӯмак мекунад.
Барои ин варианти пурборкунӣ, протсессори Nios V ҳангоми аз нав танзимкунии система ба иҷрои нармафзори нусхабардории боркунӣ оғоз мекунад. Нармафзор барномаро аз флеши пурборшаванда ба хотираи дохилӣ ё берунӣ нусхабардорӣ мекунад. Пас аз анҷоми раванд, протсессори Nios V назорати барномаро ба барнома интиқол медиҳад.

Шарҳ:

Агар нусхабардории пурборшаванда дар флеш бошад, пас функсияи alt_load() лозим нест, зеро ҳардуи онҳо як ҳадафро иҷро мекунанд.

4.4.2.1. Nios V Processor Bootloader тавассути интерфейси умумии Flash Serial
Bootloader тавассути GSFI протсессори нусхабардории пурборкунандаи Nios V мебошад, ки хотираи флеши QSPI-ро дар дастгоҳҳои ба блок асосёфта дастгирӣ мекунад. Bootloader тавассути GSFI дорои хусусиятҳои зерин аст:
· Замимаи нармафзорро дар хотираи идоранашаванда ҷойгир мекунад.
· Тасвири барномаи нармафзорро ба хотираи оперативӣ кушода ва нусхабардорӣ мекунад.
· Пас аз анҷоми нусхабардорӣ ба таври худкор иҷрои протсессорро ба рамзи барнома дар хотираи RAM мегузарад.

Дастури тарҳрезии протсессори дохилшудаи Nios® V 52

Фиристодани фикру ақида

4. Танзимоти протсессори Nios V ва ҳалли пурборкунӣ 726952 | 2025.07.16

Тасвири пурборкунанда рост пас аз нусхабардории пурборкунанда ҷойгир аст. Шумо бояд боварӣ ҳосил кунед, ки протсессори Nios V нуқтаҳои ҷубронкуниро то оғози нусхабардории пурборкунанда барқарор мекунад. Дар расм: Харитаи хотира барои QSPI Flash бо Bootloader тавассути харитаи хотираи GSFI барои QSPI Flash бо Bootloader тавассути GSFI харитаи хотираи флеш барои флеши QSPI ҳангоми истифодаи нусхабардории пурборкунанда нишон дода шудааст. Ин харитаи хотира тахмин мекунад, ки хотираи флешдор тасвири FPGA ва нармафзори барномаро нигоҳ медорад.

Љадвали 32. Bootloader тавассути GSFI барои Nios V Processor Core

Протсессори асосии Nios V
Протсессори Nios V/m

Боркунак тавассути GSFI File Ҷойгиршавӣ
/niosv/components/bootloader/ niosv_m_bootloader.srec

Протсессори Nios V/g

/niosv/components/bootloader/ niosv_g_bootloader.srec

Тасвири 29. Харитаи хотира барои QSPI Flash бо Bootloader тавассути GSFI

Маълумоти муштарӣ (*.hex)

Рамзи ариза

Шарҳ:

Офсети векториро аз нав танзим кунед

Нусхабардории пурбор

0x01E00000

Тасвири FPGA (*.sof)

0х00000000

1. Дар оғози харитаи хотира тасвири FPGA ва пас аз он маълумоти шумост, ки аз нусхабардории пурборкунанда ва рамзи барнома иборат аст.
2. Шумо бояд офсети аз нав танзимкунии протсессори Nios V-ро дар Платформаи Designer насб кунед ва онро ба оғози нусхабардории пурборкунанда нишон диҳед.
3. Андозаи тасвири FPGA номаълум аст. Шумо метавонед андозаи дақиқи онро танҳо пас аз таҳияи лоиҳаи Quartus Prime донед. Шумо бояд ҳудуди болоии андозаи тасвири Altera FPGA-ро муайян кунед. Барои мисолample, агар андозаи тасвири FPGA камтар аз 0x01E00000 ҳисоб карда шавад, Офсетро аз нав танзим кунед ба 0x01E00000 дар Платформаи Designer, ки ин ҳам оғози нусхабардории пурборкунанда аст.
4. Таҷрибаи хуби тарҳрезӣ аз гузоштани ҷуброни вектори аз нав танзимшуда дар сарҳади бахши флеш иборат аст, то ки дар сурати навсозии барномаи нармафзор ягон қисман нест кардани тасвири FPGA рух надиҳад.

Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 53

4. Танзимоти протсессори Nios V ва ҳалли пурборкунӣ 726952 | 2025.07.16

4.4.2.2. Nios V Processor Bootloader тавассути мудири дастгоҳи бехатар
Bootloader тавассути Менеҷери дастгоҳи бехатар (SDM) як рамзи барномаи HAL мебошад, ки драйвери Mailbox Client Altera FPGA IP HAL-ро барои пурборкунии протсессор истифода мебарад. Altera ин барномаи пурборкуниро ҳангоми истифодаи флеши конфигуратсияи QSPI дар дастгоҳҳои ба SDM асосёфта барои пурбор кардани протсессори Nios V тавсия медиҳад.
Ҳангоми аз нав барқарор кардани система, протсессори Nios V аввал Bootloader-ро тавассути SDM аз хотираи хурди чипӣ бор мекунад ва Bootloader-ро тавассути SDM иҷро мекунад, то бо флеши конфигуратсияи QSPI бо истифода аз Почтаи Client IP муошират кунад.
Bootloader тавассути SDM вазифаҳои зеринро иҷро мекунад: · Ҷойгир кардани нармафзори Nios V дар конфигуратсияи QSPI флеш. · Нармафзори Nios V-ро ба RAM-и чипӣ ё хотираи берунӣ нусхабардорӣ мекунад. · Гузариш иҷрои протсессори ба нармафзори Nios V дар дохили RAM дар чип ё
RAM берунӣ.
Пас аз анҷоми раванд, Bootloader тавассути SDM назорати барномаро ба барномаи корбар интиқол медиҳад. Altera ташкилоти хотираро, ки дар Ташкилоти хотира барои Bootloader тавассути SDM оварда шудааст, тавсия медиҳад.
Тасвири 30. Bootloader тавассути ҷараёни SDM Flow

Конфигуратсия

Флеш

2

Нармафзори Nios V

СДМ

Дастгоҳи FPGA дар асоси SDM

Мизоҷи паёмдони IP

FPGA Logic Nios V

4 RAM берунӣ
Нармафзори Nios V

Дар чип 4

EMIF

RAM

Хотираи дар чип

IP

Ниос В

1

Нармафзор

Боркунак тавассути SDM

3

3

1. Протсессори Nios V Bootloader-ро тавассути SDM аз хотираи чип идора мекунад.
2. Bootloader тавассути SDM бо флеши конфигуратсия муошират мекунад ва нармафзори Nios V-ро ҷойгир мекунад.
3. Bootloader тавассути SDM нармафзори Nios V-ро аз Flash Configuration ба RAM дар чип / RAM берунӣ нусхабардорӣ мекунад.
4. Боркунаки боркунак тавассути SDM иҷрои протсессори Nios V-ро ба нармафзори Nios V дар RAM-и чип / RAM берунӣ мегузарад.

4.4.3. Барномаи коркарди Nios V аз OCRAM иҷро мешавад
Дар ин усул, суроғаи барқароркунии протсессори Nios V ба суроғаи асосии хотираи чип (OCRAM) муқаррар карда мешавад. Бинарии барнома (.hex) file вақте ки FPGA танзим карда мешавад, пас аз таҳияи тарҳи сахтафзор дар нармафзори Quartus Prime ба OCRAM бор карда мешавад. Пас аз аз нав барқарор кардани протсессори Nios V, барнома ба кор шурӯъ мекунад ва ба нуқтаи воридшавӣ тақсим мешавад.

Дастури тарҳрезии протсессори дохилшудаи Nios® V 54

Фиристодани фикру ақида

4. Танзимоти протсессори Nios V ва ҳалли пурборкунӣ 726952 | 2025.07.16

Шарҳ:

· Execute-In-Place аз OCRAM нусхабардории пурборкуниро талаб намекунад, зеро барномаи протсессори Nios V аллакай ҳангоми аз нав танзимкунии система ҷойгир аст.
· Altera тавсия медиҳад, ки alt_load() барои ин усули пурборкунӣ фаъол карда шавад, то нармафзори дарунсохт ҳангоми аз нав танзимкунӣ бе аз нав танзимкунии тасвири дастгоҳи FPGA якхела рафтор кунад.
· Шумо бояд функсияи alt_load() -ро дар Танзимоти BSP фаъол созед, то қисмати .rwdata-ро ҳангоми аз нав барқароркунии система нусхабардорӣ кунед. Дар ин усул, арзишҳои ибтидоии тағирёбандаҳои ибтидоӣ аз тағирёбандаҳои мувофиқ ҷудо нигоҳ дошта мешаванд, то дар иҷрои барнома аз навнависӣ пешгирӣ карда шаванд.

4.4.4. Барномаи коркарди Nios V аз TCM иҷро мешавад
Усули иҷро дар ҷои кор суроғаи барқароркунии протсессори Nios V-ро ба суроғаи асосии хотираи зич пайваст (TCM) муқаррар мекунад. Бинарии барнома (.hex) file ҳангоми ба танзим даровардани FPGA пас аз тартиб додани тарҳи сахтафзор дар нармафзори Quartus Prime ба TCM бор карда мешавад. Пас аз аз нав барқарор кардани протсессори Nios V, барнома ба кор шурӯъ мекунад ва ба нуқтаи воридшавӣ тақсим мешавад.

Шарҳ:

Execute-In-Place аз TCM нусхабардории пурборкуниро талаб намекунад, зеро барномаи протсессори Nios V аллакай ҳангоми аз нав танзимкунии система ҷойгир аст.

4.5. Боркунии протсессори Nios V аз On-Chip Flash (UFM)

Нармафзори пурборкунӣ ва иҷрокунандаи протсессори Nios V аз флеш дар чип (UFM) дар дастгоҳҳои MAX 10 FPGA дастрас аст. Протсессори Nios V ду имконоти зерини пурборкуниро бо истифода аз On-Chip Flash дар ҳолати конфигуратсияи дохилӣ дастгирӣ мекунад:
· Барномаи протсессори Nios V дар ҷои худ аз On-Chip Flash иҷро мешавад.
· Барномаи протсессори Nios V аз On-Chip Flash ба хотираи оперативӣ бо истифода аз нусхабардории боркунӣ нусхабардорӣ карда мешавад.

Љадвали 33. Хотирањои Flash-и дастгиришаванда бо имконоти дахлдори боркунї

Хотираи пурборшаванда дастгирӣ карда мешавад

Усулҳои пурборкунии Nios V

Ҷойгиршавии вақти иҷрои барнома

Нусхабардории пурбор

Танҳо дастгоҳҳои MAX 10 (бо OnChip Flash IP)

Барномаи протсессори Nios V иҷрошаванда дар ҷои он аз On-Chip Flash
Замимаи протсессори Nios V аз On-Chip Flash ба RAM бо истифода аз нусхабардории пурбор нусхабардорӣ карда мешавад

On-Chip Flash (XIP) + OCRAM/ RAM-и беруна (барои бахшҳои маълумоти навишташаванда)

alt_load() функсия

OCRAM / RAM берунӣ

Истифодаи такрории Bootloader тавассути GSFI

Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 55

4. Танзимоти протсессори Nios V ва ҳалли пурборкунӣ 726952 | 2025.07.16

Расми 31.

Тарҳрезӣ, конфигуратсия ва ҷараёни боркунӣ
Тарҳрезӣ · Бо истифода аз Platform Designer лоиҳаи протсессори Nios V-и худро созед. · Боварӣ ҳосил кунед, ки дар тарҳрезии система RAM-и беруна ё RAM дар чип мавҷуд аст.

Конфигуратсия ва мураттабсозии FPGA
· Ҳамон ҳолати конфигуратсияи дохилиро дар On-chip Flash IP дар Platform Designer ва нармафзори Quartus Prime насб кунед. · Танзими Nios V протсессори агенти аз нав танзимкунии ба On-chip Flash. · Усули ибтидоии UFM-ро интихоб кунед. · Тарҳи худро дар Platform Designer эҷод кунед. · Лоиҳаи худро дар нармафзори Quartus Prime тартиб диҳед.

Барномаи корбар BSP Лоиҳа · Эҷоди Nios V протсессори HAL BSP дар асоси .sopcinfo file аз ҷониби Платформаи Designer сохта шудааст. · Таҳрири танзимоти протсессори Nios V BSP ва скрипти Linker дар BSP Editor. · Эҷоди лоиҳаи BSP.
Лоиҳаи Барномаи корбар APP · Таҳияи рамзи барномаи протсессори Nios V. · Тартиб додани замимаи протсессори Nios V ва тавлиди барномаи протсессори Nios V (.hex) file. · Лоиҳаи худро дар нармафзори Quartus Prime аз нав тартиб диҳед, агар шумо имконоти Initialize content хотираро дар Intel FPGA On-Chip Flash IP тафтиш кунед.

Барномасозӣ Fileс Табдил, Зеркашӣ ва Иҷро кунед · Эҷоди Flash On-Chip .pof file бо истифода аз Convert Programming Files дар нармафзори Quartus Prime.
· Барномаи .pof file ба дастгоҳи MAX 10-и худ. · Таҷҳизоти худро давр занед.
4.5.1. MAX 10 FPGA On-Chip Flash Тавсифи
Дастгоҳҳои MAX 10 FPGA дорои флеши чипӣ мебошанд, ки ба ду қисм тақсим карда шудаанд: · Хотираи флеши конфигуратсия (CFM) — маълумоти конфигуратсияи сахтафзорро нигоҳ медорад
MAX 10 FPGA. · Хотираи Flash User (UFM) — маълумотҳои корбар ё барномаҳои нармафзорро нигоҳ медорад.
Меъмории UFM-и дастгоҳи MAX 10 маҷмӯи IP-ҳои нарм ва сахт аст. Шумо метавонед танҳо бо истифода аз On-Chip Flash IP Core дар нармафзори Quartus Prime ба UFM дастрасӣ пайдо кунед.
Асоси On-chip Flash IP хусусиятҳои зеринро дастгирӣ мекунад: · Дастрасӣ ба бахшҳои UFM ва CFM (агар дар Платформаи Designer фаъол бошад) хондан ё навиштан
бо истифода аз маълумот Avalon MM ва интерфейси ғуломи назорати. · Тозакунии саҳифа, тозакунии бахш ва навиштани бахшро дастгирӣ мекунад. · Модели моделиронӣ барои дастрасии хондан/навиштани UFM бо истифода аз абзорҳои гуногуни моделсозии EDA.

Дастури тарҳрезии протсессори дохилшудаи Nios® V 56

Фиристодани фикру ақида

4. Танзимоти протсессори Nios V ва ҳалли пурборкунӣ 726952 | 2025.07.16

Љадвали 34. Минтаќањои Flash оид ба чип дар MAX 10 Дастгоҳҳои FPGA

Минтақаҳои флеш

Фаъолият

Конфигуратсияи хотираи флеш (бахшҳои CFM0-2)

Конфигуратсияи FPGA file нигоҳдорӣ

Хотираи флеши корбар (бахшҳои UFM0-1)

Барномаи протсессори Nios V ва маълумоти корбар

Дастгоҳҳои MAX 10 FPGA якчанд намуди конфигуратсияро дастгирӣ мекунанд ва баъзе аз ин шеваҳо имкон медиҳанд, ки CFM1 ва CFM2 ҳамчун минтақаи иловагии UFM истифода шаванд. Дар ҷадвали зерин ҷойгиршавии нигоҳдории тасвирҳои конфигуратсияи FPGA дар асоси шеваҳои конфигуратсияи MAX 10 FPGA нишон дода шудааст.

Ҷадвали 35. Ҷойгиршавии тасвирҳои конфигуратсияи FPGA

Ҳолати конфигуратсия Тасвирҳои дугона фишурдашуда

CFM2 Тасвири фишурдашуда 2

CFM1

CFM0 Тасвири фишурдашуда 1

Тасвири ягонаи фишурданашуда

UFM виртуалӣ

Тасвири фишурданашуда

Тасвири ягонаи фишурданашуда бо ташаббуси хотира

Тасвири фишурданашуда (бо мундариҷаи хотираи қаблан оғозшуда дар чип)

Тасвири ягонаи фишурдашуда бо оғозкунии хотира Тасвири фишурдашуда (бо мундариҷаи хотираи қаблан оғозшуда дар чип)

Тасвири ягонаи фишурдашуда

UFM виртуалӣ

Тасвири фишурдашуда

Шумо бояд ядрои On-chip Flash IP-ро барои дастрасӣ ба хотираи флеш дар MAX 10 FPGA истифода баред. Шумо метавонед On-chip Flash IP-ро ба нармафзори Quartus Prime эҷод кунед ва пайваст кунед. Протсессори асосии нармафзори Nios V барои иртибот бо On-chip Flash IP пайвастҳои Platform Designer-ро истифода мебарад.
Тасвири 32. Пайвастшавӣ байни микросхемаҳои Flash IP ва протсессори Nios V

Шарҳ:

Боварӣ ҳосил кунед, ки бандари On-chip Flash csr ба Nios V протсессори data_manager пайваст аст, то ба протсессор имкон диҳад, ки амалиёти навиштан ва тозакуниро идора кунад.
Ядрои On-chip Flash IP метавонад дастрасӣ ба панҷ бахши флеш - UFM0, UFM1, CFM0, CFM1 ва CFM2 -ро таъмин кунад.
Маълумоти муҳим дар бораи бахшҳои UFM ва CFM.: · Бахшҳои CFM барои нигоҳдории додаҳои конфигуратсия (бита) (*.pof) пешбинӣ шудаанд.
· Маълумоти корбарро дар бахшҳои UFM нигоҳ доштан мумкин аст ва метавонад пинҳон карда шавад, агар танзимоти дуруст дар асбоби тарроҳии Платформа интихоб карда шаванд.
· Баъзе дастгоҳҳо бахши UFM1 надоранд. Шумо метавонед ба ҷадвал муроҷиат кунед: Андозаи бахши UFM ва CFM барои бахшҳои мавҷуда дар ҳар як дастгоҳи инфиродии MAX 10 FPGA.

Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 57

4. Танзимоти протсессори Nios V ва ҳалли пурборкунӣ 726952 | 2025.07.16

· Шумо метавонед CFM2-ро ҳамчун UFM виртуалӣ тавассути интихоби ҳолати конфигуратсияи тасвири ягонаи фишурданашуда танзим кунед.
· Шумо метавонед CFM2 ва CFM1-ро ҳамчун UFM виртуалӣ бо интихоби ҳолати конфигуратсияи тасвири ягонаи фишурданашуда танзим кунед.
· Андозаи ҳар як бахш бо дастгоҳҳои интихобшудаи MAX 10 FPGA фарқ мекунад.

Ҷадвали 36.

Андозаи бахши UFM ва CFM
Ин ҷадвал андозаҳои массивҳои UFM ва CFM-ро номбар мекунад.

Дастгоҳ

Саҳифаҳо дар як бахш

UFM1 UFM0 CFM2 CFM1 CFM0

Андозаи саҳифа (Кбит)

Максимум корбар
Андозаи хотираи флеш (Кбит) (3)

Андозаи умумии хотираи конфигуратсия (Кбит)

10M02 3

3

0

0

34 16

96

544

10M04 0

8

41 29 70 16

1248

2240

10M08 8

8

41 29 70 16

1376

2240

10M16 4

4

38 28 66 32

2368

4224

10M25 4

4

52 40 92 32

3200

5888

10M40 4

4

48 36 84 64

5888

10752

10M50 4

4

48 36 84 64

5888

10752

Андозаи OCRAM (Кбит)
108 189 378 549 675 1260 1638

Маълумоти марбут · Дастури корбар барои конфигуратсияи MAX 10 FPGA · Дастури корбар барои хотираи Flash Altera MAX 10

4.5.2. Барномаи коркарди Nios V аз UFM иҷро мешавад

Ҳалли Execute-In-Place аз UFM барои барномаҳои протсессори Nios V мувофиқ аст, ки истифодаи маҳдуди хотираи чипро талаб мекунанд. Функсияи alt_load() ҳамчун нусхабардории хурди пурборкунанда кор мекунад, ки қисмҳои додаҳоро (.rodata, .rwdata ё .exceptions) аз хотираи пурборшаванда ба RAM дар асоси танзимоти BSP нусхабардорӣ мекунад. Бахши рамз (.text),
ки қисмати танҳо хондан аст, дар минтақаи хотираи MAX 10 On-chip Flash боқӣ мемонад. Ин насб истифодаи RAM-ро кам мекунад, аммо метавонад иҷрои кодро маҳдуд кунад, зеро дастрасӣ ба хотираи флешдор нисбат ба RAM дар чип сусттар аст.

Замимаи протсессори Nios V дар бахши UFM барномарезӣ шудааст. Вектори аз нав танзимкунии протсессори Nios V ба суроғаи пойгоҳи UFM ишора мекунад, то кодро аз UFM пас аз аз нав барқароркунии система иҷро кунад.

Агар шумо ислоҳкунандаи сатҳи манбаъро барои ислоҳи барномаатон истифода баред, шумо бояд нуқтаи шикастани сахтафзорро истифода баред. Сабаб дар он аст, ки UFM дастрасии хотираи тасодуфиро дастгирӣ намекунад, ки барои ислоҳи нуқтаи нарм зарур аст.

Шарҳ:

Ҳангоми иҷро кардани иҷро дар ҷои худ дар MAX 10 шумо наметавонед UFM-ро тоза ё нависад. Агар ба шумо лозим аст, ки UFM-ро тоза кунед ё навиштед, ба усули пурборкунандаи нусхабардорӣ гузаред.

(3) Арзиши максималии имконпазир, ки аз реҷаи конфигуратсияи интихобкардаи шумо вобаста аст.

Дастури тарҳрезии протсессори дохилшудаи Nios® V 58

Фиристодани фикру ақида

4. Танзимоти протсессори Nios V ва ҳалли пурборкунӣ 726952 | 2025.07.16

Тасвири 33. Nios V Processor Application XIP аз UFM

Максимум 10 Дастгоҳ

.POF
Nios V Hardware .SOF
Нармафзори Nios V .HEX

Барномасози Quartus

Flash on-chip

CFM

Таҷҳизоти Nios V

UFM

Нармафзори Nios V

Конфигуратсияи дохилӣ

Дар Chip Flash IP

Мантиқи FPGA
Протсессори Nios V

Дар чип RAM

беруна

RAM

EMIF

IP

4.5.2.1. Ҷараёни тарҳрезии сахтафзор
Дар фасли зерин усули қадам ба қадам барои сохтани системаи пурборшаванда барои барномаи протсессори Nios V аз On-Chip Flash тасвир шудааст. собиқample дар зер бо истифода аз дастгоҳи MAX 10 сохта шудааст.
Танзимоти ҷузъи IP
1. Лоиҳаи протсессори Nios V-и худро бо истифода аз Quartus Prime ва Platform Designer созед. 2. Боварӣ ҳосил кунед, ки RAM-и беруна ё хотираи чипӣ (OCRAM) ба платформаи шумо илова карда шудааст
Системаи тарроҳӣ.

Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 59

4. Танзимоти протсессори Nios V ва ҳалли пурборкунӣ 726952 | 2025.07.16
Расми 34. Мисample Пайвастҳои IP дар тарроҳии платформа барои боркунии Nios V аз OnChip Flash (UFM)

3. Дар муҳаррири параметрҳои On-Chip Flash IP, Усули конфигуратсияро мувофиқи афзалияти тарроҳии худ ба яке аз инҳо таъин кунед: · Тасвири ягонаи фишурдашуда · Тасвири ягонаи фишурдашуда · тасвири ягонаи фишурдашуда бо оғози хотира · тасвири фишурдашудаи ягона бо оғози хотира
Барои маълумоти бештар дар бораи тасвирҳои дугонаи фишурдашуда, ба дастури корбари конфигуратсияи MAX 10 FPGA муроҷиат кунед - Навсозии системаи дурдаст.

Шарҳ:

Шумо бояд дастрасии пинҳониро ба ҳар як минтақаи CFM дар On-Chip Flash IP таъин кунед.

Расми 35. Интихоби режими конфигуратсия дар муҳаррири параметрҳои On-Chip Flash

Танзимоти IP дар On-Chip Flash - Initialization UFM Шумо метавонед яке аз усулҳои зеринро мувофиқи хоҳиши худ интихоб кунед:

Дастури тарҳрезии протсессори дохилшудаи Nios® V 60

Фиристодани фикру ақида

4. Танзимоти протсессори Nios V ва ҳалли пурборкунӣ 726952 | 2025.07.16

Шарҳ:

Қадамҳо дар зербобҳои минбаъда (Ҷараёни тарҳрезии нармафзор ва барномасозӣ) аз интихоби шумо дар ин ҷо вобаста аст.

· Усули 1: Оғоз кардани маълумоти UFM дар SOF ҳангоми таҳия
Quartus Prime маълумоти ибтидоии UFM-ро дар SOF ҳангоми тартибдиҳӣ дар бар мегирад. Агар дар маълумоти UFM тағирот ба амал ояд, такрори SOF лозим аст.
1. Оғоз кардани мундариҷаи флешдор ва Фаъолсозии ибтидоии ғайримуқаррариро санҷед file.

Расми 36. Мундариҷаи Flash-ро оғоз кунед ва Оғозсозии ғайримуқаррариро фаъол созед File

2. Роҳи .hex тавлидшударо муайян кунед file (аз фармони elf2hex) дар Корбар hex ё mif офаридааст file.
Расми 37. Илова кардани .шонздаҳӣ File Роҳ

· Усули 2: Якҷоя кардани маълумоти UFM бо SOF тартибдодашуда ҳангоми тавлиди POF
Ҳангоми табдил додани барномасозӣ маълумоти UFM бо SOF тартибдодашуда якҷоя карда мешавад fileс. Ба шумо лозим нест, ки SOF-ро дубора тартиб диҳед, ҳатто агар маълумоти UFM тағир ёбад. Ҳангоми таҳия, ба шумо лозим нест, ки SOF-ро дубора тартиб диҳед files барои тағирот дар барнома. Altera ин усулро барои таҳиягарони барнома тавсия медиҳад.
1. Оғоз кардани мундариҷаи флешдор.
Расми 38. Мундариҷаи флешро бо ибтидои ғайримуқаррарӣ оғоз кунед File

Аз нав танзимкунии Танзимоти агент барои Nios V протсессори Усули иҷро-дар-ҷой
1. Дар муҳаррири параметрҳои протсессори Nios V, Reset Agent -ро ба On-Chip Flash насб кунед.
Расми 39. Танзимоти муҳаррири параметрҳои протсессори Nios V бо Reset Agent ба Flash On-Chip танзим шудааст.

2. Вақте ки қуттии муколама пайдо мешавад, тавлиди HDL -ро клик кунед. 3. Натиҷаро муайян кунед file имконоти тавлид ва клик кунед тавлид.

Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 61

4. Танзимоти протсессори Nios V ва ҳалли пурборкунӣ 726952 | 2025.07.16
Quartus Prime Software Settings 1. In the Quartus Prime software, click Assignments Device Device and Pin
Options Configuration. Set the Configuration mode according to the setting in On-Chip Flash IP. Figure 40. Configuration Mode Selection in Quartus Prime Software

2. Барои баромадан аз равзанаи Параметрҳои дастгоҳ ва пайвасткунӣ OK-ро пахш кунед,
3. Барои баромадан аз равзанаи Дастгоҳ OK -ро пахш кунед.
4. Click Processing Start Compilation to compile your project and generate the .sof file.

Шарҳ:

Агар танзимоти ҳолати конфигуратсия дар нармафзори Quartus Prime ва муҳаррири параметрҳои Platform Designer гуногун бошад, лоиҳаи Quartus Prime бо паёми хатогии зерин ноком мешавад.

Расми 41.

Паёми хатогӣ барои танзими режими конфигуратсияи гуногун (14740): Ҳолати конфигуратсия дар атом "q_sys:q_sys_inst| altera_onchip_flash:onchip_flash_1|altera_onchip_flash_block: altera_onchip_flash_block|ба танзимоти ufm_block|лоиҳа мувофиқат намекунад". Системаи Qsys -ро барои мувофиқ кардани танзимоти лоиҳа навсозӣ ва барқарор кунед.

Маълумоти марбут MAX 10 Дастури корбар конфигуратсияи FPGA

4.5.2.2. Ҷараёни тарҳрезии нармафзор
Ин бахш ҷараёни тарроҳиро барои тавлид ва сохтани лоиҳаи нармафзори протсессори Nios V таъмин мекунад. Барои таъмини ҷараёни мукаммали сохтмон, шумо ташвиқ карда мешавад, ки дар лоиҳаи тарроҳии худ як дарахти директорияи шабеҳ эҷод кунед. Ҷараёни тарроҳии нармафзори зерин ба ин дарахти директория асос ёфтааст.
Барои сохтани дарахти директорияи лоиҳаи нармафзор, ин қадамҳоро иҷро кунед: 1. Дар ҷузвдони лоиҳаи тарҳрезии худ ҷузвдонеро бо номи нармафзор эҷод кунед. 2. Дар ҷузвдони нармафзор ду ҷузвдон бо номи hal_app ва hal_bsp эҷод кунед.
Расми 42. Дарахти директорияи лоиҳаи нармафзор

Дастури тарҳрезии протсессори дохилшудаи Nios® V 62

Фиристодани фикру ақида

4. Танзимоти протсессори Nios V ва ҳалли пурборкунӣ 726952 | 2025.07.16
Эҷоди лоиҳаи Application BSP
Барои оғоз кардани Муҳаррири BSP, ин қадамҳоро иҷро кунед: 1. Nios V Command Shell -ро ворид кунед. 2. Муҳаррири BSP-ро бо фармони niosv-bsp-editor даъват кунед. 3. Дар Муҳаррири BSP, клик кунед File BSP-и нав барои оғози лоиҳаи BSP-и худ. 4. Танзимоти зеринро танзим кунед:
· Маълумот дар бораи SOPC File ном: Таъмин намудани SOPCINFO file (.sopcinfo). · Номи CPU: Интихоб кунед протсессори Nios V. · Системаи амалиётӣ: Системаи оператсионии протсессори Nios V -ро интихоб кунед. · Версия: Ҳамчун пешфарз тарк кунед. · Феҳристи ҳадафи BSP: Роҳи директорияи лоиҳаи BSP-ро интихоб кунед. Ту метавонӣ
онро пешакӣ таъин кунед /software/hal_bsp бо фаъол кардани Истифодаи маконҳои пешфарз. · Танзимоти BSP File ном: Номи Танзимоти BSP-ро нависед File. · Additional Tcl scripts: Provide a BSP Tcl script by enabling Enable Additional Tcl script. 5. Click OK.
Figure 43. Configure New BSP

Configuring the BSP Editor and Generating the BSP Project
You can define the processor’s exception vector either in On-Chip Memory (OCRAM) or On-Chip Flash based on your design preference. Setting the exception vector memory to OCRAM/External RAM is recommended to make the interrupt processing faster. 1. Go to Main Settings Advanced hal.linker. 2. If you select On-Chip Flash as exception vector,
a. Enable the following settings:

Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 63

4. Танзимоти протсессори Nios V ва ҳалли пурборкунӣ 726952 | 2025.07.16
· allow_code_at_reset · enable_alt_load · enable_alt_load_copy_rodata · enable_alt_load_copy_rwdata Figure 44. Advanced.hal.linker Settings

b. Click on the Linker Script tab in the BSP Editor. c. Set the .exceptions and .text regions in the Linker Section Name to
On-Chip Flash. d. Set the rest of the regions in the Linker Section Name list to the On-Chip
Memory (OCRAM) or external RAM.
Figure 45. Linker Region Settings (Exception Vector Memory: On-Chip Flash)

3. If you select OCRAM/External RAM as exception vector, a. Enable the following settings: · allow_code_at_reset · enable_alt_load · enable_alt_load_copy_rodata · enable_alt_load_copy_rwdata · enable_alt_load_copy_exception
Figure 46. Linker Region Settings (Exception Vector Memory: OCRAM/External RAM)

b. Click on the Linker Script tab in the BSP Editor.
c. Set the.text regions in the Linker Section Name to On-Chip Flash.
d. Set the rest of the regions in the Linker Section Name list to the On-Chip Memory (OCRAM) or external RAM.

Дастури тарҳрезии протсессори дохилшудаи Nios® V 64

Фиристодани фикру ақида

4. Танзимоти протсессори Nios V ва ҳалли пурборкунӣ 726952 | 2025.07.16
Figure 47. Linker Region Settings (Exception Vector Memory: OCRAM)
4. Click Generate to generate the BSP project. Generating the User Application Project File 1. Navigate to the software/hal_app folder and create your application source
code. 2. Launch the Nios V Command Shell. 3. Execute the command below to generate the application CMakeLists.txt.
niosv-app –app-dir=software/hal_app –bsp-dir=software/hal_bsp –srcs=software/hal_app/<user application>
Building the User Application Project You can choose to build the user application project using Ashling RiscFree IDE for Altera FPGAs or through the command line interface (CLI). If you prefer using CLI, you can build the user application using the following command: cmake -G “Unix Makefiles” -B software/hal_app/build -S software/hal_app make -C software/hal_app/build
The application (.elf) file is created in software/hal_app/build folder. Generating the HEX File You must generate a .hex file from your application .elf file, so you can create a .pof file suitable for programming the devices. 1. Launch the Nios V Command Shell. 2. For Nios V processor application boot from On-Chip Flash, use the following
command line to convert the ELF to HEX for your application. This command creates the user application (onchip_flash.hex) file. elf2hex software/hal_app/build/<user_application>.elf -o onchip_flash.hex
-b <base address of On-Chip Flash UFM region> -w 8 -e <end address of On-Chip Flash UFM region> 3. Recompile the hardware design if you check Initialize memory content option in On-Chip Flash IP (Method 1). This is to include the software data (.HEX) in the SOF file.

Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 65

4. Танзимоти протсессори Nios V ва ҳалли пурборкунӣ 726952 | 2025.07.16
4.5.2.3. Programming 1. In Quartus Prime, click File Табдил додани барномасозӣ Files. 2. Under Output programming file, choose Programmer Object File (.pof) as Programming file type. 3. Set Mode to Internal Configuration.
Figure 48. Convert Programming File Танзимотҳо
4. Click Options/Boot info…, the MAX 10 Device Options window appears. 5. Based on the Initialize flash content settings in the On-chip Flash IP, perform
one of the following steps: · If Initialize flash content is checked (Method 1), the UFM initialization data
was included in the SOF duringQuartus Prime compilation. — Select Page_0 for UFM source: option. Click OK and proceed to the
next. Figure 49. Setting Page_0 for UFM Source if Initialize Flash Content is Checked

Дастури тарҳрезии протсессори дохилшудаи Nios® V 66

Фиристодани фикру ақида

4. Танзимоти протсессори Nios V ва ҳалли пурборкунӣ 726952 | 2025.07.16
· If Initialize flash content is not checked (Method 2), choose Load memory file for the UFM source option. Browse to the generated On-chip Flash HEX file (onchip_flash.hex) in the File path: and click OK. This step adds UFM data separately to the SOF file during the programming file табдил додан.
Figure 50. Setting Load Memory File for UFM Source if Initialize Flash Content is Not Checked

6. In the Convert Programming File dialog box, at the Input files to convert section, click Add File… and point to the generated Quartus Prime .sof file.
Figure 51. Input Files to Convert in Convert Programming Files for Single Image Mode

7. Click Generate to create the .pof file. 8. Program the .pof file into your MAX 10 device. 9. Power cycle your hardware.

4.5.3. Nios V Processor Application Copied from UFM to RAM using Boot Copier

Altera recommends this solution for MAX 10 FPGA Nios V processor system designs where multiple iterations of application software development and high system performance are required. The boot copier is located within the UFM at an offset that is the same address as the reset vector. The Nios V application is located next to the boot copier.

For this boot option, the Nios V processor starts executing the boot copier upon system reset to copy the application from the UFM sector to the OCRAM or external RAM. Once copying is complete, the Nios V processor transfers the program control over to the application.

Шарҳ:

The applied boot copier is the same as the Bootloader via GSFI.

Фиристодани фикру ақида

Дастури тарҳрезии протсессори дохилшудаи Nios® V 67

4. Танзимоти протсессори Nios V ва ҳалли пурборкунӣ 726952 | 2025.07.16

Figure 52. Nios V Application Copied from UFM to RAM using Boot Copier

Максимум 10 Дастгоҳ

.POF
Nios V Hardware .SOF
Нармафзори Nios V .HEX
Bootloader .SREC

Барномасози Quartus

RAM-и беруна
Нармафзори Nios V

Flash on-chip

CFM

Nios V Hardwa

Ҳуҷҷатҳо / Сарчашмаҳо

altera Nios V Embedded Processor [pdf] Дастури корбар
Nios V, Nios V-m, Nios V-g, Nios V-c, Nios V Embedded Processor, Nios V, Embedded Processor, Processor

Иқтибосҳо

Назари худро гузоред

Суроғаи почтаи электронии шумо нашр намешавад. Майдонҳои зарурӣ қайд карда шудаанд *