altera Nios V суулгагдсан процессор
Үзүүлэлтүүд
- Бүтээгдэхүүний нэр: Nios V процессор
- Програм хангамжийн нийцтэй байдал: Quartus Prime програм хангамж ба платформ дизайнер
- Процессорын төрөл: Altera FPGA
- Санах ойн систем: тогтворгүй болон тогтворгүй санах ой
- Харилцаа холбооны интерфейс: UART агент
Nios V процессорын техник хангамжийн системийн дизайн
Nios V процессорын техник хангамжийн системийг зохион бүтээхийн тулд дараах алхмуудыг дагана уу.
- Platform Designer ашиглан Nios V процессорын системийн загварыг бий болгох.
- Системийг Quartus Prime төсөлд нэгтгэх.
- Тогтворгүй болон тогтворгүй санах ойг багтаасан санах ойн системийг зохион бүтээх.
- Цагийг хэрэгжүүлж, шилдэг туршлагыг дахин тохируулаарай.
- Үр ашигтай ажиллахын тулд анхдагч болон UART агентуудыг томилно.
Nios V процессорын програм хангамжийн системийн дизайн
Nios V процессорын програм хангамжийн системийг зохион бүтээхийн тулд:
- Nios V процессорын програм хангамж хөгжүүлэх урсгалыг дагаж мөрдөөрэй.
- Удирдах зөвлөлийн дэмжлэгийн багц төсөл, хэрэглээний төслийг бий болгох.
Nios V процессорын тохиргоо ба ачаалах шийдэл
Nios V процессорыг тохируулах, ачаалахын тулд:
- Тохиргоо болон ачаалах шийдлүүдийн танилцуулгыг ойлгох.
- Тогтворгүй ажиллахын тулд програмуудыг холбоно уу.
Nios® V суулгагдсан процессорын тухай
1.1. Altera® FPGA болон суулгагдсан процессоруудview
Altera FPGA төхөөрөмжүүд нь иж бүрэн микропроцессорын үүрэг гүйцэтгэдэг логикийг хэрэгжүүлэхийн зэрэгцээ олон сонголтоор хангадаг.
Дискрет микропроцессор болон Altera FPGA хоёрын нэг чухал ялгаа нь Altera FPGA-ийн даавууг асаахад ямар ч логик агуулаагүйд оршино. Nios® V процессор нь RISC-V техникийн үзүүлэлтэд суурилсан зөөлөн оюуны өмч (IP) процессор юм. Та Nios V процессор дээр суурилсан систем дээр програм хангамж ажиллуулахаасаа өмнө Altera FPGA төхөөрөмжийг Nios V процессор агуулсан техник хангамжийн загвараар тохируулах ёстой. Та дизайны шаардлагаас хамааран Nios V процессорыг Altera FPGA дээр хаана ч байрлуулж болно.
Таны Altera® FPGA IP-д суурилсан суулгагдсан системийг салангид микропроцессорт суурилсан систем шиг ажиллахыг идэвхжүүлэхийн тулд таны системд дараах зүйлс багтсан байх ёстой: · AJTAG Altera FPGA тохиргоо, техник хангамж, програм хангамжийг дэмжих интерфейс
дибаг хийх · Ачаалах Altera FPGA тохиргооны механизм
Хэрэв таны системд эдгээр боломжууд байгаа бол та Altera FPGA-д ачаалагдсан, урьдчилан шалгасан техник хангамжийн загвараас загвараа сайжруулж эхлэх боломжтой. Altera FPGA ашиглах нь асуудлыг шийдвэрлэх эсвэл шинэ функц нэмэхийн тулд дизайныг хурдан өөрчлөх боломжийг олгодог. Та өөрийн системийн J-г ашиглан Altera FPGA-г дахин тохируулснаар эдгээр шинэ техник хангамжийн загварыг хялбархан туршиж үзэх боломжтойTAG интерфейс.
ЖTAG интерфэйс нь техник хангамж, програм хангамжийн хөгжлийг дэмждэг. Та J ашиглан дараах ажлуудыг хийж болноTAG интерфэйс: · Altera FPGA-г тохируулах · Програм хангамжийг татаж авах, дибаг хийх · Altera FPGA-тай UART төстэй интерфэйсээр дамжуулан холбогдох (JTAG UART
терминал) · Дибаг хийх техник хангамж (Signal Tap суулгагдсан логик анализатортой) · Програмын флаш санах ой
Altera FPGA-г Nios V процессор дээр суурилсан загвараар тохируулсны дараа програм хангамж хөгжүүлэх урсгал нь салангид микроконтроллерийн загваруудын урсгалтай төстэй болно.
Холбогдох мэдээлэл · AN 985: Nios V процессорын заавар
Энгийн Nios V процессорын системийг бий болгох, Hello World програмыг ажиллуулах тухай хурдан эхлүүлэх гарын авлага.
© Altera корпораци. Altera, Altera лого, "a" лого болон бусад Altera тэмдэг нь Altera корпорацийн худалдааны тэмдэг юм. Altera нь аливаа бүтээгдэхүүн, үйлчилгээнд ямар ч үед мэдэгдэлгүйгээр өөрчлөлт оруулах эрхтэй. Altera нь бичгээр тохиролцсоноос бусад тохиолдолд энд дурдсан аливаа мэдээлэл, бүтээгдэхүүн, үйлчилгээг ашиглах, ашиглахтай холбоотой ямар ч хариуцлага хүлээхгүй. Альтера-гийн хэрэглэгчид нийтлэгдсэн мэдээлэлд найдах, бүтээгдэхүүн, үйлчилгээний захиалга өгөхөөс өмнө төхөөрөмжийн техникийн үзүүлэлтүүдийн хамгийн сүүлийн хувилбарыг авахыг зөвлөж байна. *Бусад нэр, брэндийг бусдын өмч гэж үзэж болно.
1. Nios® V суулгагдсан процессорын тухай 726952 | 2025.07.16
· Nios V процессорын лавлах гарын авлага нь Nios V процессорын гүйцэтгэлийн жишиг үзүүлэлт, процессорын бүтэц, програмчлалын загвар, үндсэн хэрэгжилтийн талаарх мэдээллийг өгдөг.
· Embedded Periphers IP User Guide · Nios V Processor Software Developer гарын авлага
Nios V процессорын програм хангамж хөгжүүлэх орчин, ашиглах боломжтой хэрэгслүүд болон Nios V процессор дээр ажиллах программ хангамж бүтээх үйл явцыг тайлбарласан. · Altera FPGAs-д зориулсан Ashling* RiscFree* нэгдсэн хөгжлийн орчин (IDE) нь Altera FPGAs Arm*-д суурилсан HPS болон Nios V цөмт процессоруудад зориулсан RiscFree* нэгдсэн хөгжүүлэлтийн орчныг (IDE) тайлбарласан болно. · Nios V процессор Altera FPGA IP хувилбарын тэмдэглэл
1.2. Quartus® Prime програм хангамжийн дэмжлэг
Quartus® Prime Pro Edition программ хангамж болон Quartus Prime Standard Edition программ хангамжийн хувьд Nios V процессор бүтээх урсгал өөр байна. Ялгаатай байдлын талаар дэлгэрэнгүй мэдээллийг AN 980: Nios V процессор Quartus Prime програм хангамжийн дэмжлэгээс авна уу.
Холбогдох мэдээлэл AN 980: Nios V процессор Quartus Prime програм хангамжийн дэмжлэг
1.3. Nios V процессорын лиценз
Nios V процессорын хувилбар бүр өөрийн лицензийн түлхүүртэй. Лицензийн түлхүүрийг авсны дараа та Nios V процессорын бүх төслүүдэд хугацаа дуусах хүртэл ижил лицензийн түлхүүрийг ашиглах боломжтой. Та Nios V Processor Altera FPGA IP лицензийг тэг үнээр авах боломжтой.
Nios V процессорын лицензийн түлхүүрийн жагсаалтыг Altera FPGA өөрөө өөртөө үйлчлэх лицензийн төвд авах боломжтой. Үнэлгээнд бүртгүүлэх эсвэл Үнэгүй лиценз таб дээр товшоод, хүсэлт гаргахын тулд тохирох сонголтыг сонго.
Зураг 1. Altera FPGA Self-Service Licensing Center
Лицензийн түлхүүрүүдийн тусламжтайгаар та дараахь зүйлийг хийх боломжтой.
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 7
1. Nios® V суулгагдсан процессорын тухай 726952 | 2025.07.16
· Өөрийн системд Nios V процессор суулгаарай. · Nios V процессорын системийн үйлдлийг дууриах. · Хэмжээ, хурд зэрэг дизайны функциональ байдлыг шалгах. · Төхөөрөмжийн програмчлал үүсгэх fileс. · Төхөөрөмжийг програмчилж, дизайныг техник хангамжаар баталгаажуулна.
Altera FPGA-д зориулсан Ashling* RiscFree* IDE-д программ хангамж боловсруулахын тулд танд лиценз хэрэггүй.
Холбогдох мэдээлэл · Altera FPGA Self Service Licensing Center
Nios V Processor Altera FPGA IP лицензийн түлхүүрүүдийг авах талаар нэмэлт мэдээлэл авахыг хүсвэл. · Altera FPGA програм хангамжийг суурилуулах, лиценз олгох Altera FPGA програм хангамжийн лиценз олгох, суурин лиценз, сүлжээний лицензийн серверийг тохируулах талаар дэлгэрэнгүй мэдээлэл авахыг хүсвэл.
1.4. Embedded System Design
Дараах зурагт техник хангамж болон програм хангамжийн хөгжүүлэлтийг багтаасан хялбаршуулсан Nios V процессорт суурилсан системийн дизайны урсгалыг харуулав.
Nios® V суулгагдсан процессорын дизайны гарын авлага 8
Санал хүсэлт илгээх
1. Nios® V суулгагдсан процессорын тухай 726952 | 2025.07.16
Зураг 2.
Nios V процессорын системийн дизайны урсгал
Системийн тухай ойлголт
Системийн шаардлагад дүн шинжилгээ хийх
Nios® V
Процессорын цөм ба стандарт бүрэлдэхүүн хэсгүүд
Системийг тодорхойлж, үүсгэх
Платформ дизайнер
Техник хангамжийн урсгал: Intel Quartus Prime төслийг нэгтгэж эмхэтгэх
Програм хангамжийн урсгал: Nios V саналын програм хангамжийг боловсруулж, бүтээх
Техник хангамжийн урсгал: FPGA дизайныг татаж авах
Зорилтот зөвлөлд
Програм хангамжийн урсгал: Nios V процессорын програм хангамжийг турших, дибаг хийх
Програм хангамж ямар ч онцлогтой нийцэхгүй байна уу?
Тиймээ
Техник хангамж ямар ч шаардлагад нийцэхгүй байна уу? Тиймээ
Системийг бүрэн хийж дууслаа
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 9
726952 | 2025.07.16 Санал хүсэлт илгээх
2. Quartus Prime программ хангамж болон платформ дизайнертай Nios V процессорын техник хангамжийн системийн дизайн
Зураг 3.
Дараах диаграмм нь ердийн Nios V процессорын техник хангамжийн дизайныг харуулж байна. Nios V процессорын системийн техник хангамжийн дизайны урсгал
Эхлэх
Nios V цөм ба стандарт бүрэлдэхүүн хэсгүүд
Nios V дээр суурилсан системийг зохион бүтээхдээ платформ дизайнер ашиглана уу
Платформ дизайнерын дизайныг бий болгох
Платформ дизайнерын системийг Intel Quartus Prime төсөлтэй нэгтгэх
Pin байршил, цаг хугацааны шаардлага болон бусад дизайны хязгаарлалтыг оноох
Intel Quartus Prime дээр зорилтот төхөөрөмжид зориулсан техник хангамжийг эмхэтгэх
Татаж авахад бэлэн
2.1. Platform Designer-тай Nios V процессорын системийн загварыг бий болгож байна
Quartus Prime програм хангамж нь Nios V процессорын IP цөм болон бусад IP-г Altera FPGA системийн загварт нэгтгэх, тодорхойлох ажлыг хялбаршуулдаг Platform Designer системийг нэгтгэх хэрэгслийг агуулдаг. Платформ зохион бүтээгч нь заасан дээд түвшний холболтоос харилцан холболтын логикийг автоматаар үүсгэдэг. Харилцан холболтын автоматжуулалт нь системийн түвшний HDL холболтыг тодорхойлоход цаг хугацаа шаардсан ажлыг арилгадаг.
© Altera корпораци. Altera, Altera лого, "a" лого болон бусад Altera тэмдэг нь Altera корпорацийн худалдааны тэмдэг юм. Altera нь аливаа бүтээгдэхүүн, үйлчилгээнд ямар ч үед мэдэгдэлгүйгээр өөрчлөлт оруулах эрхтэй. Altera нь бичгээр тохиролцсоноос бусад тохиолдолд энд дурдсан аливаа мэдээлэл, бүтээгдэхүүн, үйлчилгээг ашиглах, ашиглахтай холбоотой ямар ч хариуцлага хүлээхгүй. Альтера-гийн хэрэглэгчид нийтлэгдсэн мэдээлэлд найдах, бүтээгдэхүүн, үйлчилгээний захиалга өгөхөөс өмнө төхөөрөмжийн техникийн үзүүлэлтүүдийн хамгийн сүүлийн хувилбарыг авахыг зөвлөж байна. *Бусад нэр, брэндийг бусдын өмч гэж үзэж болно.
2. Quartus Prime программ хангамж болон платформ дизайнертай Nios V процессорын техник хангамжийн системийн дизайн
726952 | 2025.07.16
Системийн техник хангамжийн шаардлагад дүн шинжилгээ хийсний дараа та Nios V процессорын цөм, санах ой болон таны системд шаардагдах бусад бүрэлдэхүүн хэсгүүдийг тодорхойлохын тулд Quartus Prime-г ашигладаг. Платформ зохион бүтээгч нь техник хангамжийн систем дэх бүрэлдэхүүн хэсгүүдийг нэгтгэхийн тулд харилцан холболтын логикийг автоматаар үүсгэдэг.
2.1.1. Nios V процессор Altera FPGA IP-г үүсгэнэ
Та Platform Designer IP Catalog Processors болон Peripherics Embedded Processors-д ямар ч процессорын IP цөмийг үүсгэж болно.
Процессор бүрийн IP цөм нь өөрийн өвөрмөц архитектурт суурилсан өөр өөр тохиргооны сонголтыг дэмждэг. Та дизайны хэрэгцээгээ илүү сайн тохируулахын тулд эдгээр тохиргоог тодорхойлж болно.
Хүснэгт 1.
Үндсэн хувилбаруудын тохиргооны сонголтууд
Тохиргооны сонголтууд
Nios V/c процессор
Nios V/m процессор
Дахин тохируулах хүсэлтийг ашиглан дибаг хийх
—
Хавх, онцгой тохиолдол, тасалдал
CPU-ийн архитектур
ECC
Кэш, захын бүс ба TCM
—
—
Захиалгат заавар
—
—
Түгжээ
—
—
Nios V/g процессор
2.1.1.1. Nios V/c Компакт микроконтроллер Altera FPGA IP-г үүсгэгч Зураг 4. Nios V/c Компакт микроконтроллер Altera FPGA IP
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 11
2. Quartus Prime программ хангамж болон платформ дизайнертай Nios V процессорын техник хангамжийн системийн дизайн
726952 | 2025.07.16
2.1.1.1.1. CPU-ийн архитектурын таб
Хүснэгт 2.
CPU-ийн архитектурын таб
Онцлог
Тодорхойлолт
Avalon® интерфэйсийг идэвхжүүлэх нь заавар менежер болон өгөгдлийн менежерт зориулсан Avalon интерфейсийг идэвхжүүлдэг. Хэрэв идэвхгүй бол систем нь AXI4-Lite интерфейсийг ашигладаг.
mhartid CSR үнэ цэнэ
· Хүчингүй IP сонголт. · Nios V/c процессорт mhartid CSR утгыг бүү ашигла.
2.1.1.1.2. Хүсэлтийг дахин тохируулах табыг ашиглана уу
Хүснэгт 3.
Reset Request Tab параметрийг ашиглана уу
Хүсэлтийг дахин тохируулах табыг ашиглана уу
Тодорхойлолт
Дахин тохируулах хүсэлтийн интерфейсийг нэмнэ үү
· Энэ сонголтыг идэвхжүүлж, Nios V процессорын системийн бусад бүрэлдэхүүн хэсгүүдэд нөлөөлөхгүйгээр локал мастер үүнийг ашиглан Nios V процессорыг дахин тохируулах боломжтой локал дахин тохируулах портуудыг нээнэ үү.
· Дахин тохируулах интерфэйс нь оролтын resetreq дохио болон гаралтын хүлээн авах дохионоос бүрдэнэ.
· Та resetreq дохиог баталгаажуулснаар Nios V процессорын цөмд дахин тохируулах хүсэлт гаргаж болно.
· Resetreq дохио нь процессор хүлээн авах дохиог батлах хүртэл хэвээр байх ёстой. Дохио батлагдаагүй байх нь процессорыг тодорхой бус төлөвт оруулахад хүргэдэг.
· Nios V процессор нь хүлээн авах дохиог баталгаажуулснаар дахин тохируулалт амжилттай болсон гэж хариулдаг.
· Процессорыг амжилттай дахин тохируулсны дараа resetreq дохиог хүчингүй болгох хүртэл хүлээн авах дохиог баталгаажуулах нь үе үе олон удаа тохиолдож болно.
2.1.1.1.3. Хавх, үл хамаарах зүйл, тасалдал таб
Хүснэгт 4.
Trap, Exceptions, and Interrupts Tab параметрүүд
Хавх, онцгой тохиолдол, тасалдал
Тодорхойлолт
Агентийг дахин тохируулах
· Дахин тохируулах код байрладаг дахин тохируулах вектор (Nios V процессорыг дахин тохируулах хаяг) агуулсан санах ой.
· Та Nios V процессорын зааварчилгааны мастерт холбогдсон, Nios V процессорын ачаалах урсгалаар дэмжигдсэн дурын санах ойн модулийг дахин тохируулах агент болгон сонгож болно.
Офсетийг дахин тохируулах
· Сонгосон дахин тохируулах агентын үндсэн хаягтай харьцуулахад дахин тохируулах векторын офсетийг заана. · Platform Designer нь дахин тохируулах офсетийн өгөгдмөл утгыг автоматаар өгдөг.
Жич:
Platform Designer нь Absolute сонголтыг өгдөг бөгөөд энэ нь Reset Offset-д үнэмлэхүй хаягийг зааж өгөх боломжийг олгодог. Дахин тохируулах векторыг хадгалах санах ой нь процессорын систем болон дэд системүүдийн гадна байрлах үед энэ сонголтыг ашиглана уу.
Nios® V суулгагдсан процессорын дизайны гарын авлага 12
Санал хүсэлт илгээх
2. Quartus Prime программ хангамж болон платформ дизайнертай Nios V процессорын техник хангамжийн системийн дизайн
726952 | 2025.07.16
2.1.1.1.4. ECC таб
Хүснэгт 5.
ECC таб
ECC
Алдаа илрүүлэх болон төлөв байдлын мэдээлэлтийг идэвхжүүл
Тодорхойлолт
· Nios V процессорын дотоод RAM блокуудад ECC функцийг ашиглахын тулд энэ сонголтыг идэвхжүүлнэ үү. · ECC функцууд нь 2 хүртэлх битийн алдааг илрүүлж, дараах үйлдэл дээр үндэслэн хариу үйлдэл үзүүлдэг.
- Хэрэв энэ нь 1 битийн алдааг засч залруулах боломжтой бол процессорын дамжуулах хоолойн алдааг зассаны дараа процессор үргэлжлүүлэн ажиллана. Гэсэн хэдий ч залруулга нь эх сурвалжийн санах ойд тусгагдаагүй болно.
- Хэрэв алдаа засч залруулах боломжгүй бол процессор нь процессорын шугам хоолой болон эх санах ойд үүнийг засахгүйгээр үргэлжлүүлэн ажиллах бөгөөд энэ нь процессорыг тодорхой бус төлөвт оруулахад хүргэж болзошгүй юм.
2.1.1.2. Nios V/m микроконтроллер Altera FPGA IP-г үүсгэгч Зураг 5. Nios V/m микроконтроллер Altera FPGA IP
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 13
2. Quartus Prime программ хангамж болон платформ дизайнертай Nios V процессорын техник хангамжийн системийн дизайн
726952 | 2025.07.16
2.1.1.2.1. Дибаг хийх таб
Хүснэгт 6.
Таб параметрүүдийг дибаг хийх
Дибаг хийх таб
Тодорхойлолт
Дебаг идэвхжүүлэх
Дибаг хийх модулаас дахин тохируулахыг идэвхжүүлнэ үү
· J нэмэхийн тулд энэ сонголтыг идэвхжүүлнэ үүTAG Nios V процессор руу чиглэсэн холболтын модуль. · ЖTAG Зорилтот холболтын модуль нь дамжуулан Nios V процессортой холбогдох боломжийг олгодог
JTAG FPGA интерфейсийн зүү. · Холболт нь дараах үндсэн боломжуудыг хангадаг.
— Nios V процессорыг эхлүүлэх, зогсоох — Бүртгэл болон санах ойг шалгах, засварлах. — Nios V програмыг татаж авах .elf file дамжуулан ажиллах үед процессорын санах ой руу
niosv-татаж авах. — Nios V процессор дээр ажиллаж байгаа програмыг дибаг хийх · dm_agent портыг процессорын заавар болон өгөгдлийн автобустай холбоно. Хоёр автобусны хоорондох үндсэн хаяг ижил байгаа эсэхийг шалгаарай.
· dbg_reset_out болон ndm_reset_in портуудыг нээхийн тулд энэ сонголтыг идэвхжүүл. · ЖTAG дибаглагч эсвэл niosv-download -r команд нь dbg_reset_out-г идэвхжүүлдэг.
Nios V процессор нь энэ порт руу холбогдсон системийн дагалдах төхөөрөмжийг дахин тохируулах боломжийг олгодог. · Та дахин тохируулахын оронд dbg_reset_out интерфэйсийг ndm_reset_in руу холбох ёстой
процессорын цөм болон таймер модулийг дахин тохируулах интерфэйс. Тодорхой бус үйлдлээс сэргийлэхийн тулд интерфэйсийг дахин тохируулахын тулд та dbg_reset_out интерфэйсийг холбож болохгүй.
2.1.1.2.2. Хүсэлтийг дахин тохируулах табыг ашиглана уу
Хүснэгт 7.
Reset Request Tab параметрийг ашиглана уу
Хүсэлтийг дахин тохируулах табыг ашиглана уу
Тодорхойлолт
Дахин тохируулах хүсэлтийн интерфейсийг нэмнэ үү
· Энэ сонголтыг идэвхжүүлж, Nios V процессорын системийн бусад бүрэлдэхүүн хэсгүүдэд нөлөөлөхгүйгээр локал мастер үүнийг ашиглан Nios V процессорыг дахин тохируулах боломжтой локал дахин тохируулах портуудыг нээнэ үү.
· Дахин тохируулах интерфэйс нь оролтын resetreq дохио болон гаралтын хүлээн авах дохионоос бүрдэнэ.
· Та resetreq дохиог баталгаажуулснаар Nios V процессорын цөмд дахин тохируулах хүсэлт гаргаж болно.
· Resetreq дохио нь процессор хүлээн авах дохиог батлах хүртэл хэвээр байх ёстой. Дохио батлагдаагүй байх нь процессорыг тодорхой бус төлөвт оруулахад хүргэдэг.
· Дебаг горимд resetreq дохиог баталгаажуулах нь процессорын төлөв байдалд ямар ч нөлөө үзүүлэхгүй.
· Nios V процессор нь хүлээн авах дохиог баталгаажуулснаар дахин тохируулалт амжилттай болсон гэж хариулдаг.
· Процессорыг амжилттай дахин тохируулсны дараа resetreq дохиог хүчингүй болгох хүртэл хүлээн авах дохиог баталгаажуулах нь үе үе олон удаа тохиолдож болно.
2.1.1.2.3. Хавх, үл хамаарах зүйл, тасалдал таб
Хүснэгт 8.
Хавх, үл хамаарах зүйл, тасалдал таб
Хавх, үл хамаарах зүйл, тасалдал таб
Тодорхойлолт
Агентийг дахин тохируулах
· Дахин тохируулах код байрладаг дахин тохируулах вектор (Nios V процессорыг дахин тохируулах хаяг) агуулсан санах ой.
· Та Nios V процессорын зааварчилгааны мастерт холбогдсон, Nios V процессорын ачаалах урсгалаар дэмжигдсэн дурын санах ойн модулийг дахин тохируулах агент болгон сонгож болно.
Офсет тасалдлын горимыг дахин тохируулах
· Сонгосон дахин тохируулах агентын үндсэн хаягтай харьцуулахад дахин тохируулах векторын офсетийг заана. · Platform Designer нь дахин тохируулах офсетийн өгөгдмөл утгыг автоматаар өгдөг.
Шууд эсвэл векторжуулсан тасалдлын хянагчийн төрлийг тодорхойл. Тайлбар: Nios V/m дамжуулах хоолойгүй процессор нь Vectored тасалдлыг дэмждэггүй.
Тиймээс процессорыг дамжуулах хоолойгүй горимд байх үед Векторт тасалдлын горимыг ашиглахаас зайлсхий.
Nios® V суулгагдсан процессорын дизайны гарын авлага 14
Санал хүсэлт илгээх
2. Quartus Prime программ хангамж болон платформ дизайнертай Nios V процессорын техник хангамжийн системийн дизайн
726952 | 2025.07.16
Жич:
Platform Designer нь Absolute сонголтыг өгдөг бөгөөд энэ нь Reset Offset-д үнэмлэхүй хаягийг зааж өгөх боломжийг олгодог. Дахин тохируулах векторыг хадгалах санах ой нь процессорын систем болон дэд системүүдийн гадна байрлах үед энэ сонголтыг ашиглана уу.
2.1.1.2.4. CPU-ийн архитектур
Хүснэгт 9.
CPU-ийн архитектурын таб параметрүүд
CPU-ийн архитектур
Тодорхойлолт
CPU-д дамжуулах хоолойг идэвхжүүлэх
· Дамжуулсан Nios V/m процессорыг эхлүүлэхийн тулд энэ сонголтыг идэвхжүүлнэ. — Логик талбай өндөр, Fmax давтамж багатай тул IPC өндөр байдаг.
· Дамжуулах хоолойгүй Nios V/m процессорыг эхлүүлэхийн тулд энэ сонголтыг идэвхгүй болго. — Nios V/c процессортой төстэй үндсэн гүйцэтгэлтэй. — Дибаг хийх, тасалдуулах чадварыг дэмждэг — Доод логик талбар, илүү өндөр Fmax давтамж нь IPC-ийн бага зардлаар.
Avalon интерфейсийг идэвхжүүл
Зааварчилгааны менежер болон өгөгдлийн менежерт зориулсан Avalon интерфэйсийг идэвхжүүлдэг. Хэрэв идэвхгүй бол систем нь AXI4-Lite интерфейсийг ашигладаг.
mhartid CSR үнэ цэнэ
· Hart ID бүртгэлийн (mhartid) утга нь анхдагчаар 0 байна. · 0-ээс 4094 хооронд утга онооно. · Altera FPGA Avalon Mutex Core HAL API-тай нийцдэг.
Холбогдох мэдээлэл суулгагдсан захын IP хэрэглэгчийн гарын авлага – Intel FPGA Avalon® Mutex Core
2.1.1.2.5. ECC таб
Хүснэгт 10. ECC Tab
ECC нь алдаа илрүүлэх болон төлөв байдлын мэдээлэлтийг идэвхжүүлдэг
Тодорхойлолт
· Nios V процессорын дотоод RAM блокуудад ECC функцийг ашиглахын тулд энэ сонголтыг идэвхжүүлнэ үү. · ECC функцууд нь 2 хүртэлх битийн алдааг илрүүлж, дараах үйлдэл дээр үндэслэн хариу үйлдэл үзүүлдэг.
- Хэрэв энэ нь 1 битийн алдааг засч залруулах боломжтой бол процессорын дамжуулах хоолойн алдааг зассаны дараа процессор үргэлжлүүлэн ажиллана. Гэсэн хэдий ч залруулга нь эх сурвалжийн санах ойд тусгагдаагүй болно.
- Хэрэв алдаа засч залруулах боломжгүй бол процессор нь процессорын шугам хоолой болон эх санах ойд үүнийг засахгүйгээр үргэлжлүүлэн ажиллах бөгөөд энэ нь процессорыг тодорхой бус төлөвт оруулахад хүргэж болзошгүй юм.
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 15
2. Quartus Prime программ хангамж болон платформ дизайнертай Nios V процессорын техник хангамжийн системийн дизайн
726952 | 2025.07.16
2.1.1.3. Nios V/g Ерөнхий зориулалтын процессор Altera FPGA IP-г үүсгэсэн
Зураг 6. Nios V/g Ерөнхий зориулалтын процессор Altera FPGA IP – 1-р хэсэг
Зураг 7.
Nios V/g Ерөнхий зориулалтын процессор Altera FPGA IP – 2-р хэсэг (Үндсэн түвшний тасалдлын хянагчийг идэвхжүүлэх)
Nios® V суулгагдсан процессорын дизайны гарын авлага 16
Санал хүсэлт илгээх
2. Quartus Prime программ хангамж болон платформ дизайнертай Nios V процессорын техник хангамжийн системийн дизайн
726952 | 2025.07.16
Зураг 8.
Nios V/g Ерөнхий зориулалтын процессор Altera FPGA IP – 2-р хэсэг (Үндсэн түвшний тасалдлын хянагчийг асаана уу)
Зураг 9. Nios V/g Ерөнхий зориулалтын процессор Altera FPGA IP – 3-р хэсэг
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 17
2. Quartus Prime программ хангамж болон платформ дизайнертай Nios V процессорын техник хангамжийн системийн дизайн
726952 | 2025.07.16
Зураг 10. Nios V/g Ерөнхий зориулалтын процессор Altera FPGA IP – 4-р хэсэг
2.1.1.3.1. CPU-ийн архитектур
Хүснэгт 11. CPU-ийн архитектурын параметрүүд
CPU-ийн архитектурын таб Хөвөгч цэгийн нэгжийг идэвхжүүлнэ
Тодорхойлолт Процессорын цөмд хөвөгч цэгийн нэгж ("F" өргөтгөл) нэмэхийн тулд энэ сонголтыг идэвхжүүлнэ үү.
Салбарын таамаглалыг идэвхжүүлнэ үү
Салбарын зааврын хувьд статик салбарыг урьдчилан таамаглах (Ургаш авсан ба урагшаа аваагүй) идэвхжүүлнэ.
mhartid CSR үнэ цэнэ
· Hart ID бүртгэлийн (mhartid) утга нь анхдагчаар 0 байна. · 0-ээс 4094 хооронд утга онооно. · Altera FPGA Avalon Mutex Core HAL API-тай нийцдэг.
FPU-д зориулсан FSQRT & FDIV зааврыг идэвхгүй болгох
· FPU дахь хөвөгч цэгийн квадрат язгуур (FSQRT) болон хөвөгч цэгийн хуваах (FDIV) үйлдлүүдийг устгана.
· Ажиллаж байх үед программ хангамжийн эмуляцийг хоёр зааварт хэрэглээрэй.
Холбогдох мэдээлэл суулгагдсан захын IP хэрэглэгчийн гарын авлага – Intel FPGA Avalon® Mutex Core
Nios® V суулгагдсан процессорын дизайны гарын авлага 18
Санал хүсэлт илгээх
2. Quartus Prime программ хангамж болон платформ дизайнертай Nios V процессорын техник хангамжийн системийн дизайн
726952 | 2025.07.16
2.1.1.3.2. Дибаг хийх таб
Хүснэгт 12. Дибаг хийх табын параметрүүд
Дибаг хийх таб
Тодорхойлолт
Дебаг идэвхжүүлэх
Дибаг хийх модулаас дахин тохируулахыг идэвхжүүлнэ үү
· J нэмэхийн тулд энэ сонголтыг идэвхжүүлнэ үүTAG Nios V процессор руу чиглэсэн холболтын модуль. · ЖTAG Зорилтот холболтын модуль нь дамжуулан Nios V процессортой холбогдох боломжийг олгодог
JTAG FPGA интерфейсийн зүү. · Холболт нь дараах үндсэн боломжуудыг хангадаг.
— Nios V процессорыг эхлүүлэх, зогсоох — Бүртгэл болон санах ойг шалгах, засварлах. — Nios V програмыг татаж авах .elf file дамжуулан ажиллах үед процессорын санах ой руу
niosv-татаж авах. — Nios V процессор дээр ажиллаж байгаа програмыг дибаг хийх · dm_agent портыг процессорын заавар болон өгөгдлийн автобустай холбоно. Хоёр автобусны хоорондох үндсэн хаяг ижил байгаа эсэхийг шалгаарай.
· dbg_reset_out болон ndm_reset_in портуудыг нээхийн тулд энэ сонголтыг идэвхжүүл. · ЖTAG дибаглагч эсвэл niosv-download -r команд нь dbg_reset_out-г идэвхжүүлдэг.
Nios V процессор нь энэ порт руу холбогдсон системийн дагалдах төхөөрөмжийг дахин тохируулах боломжийг олгодог. · Та дахин тохируулахын оронд dbg_reset_out интерфэйсийг ndm_reset_in руу холбох ёстой
процессорын цөм болон таймер модулийг дахин тохируулах интерфэйс. Тодорхой бус үйлдлээс сэргийлэхийн тулд интерфэйсийг дахин тохируулахын тулд та dbg_reset_out интерфэйсийг холбож болохгүй.
2.1.1.3.3. Lockstep Tab Хүснэгт 13. Lockstep Tab
Параметрүүд Түгжих алхамыг идэвхжүүлэх Өгөгдмөл хугацаа дуусах хугацаа Өргөтгөсөн дахин тохируулах интерфэйсийг идэвхжүүлнэ
Тодорхойлолт · Хоёр цөмт Lockstep системийг идэвхжүүлнэ. · Дахин тохируулах гаралтын программчлагдах завсарлагын өгөгдмөл утга (0-ээс 255 хооронд). · Өргөтгөсөн дахин тохируулах хяналтын нэмэлт өргөтгөсөн дахин тохируулах интерфэйсийг идэвхжүүлнэ. · Идэвхгүй болсон үед fRSmartComp нь үндсэн дахин тохируулах хяналтыг хэрэгжүүлдэг.
2.1.1.3.4. Хүсэлтийг дахин тохируулах табыг ашиглана уу
Хүснэгт 14. Reset Request Tab параметрийг ашиглана уу
Хүсэлтийг дахин тохируулах табыг ашиглана уу
Тодорхойлолт
Дахин тохируулах хүсэлтийн интерфейсийг нэмнэ үү
· Энэ сонголтыг идэвхжүүлж, Nios V процессорын системийн бусад бүрэлдэхүүн хэсгүүдэд нөлөөлөхгүйгээр локал мастер үүнийг ашиглан Nios V процессорыг дахин тохируулах боломжтой локал дахин тохируулах портуудыг нээнэ үү.
· Дахин тохируулах интерфэйс нь оролтын resetreq дохио болон гаралтын хүлээн авах дохионоос бүрдэнэ.
· Та resetreq дохиог баталгаажуулснаар Nios V процессорын цөмд дахин тохируулах хүсэлт гаргаж болно.
· Resetreq дохио нь процессор хүлээн авах дохиог батлах хүртэл хэвээр байх ёстой. Дохио батлагдаагүй байх нь процессорыг тодорхой бус төлөвт оруулахад хүргэдэг.
· Дебаг горимд resetreq дохиог баталгаажуулах нь процессорын төлөв байдалд ямар ч нөлөө үзүүлэхгүй.
· Nios V процессор нь хүлээн авах дохиог баталгаажуулснаар дахин тохируулалт амжилттай болсон гэж хариулдаг.
· Процессорыг амжилттай дахин тохируулсны дараа resetreq дохиог хүчингүй болгох хүртэл хүлээн авах дохиог баталгаажуулах нь үе үе олон удаа тохиолдож болно.
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 19
2. Quartus Prime программ хангамж болон платформ дизайнертай Nios V процессорын техник хангамжийн системийн дизайн
726952 | 2025.07.16
2.1.1.3.5. Хавх, үл хамаарах зүйл, тасалдал таб
Хүснэгт 15.
Үндсэн түвшний тасалдлын хянагчийг идэвхжүүлэх үед хавх, үл хамаарах зүйл, тасалдал таб
Хавх, үл хамаарах зүйл, тасалдал таб
Агентийг дахин тохируулах
Тодорхойлолт
· Дахин тохируулах код байрладаг дахин тохируулах вектор (Nios V процессорыг дахин тохируулах хаяг) агуулсан санах ой.
· Та Nios V процессорын зааварчилгааны мастерт холбогдсон, Nios V процессорын ачаалах урсгалаар дэмжигдсэн дурын санах ойн модулийг дахин тохируулах агент болгон сонгож болно.
Офсетийг дахин тохируулах
· Сонгосон дахин тохируулах агентын үндсэн хаягтай харьцуулахад дахин тохируулах векторын офсетийг заана. · Platform Designer нь дахин тохируулах офсетийн өгөгдмөл утгыг автоматаар өгдөг.
Үндсэн түвшний тасалдал хянагчийг (CLIC) идэвхжүүлэх
· Урьдчилан сэргийлэх тасалдал болон тохируулах боломжтой тасалдлын гох нөхцөлийг дэмжихийн тулд CLIC-г идэвхжүүлнэ.
· Идэвхжүүлсэн үед та платформ тасалдлын тоог тохируулах, гох нөхцөлийг тохируулах, зарим тасалдлыг урьдчилан сэргийлэх гэж тодорхойлох боломжтой.
Тасалдлын горим Сүүдрийн бүртгэл Files
Тасалдал дээр контекст шилжихийг багасгахын тулд тасалдлын төрлийг Шууд, эсвэл Векторт идэвхжүүлэх сүүдрийн бүртгэл гэж зааж өгнө.
Хүснэгт 16.
Үндсэн түвшний тасалдлын хянагчийг идэвхжүүлэх үед занга, үл хамаарах зүйл, тасалдал
Хавх, онцгой тохиолдол, тасалдал
Тодорхойлолт
Агентийг дахин тохируулах
Офсетийг дахин тохируулах
Үндсэн түвшний тасалдал хянагчийг (CLIC) идэвхжүүлэх
· Дахин тохируулах код байрладаг дахин тохируулах вектор (Nios V процессорыг дахин тохируулах хаяг) агуулсан санах ой.
· Та Nios V процессорын зааварчилгааны мастерт холбогдсон, Nios V процессорын ачаалах урсгалаар дэмжигдсэн дурын санах ойн модулийг дахин тохируулах агент болгон сонгож болно.
· Сонгосон дахин тохируулах агентын үндсэн хаягтай харьцуулахад дахин тохируулах векторын офсетийг заана. · Platform Designer нь дахин тохируулах офсетийн өгөгдмөл утгыг автоматаар өгдөг.
· Урьдчилан сэргийлэх тасалдал болон тохируулах боломжтой тасалдлын гох нөхцөлийг дэмжихийн тулд CLIC-г идэвхжүүлнэ. · Идэвхжүүлсэн үед та платформ тасалдлын тоог тохируулах, гох нөхцөлийг тохируулах,
мөн зарим тасалдлыг урьдчилан сэргийлэх гэж зааж өгнө.
Тасалдлын горим
· Тасалдлын төрлийг Direct, Vectored, CLIC гэж зааж өгнө.
Сүүдрийн бүртгэл Files
· Тасалдлын үед контекст шилжихийг багасгахын тулд сүүдрийн бүртгэлийг идэвхжүүлнэ.
· Хоёр аргыг санал болгож байна:
— CLIC тасалдлын түвшний тоо
— CLIC тасалдлын түвшний тоо – 1: Энэ сонголт нь бүртгэлийн дугаарыг хүссэн үед хэрэг болно file M20K эсвэл M9K блокуудын яг тоонд багтахаар хуулбарлана.
· Nios V процессорыг сүүдрийн бүртгэл ашиглахыг идэвхжүүлнэ files нь тасалдсан үед контекст шилжих ачааллыг бууруулдаг.
Сүүдрийн бүртгэлийн талаар дэлгэрэнгүй мэдээлэл авахыг хүсвэл files, Nios V процессорын лавлах гарын авлагыг үзнэ үү.
Платформ тасалдлын эх үүсвэрийн тоо
· 16-аас 2048 хүртэлх платформ тасалдлын тоог заана.
Тайлбар: CLIC нь 2064 хүртэлх тасалдлын оролтыг дэмждэг бөгөөд эхний 16 тасалдлын оролт нь мөн үндсэн тасалдлын хянагчтай холбогддог.
CLIC вектор хүснэгтийн зэрэглэл
· Платформ тасалдлын эх үүсвэрийн тоонд үндэслэн автоматаар тодорхойлно. · Хэрэв та санал болгож буй утгаас доогуур зэрэгцүүлбэл CLIC логикийг нэмэгдүүлдэг
векторын тооцоог хийхэд нэмэлт нэмэгч нэмснээр нарийн төвөгтэй байдал. · Хэрэв та санал болгож буй утгаас доогуур зэрэгцүүлбэл энэ нь нэмэгдэхэд хүргэдэг
CLIC дахь логик нарийн төвөгтэй байдал.
үргэлжилсэн…
Nios® V суулгагдсан процессорын дизайны гарын авлага 20
Санал хүсэлт илгээх
2. Quartus Prime программ хангамж болон платформ дизайнертай Nios V процессорын техник хангамжийн системийн дизайн
726952 | 2025.07.16
Хавх, онцгой тохиолдол, тасалдал
Тасалдлын түвшний тоо
Түвшин бүрт тасалдлын тэргүүлэх чиглэлийн тоо
Тохируулах боломжтой тасалдлын туйл. Дэмжлэгийн ирмэгээр үүсгэгдсэн тасалдал
Тодорхойлолт
· Програмын кодын нэмэлт 0 түвшин бүхий тасалдлын түвшний тоог заана. Дээд түвшний тасалдал нь доод түвшний тасалдлын хувьд ажиллаж байгаа зохицуулагчийг тасалдуулж (урьдчилан бэлдэж) болно.
· Тасалдлын цорын ганц сонголт бол тэгээс өөр тасалдлын түвшинтэй тул програмын код нь үргэлж хамгийн доод түвшинд 0 байна. Тайлбар: Тасалдлын түвшин болон тэргүүлэх чиглэлийн ажиллах цагийн тохиргоог нэг 8 битийн бүртгэлд хийдэг. Хэрэв тасалдлын түвшний тоо 256 бол ажиллах үед тасалдлын тэргүүлэх чиглэлийг тохируулах боломжгүй. Үгүй бол тохируулж болох тэргүүлэх чиглэлүүдийн хамгийн их тоо нь 256 / (тасалдлын түвшний тоо - 1) байна.
· Тасалдлын тэргүүлэх чиглэлүүдийн тоог зааж өгдөг бөгөөд CLIC нь эдгээрийг урьдчилан авахгүй тасалдал зохицуулагчдыг дуудах дарааллыг тодорхойлоход ашигладаг. Тайлбар: Сонгосон тасалдлын түвшин болон сонгосон тасалдлын тэргүүлэх чиглэлийн хоёртын утгуудын холболт нь 8 битээс бага байх ёстой.
· Ажиллаж байх үед тасалдлын туйлшралыг тохируулах боломжийг танд олгоно. · Анхдагч туйлшрал нь эерэг туйл юм.
· Ажиллаж байх үеийн тасалдлын гох нөхцөлийг тохируулах боломжийг олгоно, өөрөөр хэлбэл өндөр түвшний триггер эсвэл эерэг ирмэгийн триггер (Тасалдлын туйлшрал нь Configurable тасалдлын туйл дээр эерэг байх үед).
· Анхдагч гох нөхцөл нь түвшний триггер тасалдал юм.
Жич:
Platform Designer нь Absolute сонголтыг өгдөг бөгөөд энэ нь Reset Offset-д үнэмлэхүй хаягийг зааж өгөх боломжийг олгодог. Дахин тохируулах векторыг хадгалах санах ой нь процессорын систем болон дэд системүүдийн гадна байрлах үед энэ сонголтыг ашиглана уу.
Холбогдох мэдээлэл Nios® V процессорын лавлах гарын авлага
2.1.1.3.6. Санах ойн тохиргооны таб
Хүснэгт 17. Санах ойн тохиргооны таб параметрүүд
Ангилал
Санах ойн тохиргооны таб
Тодорхойлолт
Кэшүүд
Өгөгдлийн кэшийн хэмжээ
· Өгөгдлийн кэшийн хэмжээг зааж өгнө. · Хүчинтэй хэмжээ нь 0 килобайтаас (KB) 16 KB хүртэл байна. · Хэмжээ 0 KB бол өгөгдлийн кэшийг унтраа.
Зааврын кэшийн хэмжээ
· Зааварын кэшийн хэмжээг зааж өгнө. · Хүчинтэй хэмжээ нь 0 КБ-аас 16 КБ хүртэл байна. · Хэмжээ 0 KB бол зааврын кэшийг унтраа.
Захын бүс А ба В
Хэмжээ
· Захын бүсийн хэмжээг зааж өгнө.
· Хүчинтэй хэмжээ нь 64 КБ-аас 2 гигабайт (ГБ) хүртэл эсвэл Байхгүй. "Байхгүй"-г сонгох нь захын бүсийг идэвхгүй болгоно.
Үндсэн хаяг
· Хэмжээг сонгосны дараа захын бүсийн үндсэн хаягийг зааж өгнө.
· Захын бүсийн бүх хаягууд нь кэшлэх боломжгүй өгөгдөлд хандалт үүсгэдэг.
· Захын бүсийн суурь хаяг нь захын бүсийн хэмжээтэй нийцсэн байх ёстой.
Хатуу хосолсон дурсамжууд
Хэмжээ
· Хатуу холбогдсон санах ойн хэмжээг заана. - Хүчинтэй хэмжээ нь 0 МБ-аас 512 МБ хүртэл байна.
Үндсэн хаягийг эхлүүлэх File
· Хатуу холбогдсон санах ойн үндсэн хаягийг заана. · Эхлүүлэхийг зааж өгнө file нягт уялдаатай санах ойд зориулагдсан.
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 21
2. Quartus Prime программ хангамж болон платформ дизайнертай Nios V процессорын техник хангамжийн системийн дизайн
726952 | 2025.07.16
Жич:
Кэшийг идэвхжүүлсэн Nios V процессорын системд та системийн дагалдах төхөөрөмжүүдийг захын бүсэд байрлуулах ёстой. Та захын бүсүүдийг ашиглан UART, PIO, DMA болон бусад төхөөрөмжүүдийн кэш хийх боломжгүй гүйлгээг тодорхойлж болно.
2.1.1.3.7. ECC таб
Хүснэгт 18. ECC Tab
ECC нь алдаа илрүүлэх болон төлөв байдлын мэдээлэлтийг идэвхжүүлдэг
Нэг битийн засварыг идэвхжүүлнэ үү
Тодорхойлолт
· Nios V процессорын дотоод RAM блокуудад ECC функцийг ашиглахын тулд энэ сонголтыг идэвхжүүлнэ үү. · ECC функцууд нь 2 хүртэлх битийн алдааг илрүүлж, дараах үйлдэл дээр үндэслэн хариу үйлдэл үзүүлдэг.
— Хэрэв энэ нь залруулж болох нэг битийн алдаа бөгөөд Нэг битийн залруулга идэвхгүй болбол процессорын шугам дээрх алдааг зассаны дараа процессор үргэлжлүүлэн ажиллана. Гэсэн хэдий ч залруулга нь эх сурвалжийн санах ойд тусгагдаагүй болно.
— Хэрэв энэ нь залруулж болох нэг битийн алдаа бөгөөд Нэг битийн залруулга идэвхжсэн бол процессорын шугам хоолой болон эх санах ойн алдааг зассаны дараа процессор үргэлжлүүлэн ажиллана.
— Хэрэв энэ нь засч залруулах боломжгүй алдаа бол процессор үйл ажиллагаагаа зогсооно.
Цөм дэх суулгагдсан санах ойн блокууд дээр нэг битийн засварыг идэвхжүүлнэ.
2.1.1.3.8. Тусгай зааварчилгааны таб
Жич:
Энэ табыг зөвхөн Nios V/g процессорын цөмд ашиглах боломжтой.
Custom Instruction Nios V Custom Instruction Hardware Interface Table
Nios V Custom заавар програм хангамжийн макро хүснэгт
Тодорхойлолт
· Nios V процессор нь энэ хүснэгтийг ашиглан зааварчилгааны менежерийн интерфейсийг тодорхойлдог.
· Захиалгат менежерийн тодорхойлсон интерфэйсүүд нь Opcode (CUSTOM0-3) болон 3 бит функц7[6:4]-ээр өвөрмөц байдлаар кодлогдсон байдаг.
· Та нийт 32 хүртэлх хувийн заавар менежерийн интерфейсийг тодорхойлж болно.
· Nios V процессор нь энэ хүснэгтийг тусгай заавар менежерийн интерфэйсүүдэд зориулсан тусгай зааварчилгааны програм хангамжийн кодчиллыг тодорхойлоход ашигладаг.
· Тодорхойлогдсон захиалгат зааврын програм хангамжийн кодчилол бүрийн хувьд Opcode (CUSTOM0-3) ба 3 бит funct7[6:4] кодчилол нь Захиалгат зааварчилгааны техник хангамжийн интерфейсийн хүснэгтэд тодорхойлсон захиалгат зааврын менежерийн интерфэйс кодчилолтой хамааралтай байх ёстой.
· Та funct7[6:4], funct7[3:0], funct3[2:0]-г ашиглан өгөгдсөн захиалгат зааврын нэмэлт кодчилолыг тодорхойлох эсвэл нэмэлт зааврын аргумент болгон дамжуулах X гэж зааж өгч болно.
· Nios V процессор нь system.h-д C-макро хэлбэрээр үүсгэсэн тусгай зааварчилгааны програм хангамжийн кодчилолуудыг хангадаг бөгөөд R төрлийн RISC-V зааврын форматыг дагадаг.
· Mnemonics нь дараах нэрсийг тодорхойлоход ашиглагдаж болно: — system.h дээр үүсгэсэн C-Macros.
— custom_instruction_debug.xml дотор үүсгэсэн GDB дибаг хийх мнемоник.
Холбогдох мэдээлэл
AN 977: Nios V процессорын захиалгат заавар Та тодорхой программын хэрэгцээнд нийцүүлэн Nios® V процессорыг өөрчлөх боломжийг олгодог захиалгат зааврын талаар дэлгэрэнгүй мэдээллийг авна уу.
Nios® V суулгагдсан процессорын дизайны гарын авлага 22
Санал хүсэлт илгээх
2. Quartus Prime программ хангамж болон платформ дизайнер бүхий Nios V процессорын техник хангамжийн системийн дизайн 726952 | 2025.07.16
2.1.2. Системийн бүрэлдэхүүн хэсгийн дизайныг тодорхойлох
Platform Designer ашиглан Nios V процессорын системийн техник хангамжийн шинж чанарыг тодорхойлж, хүссэн бүрэлдэхүүн хэсгүүдээ нэмнэ үү. Дараах диаграмм нь дараах бүрэлдэхүүн хэсгүүдтэй Nios V процессорын системийн үндсэн загварыг харуулж байна: · Nios V процессорын цөм · Чип дээрх санах ой · JTAG UART · Интервалын таймер (заавал биш)(1)
Платформ зохион бүтээгчийн системд шинэ чип дээрх санах ой нэмэгдэхэд нэмэлт санах ойн бүрэлдэхүүн хэсгүүдийг дахин тохируулахын тулд Системийн мэдээллийг синхрончлохыг гүйцэтгэнэ. Эсвэл та хамгийн сүүлийн үеийн бүрэлдэхүүн хэсгийн өөрчлөлтийг автоматаар тусгахын тулд Platform Designer-д Auto Sync-ийг идэвхжүүлж болно
Зураг 11. Жишээ ньampPlatform Designer дээрх Nios V процессорыг бусад нэмэлт төхөөрөмжтэй холбох
(1) Та Platform Designer дээрх гадаад интервал таймерыг солихын тулд Nios V Internal Timer функцуудыг ашиглах боломжтой.
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 23
2. Quartus Prime программ хангамж болон платформ дизайнертай Nios V процессорын техник хангамжийн системийн дизайн
726952 | 2025.07.16
Та мөн платформ зохион бүтээгчийн системдээ дамжуулагч болгон экспортлох үйлдлийн зүүг тодорхойлох ёстой. Жишээ ньample, зөв FPGA системийн үйлдлийн пин жагсаалтыг доор дурдсанаар тодорхойлсон боловч үүгээр хязгаарлагдахгүй:
· Цаг
· Дахин тохируулах
· I/O дохио
2.1.3. Үндсэн хаяг болон тасалдлын хүсэлтийн тэргүүлэх чиглэлийг зааж өгөх
Загварт нэмсэн бүрэлдэхүүн хэсгүүд нь систем үүсгэхийн тулд хэрхэн харилцан үйлчлэлцэхийг тодорхойлохын тулд та агент бүрэлдэхүүн хэсэг бүрт үндсэн хаягийг оноож, J-д тасалдлын хүсэлт (IRQ) тэргүүлэх чиглэл өгөх хэрэгтэй.TAG UART ба интервал таймер. Платформ зохион бүтээгч нь системийн бүх бүрэлдэхүүн хэсгүүдэд зохих үндсэн хаягуудыг автоматаар оноож өгдөг – Үндсэн хаягийг зааж өгөх командыг өгдөг. Гэсэн хэдий ч та өөрийн хэрэгцээнд тулгуурлан үндсэн хаягуудыг тохируулж болно.
Дараах нь үндсэн хаягийг хуваарилах зарим удирдамж юм.
· Nios V процессорын цөм нь 32 битийн хаягийн зайтай. Агент бүрэлдэхүүн хэсгүүдэд хандахын тулд тэдгээрийн үндсэн хаяг нь 0x00000000 болон 0xFFFFFFFF хооронд хэлбэлзэх ёстой.
· Nios V программууд хаяг руу хандахдаа симбол тогтмолуудыг ашигладаг. Та санахад хялбар хаягийн утгуудыг сонгох шаардлагагүй.
· Бүрэлдэхүүн хэсгүүдийг зөвхөн нэг битийн хаягийн зөрүүгээр ялгадаг хаягийн утгууд нь илүү үр ашигтай техник хангамжийг үүсгэдэг. Та бүх үндсэн хаягийг хамгийн бага хаягийн мужид нягтруулах шаардлагагүй, учир нь нягтаршуулах нь үр ашиг багатай техник хангамжийг бий болгодог.
· Платформ зохион бүтээгч нь санах ойн залгаа муж дахь тусдаа санах ойн бүрэлдэхүүн хэсгүүдийг зэрэгцүүлэхийг оролддоггүй. Жишээ ньampХэрэв та олон чип дээрх санах ойн бүрэлдэхүүн хэсгүүдийг нэг санах ойн хүрээ болгон хаяглахыг хүсвэл үндсэн хаягийг тодорхой зааж өгөх ёстой.
Платформ зохион бүтээгч нь мөн автоматжуулалтын командыг өгдөг - Тасалдлын дугаарыг оноох ба энэ нь техник хангамжийн хүчинтэй үр дүнг гаргахын тулд IRQ дохиог холбодог. Гэсэн хэдий ч, IRQ-ийг үр дүнтэй хуваарилах нь системийн хариу үйлдлийн талаархи ерөнхий ойлголтыг шаарддаг. Платформ дизайнер нь хамгийн сайн IRQ даалгаврын талаар мэдлэгтэй таамаг дэвшүүлж чадахгүй.
Хамгийн бага IRQ утга нь хамгийн чухал ач холбогдолтой. Тохиромжтой системд Altera нь таймерын бүрэлдэхүүн хэсэг нь хамгийн өндөр ач холбогдол бүхий IRQ, өөрөөр хэлбэл хамгийн бага утгатай байх нь системийн цагийн хачигны нарийвчлалыг хадгалахыг зөвлөж байна.
Зарим тохиолдолд та бодит цагийн захын төхөөрөмжүүдэд (видео хянагч гэх мэт) илүү өндөр ач холбогдол өгч болох бөгөөд энэ нь таймерын бүрэлдэхүүн хэсгүүдээс илүү өндөр тасалдал шаарддаг.
Холбогдох мэдээлэл
Quartus Prime Pro Edition хэрэглэгчийн гарын авлага: Платформ дизайнертай систем үүсгэх талаар дэлгэрэнгүй мэдээлэл.
Nios® V суулгагдсан процессорын дизайны гарын авлага 24
Санал хүсэлт илгээх
2. Quartus Prime программ хангамж болон платформ дизайнер бүхий Nios V процессорын техник хангамжийн системийн дизайн 726952 | 2025.07.16
2.2. Платформ дизайнерын системийг Quartus Prime төсөлд нэгтгэх
Platform Designer дээр Nios V системийн загварыг үүсгэсний дараа Nios V системийн модулийг Quartus Prime FPGA дизайны төсөлд нэгтгэхийн тулд дараах ажлуудыг гүйцэтгэнэ үү. · Quartus Prime төсөлд Nios V системийн модулийг үүсгэх · Nios V системийн модулийн дохиог FPGA логик дахь бусад дохионуудтай холбох · Физик пинүүдийн байршлыг тогтоох · FPGA дизайныг хязгаарлах
2.2.1. Quartus Prime төсөл дэх Nios V процессорын системийн модулийг бий болгох
Platform Designer нь системийн модулийн дизайны нэгжийг үүсгэдэг бөгөөд та үүнийг Quartus Prime дээр загварчлах боломжтой. Системийн модулийг хэрхэн бүтээх нь нийт Quartus Prime төслийн дизайн оруулах аргаас хамаарна. Жишээ ньampХэрэв та дизайн оруулахдаа Verilog HDL ашиглаж байсан бол Verilog дээр суурилсан системийн модулийг үүсгэнэ үү. Хэрэв та дизайн оруулахдаа блок диаграммын аргыг ашиглахыг хүсвэл системийн модулийн тэмдэг .bdf-г үүсгэнэ үү. file.
2.2.2. Дохио холбох ба физик зүү байршлыг оноох
Altera FPGA загвараа самбарын түвшний загвартай холбохын тулд дараах ажлуудыг гүйцэтгэнэ үү: · Дээд түвшнийг тодорхойлох file таны дизайн болон гадаад Altera-тай холбогдох дохионы хувьд
FPGA төхөөрөмжийн зүү. · ТУЗ-ийн түвшний дизайны хэрэглэгчийн гарын авлага эсвэл ямар зүү холбохыг ойлгох
схемүүд. · Дээд түвшний загварт дохиог өөрийн Altera FPGA төхөөрөмж дээрх зүү бүхий портуудад оноож өгнө үү
даалгаврын хэрэгсэл.
Таны Platform Designer систем нь дээд түвшний загвар байж болно. Гэсэн хэдий ч Altera FPGA нь таны хэрэгцээнд тулгуурлан нэмэлт логикийг багтааж болох бөгөөд ингэснээр дээд түвшний захиалгат програмыг нэвтрүүлдэг. file. Дээд түвшний file Nios V процессорын системийн модулийн дохиог бусад Altera FPGA дизайны логиктой холбодог.
Холбогдох мэдээлэл Quartus Prime Pro Edition хэрэглэгчийн гарын авлага: Дизайн хязгаарлалт
2.2.3. Altera FPGA дизайныг хязгаарлах
Altera FPGA системийн зөв загвар нь цаг хугацааны хаалт болон бусад логик хязгаарлалтын шаардлагад нийцэж байгаа эсэхийг баталгаажуулах дизайны хязгаарлалтуудыг агуулдаг. Та Quartus Prime программ хангамж эсвэл гуравдагч талын EDA үйлчилгээ үзүүлэгчд өгөгдсөн хэрэгслийг ашиглан эдгээр шаардлагыг тодорхой хангахын тулд Altera FPGA загвараа хязгаарлах ёстой. Quartus Prime програм хангамж нь байршуулах оновчтой үр дүнг авахын тулд эмхэтгэлийн үе шатанд өгсөн хязгаарлалтуудыг ашигладаг.
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 25
2. Quartus Prime программ хангамж болон платформ дизайнертай Nios V процессорын техник хангамжийн системийн дизайн
726952 | 2025.07.16
Холбогдох мэдээлэл · Quartus Prime Pro Edition хэрэглэгчийн гарын авлага: Загварын хязгаарлалт · Гуравдагч этгээдийн EDA түншүүд · Quartus Prime Pro Edition хэрэглэгчийн гарын авлага: Цагийн анализатор
2.3. Nios V процессорын санах ойн системийг зохион бүтээх
Энэ хэсэгт Nios V процессор бүхий Platform Designer суулгагдсан систем дэх санах ойн төхөөрөмжүүдийг сонгох, оновчтой гүйцэтгэлд хүрэх шилдэг туршлагуудыг тайлбарласан болно. Санах ойн төхөөрөмжүүд нь суулгагдсан системийн ерөнхий гүйцэтгэлийг сайжруулахад чухал үүрэг гүйцэтгэдэг. Суулгасан системийн санах ой нь програмын заавар, өгөгдлийг хадгалдаг.
2.3.1. Тогтворгүй санах ой
Санах ойн төрлүүдийн үндсэн ялгаа нь тогтворгүй байдал юм. Дэгдэмхий санах ой нь санах ойн төхөөрөмжид тэжээл өгөх үед л агуулгыг нь хадгалдаг. Цахилгааныг салгамагц санах ой нь агуулгыг нь алддаг.
Exampтогтворгүй санах ой нь RAM, кэш, бүртгэлүүд юм. Эдгээр нь ажлын гүйцэтгэлийг нэмэгдүүлдэг хурдан санах ойн төрөл юм. Altera танд Nios V процессорын зааврыг RAM-д ачаалж, ажиллуулахыг зөвлөж байна, мөн Nios V IP цөмийг чип дээрх санах ойн IP эсвэл Гадаад санах ойн интерфэйсийн IP-тэй хослуулахыг зөвлөж байна.
Гүйцэтгэлийг сайжруулахын тулд та Nios V процессорын өгөгдлийн менежерийн интерфейсийн төрөл эсвэл өргөнийг ачаалах RAM-тай тааруулах замаар платформ дизайнерын дасан зохицох нэмэлт бүрэлдэхүүн хэсгүүдийг арилгах боломжтой. Жишээ ньampТа чип дээрх санах ой II-г 32 битийн AXI-4 интерфэйсээр тохируулах боломжтой бөгөөд энэ нь Nios V өгөгдлийн менежерийн интерфейстэй таарч байна.
Холбогдох мэдээлэл · Гадаад санах ойн интерфейсүүд IP дэмжлэг үзүүлэх төв · Чип дээрх санах ой (RAM эсвэл ROM) Altera FPGA IP · Чип дээрх санах ой II (RAM эсвэл ROM) Altera FPGA IP · Nios V процессорын програмыг OCRAM-аас газар дээр нь ажиллуулах 54-р хуудас
2.3.1.1. Чип дээрх санах ойн тохиргоо RAM эсвэл ROM
Та Altera FPGA чип дээрх санах ойн IP-г RAM эсвэл ROM болгон тохируулах боломжтой. · RAM нь унших бичих чадварыг хангадаг бөгөөд тогтворгүй шинж чанартай. Хэрэв та байгаа бол
Чип дээрх RAM-аас Nios V процессорыг ачаалах үед та ачаалах контент хадгалагдаж, ажиллах явцад дахин тохируулагдсан тохиолдолд гэмтээгүй эсэхийг шалгах хэрэгтэй. · Хэрэв Nios V процессор ROM-оос ачаалж байгаа бол Nios V процессор дээрх аливаа програм хангамжийн алдаа нь чип дээрх санах ойн агуулгыг буруугаар дарж бичих боломжгүй. Тиймээс ачаалах програм хангамжийн эвдрэлийн эрсдлийг бууруулна.
Холбогдох мэдээлэл · Чип дээрх санах ой (RAM эсвэл ROM) Altera FPGA IP · Чип дээрх санах ой II (RAM эсвэл ROM) Altera FPGA IP · Nios V процессорын програмыг OCRAM-аас газар дээр нь ажиллуулах 54-р хуудас
Nios® V суулгагдсан процессорын дизайны гарын авлага 26
Санал хүсэлт илгээх
2. Quartus Prime программ хангамж болон платформ дизайнер бүхий Nios V процессорын техник хангамжийн системийн дизайн 726952 | 2025.07.16
2.3.1.2. Кэшүүд
Чип дээрх санах ой нь хоцрогдол багатай тул кэшийн функцийг хэрэгжүүлэхэд ихэвчлэн ашиглагддаг. Nios V процессор нь заавар болон өгөгдлийн кэшдээ чип дээрх санах ойг ашигладаг. Чип дээрх санах ойн хязгаарлагдмал багтаамж нь ихэвчлэн жижиг хэмжээтэй байдаг тул кэшийн хувьд асуудал биш юм.
Дараах нөхцөлд кэшийг ихэвчлэн ашигладаг.
· Тогтмол санах ой нь чипээс гадуур байрладаг бөгөөд чип дээрх санах ойгоос илүү урт санах ойтой байдаг.
· Програм хангамжийн кодын гүйцэтгэлийн чухал хэсгүүд нь зааврын кэшэд багтах бөгөөд системийн гүйцэтгэлийг сайжруулдаг.
· Гүйцэтгэлийн хувьд чухал ач холбогдолтой, хамгийн их ашиглагддаг өгөгдлийн хэсэг нь өгөгдлийн кэшэд багтах бөгөөд системийн гүйцэтгэлийг сайжруулдаг.
Nios V процессорын кэшийг идэвхжүүлснээр санах ойн шатлалыг бий болгож, санах ойд хандах хугацааг багасгадаг.
2.3.1.2.1. Захын бүс
UART, I2C, SPI гэх мэт суулгагдсан нэмэлт төхөөрөмжүүдийн IP нь кэшд хадгалагдах ёсгүй. Хандалтын хугацаа уртасдаг гадаад санах ойд кэш ашиглахыг зөвлөж байна, харин чип дээрх дотоод санах ой нь нэвтрэх хугацаа богино тул хасагдах боломжтой. Та санах ойгоос бусад UART, I2C, SPI гэх мэт суулгагдсан захын IP-г кэшлэх ёсгүй. Зөөлөн IP-г шинэчлэх агент төхөөрөмж гэх мэт гадны төхөөрөмжүүдийн үйл явдлуудыг процессорын кэшээр авдаггүй, харин процессор хүлээж авдаггүй тул энэ нь чухал юм. Үүний үр дүнд эдгээр үйл явдлууд таныг кэшийг цэвэрлэх хүртэл анзаарагдахгүй байж болох бөгөөд энэ нь таны системд санамсаргүй үйлдэл хийхэд хүргэж болзошгүй юм. Дүгнэж хэлэхэд, суулгагдсан захын IP-ийн санах ойн зураглалын бүс нь кэш хийх боломжгүй бөгөөд процессорын захын бүс нутагт байх ёстой.
Захын бүсийг тохируулахын тулд дараах алхмуудыг дагана уу:
1. Платформ дизайнер дээр системийн хаягийн зургийг нээнэ үү.
2. Процессорын зааварчилгааны менежер болон өгөгдлийн менежерийн хаягийн зураг руу шилжинэ үү.
3. Өөрийн систем дэх нэмэлт төхөөрөмж болон санах ойг тодорхойл.
Зураг 12. Жишээ ньampХаягийн газрын зураг
Жич: Цэнхэр сумнууд нь дурсамжийг зааж байна. 4. Дагалдах төхөөрөмжүүдийг бүлэглэ:
а. Санах ойг кэш хийх боломжтой b. Захын төхөөрөмжүүд нь кэш хийх боломжгүй
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 27
2. Quartus Prime программ хангамж болон платформ дизайнертай Nios V процессорын техник хангамжийн системийн дизайн
726952 | 2025.07.16
Хүснэгт 19. Cacheable болон Uncacheable Region
Дэд албан тушаалтан
Хаягийн газрын зураг
Статус
Захын бүс
Хэмжээ
Үндсэн хаяг
user_application_mem.s1
0x0 ~ 0x3ffff
Кэш хийх боломжтой
Үгүй
Үгүй
cpu.dm_agent bootcopier_rom.s1
0x40000 ~ 0x4ffff 0x50000 ~ 0x517ff
Кэш хийх боломжгүй
65536 байт Үгүй
0x40000 Үгүй
bootcopier_ram.s1 cpu.timer_sw_agent mailbox.avmm
0x52000 ~ 0x537ff 0x54000 ~ 0x5403f 0x54040 ~ 0x5407f
Cacheable Uncacheable Uncacheable
144 байт (хамгийн бага хэмжээ 65536 байт)
0х54000
sysid_qsys_0.control_slave
0x54080 ~ 0x54087
Кэш хийх боломжгүй
uart.avalon_jtag_боол
0x54088 ~ 0x5408f
Кэш хийх боломжгүй
5. Захын бүсүүдийг тодорхой хэмжээгээр нь тохируулна уу:
· Жишээлбэлample, хэрэв хэмжээ нь 65536 байт бол 0x10000 байттай тохирно. Тиймээс зөвшөөрөгдсөн үндсэн хаяг нь 0x10000-ын үржвэр байх ёстой.
· CPU.dm_agent нь 0x40000 гэсэн үндсэн хаягийг ашигладаг бөгөөд энэ нь 0x10000-ын үржвэр юм. Үүний үр дүнд 65536 байт хэмжээтэй, 0x40000 үндсэн хаягтай захын бүс А нь шаардлагыг хангаж байна.
· 0x54000 дахь кэш хийх боломжгүй бүсүүдийн цуглуулгын үндсэн хаяг нь 0x10000-ын үржвэр биш юм. Та тэдгээрийг 0x60000 эсвэл 0x10000-ын бусад үржвэрт дахин хуваарилах ёстой. Ийнхүү 65536 байт хэмжээтэй, 0x60000 үндсэн хаягтай захын Б бүс нь шалгуурыг хангаж байна.
Хүснэгт 20. Дахин хуваарилалттай кэш хийх боломжтой болон кэш хийх боломжгүй бүс
Дэд албан тушаалтан
Хаягийн газрын зураг
Статус
Захын бүс
Хэмжээ
Үндсэн хаяг
user_application_mem.s1
0x0 ~ 0x3ffff
Кэш хийх боломжтой
Үгүй
Үгүй
cpu.dm_agent
0x40000 ~ 0x4ffff
Кэш хийх боломжгүй 65536 байт
0х40000
bootcopier_rom.s1
0x50000 ~ 0x517ff
Кэш хийх боломжтой
Үгүй
Үгүй
bootcopier_ram.s1 cpu.timer_sw_agent mailbox.avmm sysid_qsys_0.control_slave
0x52000 ~ 0x537ff 0x60000 ~ 0x6003f 0x60040 ~ 0x6007f 0x60080 ~ 0x60087
Cacheable Uncacheable Uncacheable Uncacheable
144 байт (хамгийн бага хэмжээ 65536 байт)
0х60000
uart.avalon_jtag_боол
0x60088 ~ 0x6008f
Кэш хийх боломжгүй
2.3.1.3. Маш нягт холбоотой санах ой
Нягт холбогдсон санах ой (TCMs) нь чип дээрх санах ойг ашиглан хэрэгждэг, учир нь тэдний хоцролт бага байгаа нь даалгаврыг гүйцэтгэхэд тохиромжтой. TCM нь ердийн хаягийн орон зайд дүрслэгдсэн санах ой боловч микропроцессортой тусгай интерфейстэй бөгөөд кэш санах ойн өндөр гүйцэтгэлтэй, хоцролт багатай шинж чанаруудыг эзэмшдэг. TCM нь мөн гадаад хостын дэд интерфейсээр хангадаг. Процессор болон гадаад хост нь TCM-тэй ажиллах зөвшөөрлийн түвшинтэй ижил байна.
Nios® V суулгагдсан процессорын дизайны гарын авлага 28
Санал хүсэлт илгээх
2. Quartus Prime программ хангамж болон платформ дизайнертай Nios V процессорын техник хангамжийн системийн дизайн
726952 | 2025.07.16
Жич:
TCM дэд порт нь гадаад хосттой холбогдсон үед процессорын цөмд өгөгдсөн үндсэн хаягаас өөр үндсэн хаягаар гарч ирж болно. Альтера хоёр хаягийг ижил утгатай зэрэгцүүлэхийг зөвлөж байна.
2.3.1.4. Гадаад санах ойн интерфейс (EMIF)
EMIF (Гадаад санах ойн интерфэйс) нь SRAM (Статик санамсаргүй хандалтын санах ой)-той адил ажилладаг боловч динамик бөгөөд агуулгыг хадгалахын тулд үе үе шинэчлэх шаардлагатай байдаг. EMIF дахь динамик санах ойн эсүүд нь SRAM дахь статик санах ойн нүднүүдээс хамаагүй бага бөгөөд энэ нь өндөр багтаамжтай, хямд санах ойн төхөөрөмжүүдийг бий болгодог.
Сэргээх шаардлагаас гадна EMIF нь тусгайлсан интерфэйсийн шаардлагуудтай бөгөөд ихэвчлэн тусгай хянагчийн техник хангамжийг шаарддаг. Тогтмол хаягийн шугамтай SRAM-аас ялгаатай нь EMIF нь санах ойн зайг банк, мөр, багана болгон зохион байгуулдаг. Банкууд болон мөрүүдийн хооронд шилжих нь тодорхой хэмжээний ачаалал үүсгэдэг тул та EMIF-ийг үр ашигтай ашиглахын тулд санах ойн хандалтыг сайтар захиалах хэрэгтэй. EMIF нь мөн адил хаягийн мөрөнд мөр болон баганын хаягуудыг олон талт болгож, өгөгдсөн EMIF хэмжээтэй байх шаардлагатай тээглүүрүүдийн тоог бууруулдаг.
DDR, DDR2, DDR3, DDR4, DDR5 зэрэг EMIF-ийн өндөр хурдны хувилбарууд нь ПХБ зохион бүтээгчид анхаарах ёстой дохионы бүрэн бүтэн байдлын хатуу шаардлагыг тавьдаг.
EMIF төхөөрөмжүүд нь хамгийн хэмнэлттэй, өндөр хүчин чадалтай RAM-ийн төрлүүдийн тоонд багтдаг тул тэдгээрийг түгээмэл сонголт болгодог. EMIF интерфейсийн гол бүрэлдэхүүн хэсэг нь EMIF IP бөгөөд хаягийг олон талт болгох, сэргээх, мөр болон банк хооронд шилжихтэй холбоотой ажлуудыг удирддаг. Энэхүү загвар нь системийн бусад хэсэгт дотоод архитектурыг ойлгох шаардлагагүйгээр EMIF-д хандах боломжийг олгодог.
Холбогдох мэдээлэл Гадаад санах ойн интерфейсийн IP дэмжлэгийн төв
2.3.1.4.1. Span Extender IP хаяг
Address Span Extender Altera FPGA IP нь санах ойн зураглалтай хост интерфэйсүүдэд хаягийн дохионы өргөнөөс илүү том эсвэл жижиг хаягийн газрын зурагт хандах боломжийг олгодог. Address Span Extender IP нь хаяглах зайг хэд хэдэн тусдаа цонхонд хуваадаг бөгөөд ингэснээр хост цонхоор дамжуулан санах ойн зохих хэсэгт хандах боломжтой болно.
Address Span Extender нь хост болон агентын өргөнийг 32 бит ба 64 битийн тохиргоонд хязгаарладаггүй. Та 1-64 битийн хаягийн цонхтой Address Span Extender ашиглаж болно.
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 29
2. Quartus Prime программ хангамж болон платформ дизайнертай Nios V процессорын техник хангамжийн системийн дизайн
726952 | 2025.07.16
Зураг 13. Address Span Extender Altera FPGA IP
Агент Word хаяг
Address Span Extender
A
Газрын зургийн хүснэгт
Хяналтын порт А
…
Хяналтын бүртгэл 0 Хяналтын бүртгэл Z-1
Өргөтгөсөн хост хаяг H
Холбогдох мэдээлэл
Quartus® Prime Pro Edition хэрэглэгчийн гарын авлага: Платформ дизайнер Дэлгэрэнгүй мэдээллийг Address Span Extender Intel® FPGA IP сэдвээс авна уу.
2.3.1.4.2. Nios V процессортой Address Span Extender IP ашиглах
32 битийн Nios V процессор нь 4 ГБ хүртэлх хаягийн багтаамжтай. Хэрэв EMIF-д 4 ГБ-аас их санах ой байгаа бол энэ нь дэмжигдсэн хаягийн хязгаараас хэтэрсэн тул Platform Designer системийг алдаатай болгож байна. Нэг EMIF хаягийн зайг олон жижиг цонхонд хуваах замаар энэ асуудлыг шийдэхийн тулд Address Span Extender IP шаардлагатай.
Altera танд дараах параметрүүдийг анхаарч үзэхийг зөвлөж байна.
Хүснэгт 21. Address Span Extender параметрүүд
Параметр
Зөвлөмж болгож буй тохиргоо
Өгөгдлийн замын өргөн
Өргөтгөсөн мастер байт хаягийн өргөн
32 битийн процессортой уялддаг 32 битийг сонгоно уу. EMIF санах ойн хэмжээнээс хамаарна.
Slave Word Address Width Burstcount Width
2 ГБ ба түүнээс бага хэмжээг сонгоно уу. Nios V процессорын үлдсэн хаягийн зай нь бусад суулгагдсан зөөлөн IP-д зориулагдсан.
1-ээс эхэлж, гүйцэтгэлийг сайжруулахын тулд энэ утгыг аажмаар нэмэгдүүлээрэй.
Дэд цонхны тоо
Хэрэв та EMIF-ийг Nios V процессортой заавар, өгөгдлийн санах ой эсвэл хоёуланг нь холбож байгаа бол 1 дэд цонхыг сонгоно уу. Nios V процессорыг EMIF-ээс ажиллуулж байх үед олон дэд цонхны хооронд шилжих нь аюултай.
Slave Control Port-ийг идэвхжүүл
Хэрэв та EMIF-ийг Nios V процессортой заавар болон/эсвэл өгөгдлийн санах ой болгон холбож байгаа бол боол хяналтын портыг идэвхгүй болго. Дэд цонхны тоотой ижил асуудал.
Хүлээгдэж буй уншилтын дээд хэмжээ
1-ээс эхэлж, гүйцэтгэлийг сайжруулахын тулд энэ утгыг аажмаар нэмэгдүүлээрэй.
Nios® V суулгагдсан процессорын дизайны гарын авлага 30
Санал хүсэлт илгээх
2. Quartus Prime программ хангамж болон платформ дизайнер бүхий Nios V процессорын техник хангамжийн системийн дизайн 726952 | 2025.07.16
Зураг 14. Instruction болон Data Manager-ийг Address Span Extender-д холбох
Зураг 15. Хаягийн зураглал
Address Span Extender нь EMIF-ийн 8 ГБ санах ойд бүхэлд нь нэвтрэх боломжтой гэдгийг анхаарна уу. Гэсэн хэдий ч Address Span Extender-ээр Nios V процессор нь зөвхөн EMIF-ийн эхний 1 ГБ санах ойд хандах боломжтой.
Зураг 16. Хялбаршуулсан блок схем
Платформ дизайнерын систем
Үлдсэн 3 ГБ
Nios V процессорын хаяг
span нь суулгагдсан зориулалттай
NNioios sVV PProrocecsesosor r
M
ижил систем дэх зөөлөн IP.
1 ГБ цонх
Хаягийн хүрээ
S
Өргөтгэгч
M
Зөвхөн эхний 1 ГБ
EMIF санах ой нь Nios V-д холбогдсон
EMIF
процессор.
8 ГБ
S
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 31
2. Quartus Prime программ хангамж болон платформ дизайнертай Nios V процессорын техник хангамжийн системийн дизайн
726952 | 2025.07.16
2.3.1.4.3. Address Span Extender холбогч санах ойн төхөөрөмжийг тодорхойлох нь 1. Хаягийн хүрээ өргөтгөгчийг (EMIF) дахин тохируулах вектор болгон тодорхойлно. Мөн та Nios V процессорыг дахин тохируулах векторыг OCRAM эсвэл флаш төхөөрөмж гэх мэт бусад санах ойд оноож болно.
Зураг 17. Дахин тохируулах векторын олон сонголт
Гэсэн хэдий ч Зөвлөлийн дэмжлэгийн багц (BSP) засварлагч нь хаягийн хүрээ өргөтгөгчийг (EMIF) хүчинтэй санах ой болгон автоматаар бүртгэж чадахгүй. Таны хийсэн сонголтоос хамааран та дараах зурагт үзүүлсэн хоёр өөр нөхцөл байдлыг харж байна. Зураг 18. Address Span Extender (EMIF)-г Reset Vector гэж тодорхойлоход гарсан BSP алдаа
Nios® V суулгагдсан процессорын дизайны гарын авлага 32
Санал хүсэлт илгээх
2. Quartus Prime программ хангамж болон платформ дизайнер бүхий Nios V процессорын техник хангамжийн системийн дизайн 726952 | 2025.07.16
Зураг 19. Бусад санах ойг дахин тохируулах вектор гэж тодорхойлоход EMIF байхгүй байна
2. Та BSP Linker скрипт таб дахь Санах ойн төхөөрөмж нэмэх, Холбогч санах ойн бүс нэмэх, Холбогч хэсгийн зураглал нэмэх зэргийг ашиглан хаягийн хүрээ өргөтгөгчийг (EMIF) гараар нэмэх ёстой.
3. Дараах алхмуудыг дагана уу:
а. Санах ойн газрын зургийг ашиглан Address Span Extender-ийн хаягийн хэмжээг тодорхойлно ууampДараах зураг дээрх le нь 0x0-аас 0x3fff_ffff хүртэлх Хаяг Өргөтгөх мужийг ашигладаг.
Зураг 20. Санах ойн зураг
б. Санах ойн төхөөрөмж нэмэх гэснийг товшоод өөрийн загварын санах ойн газрын зураг дээрх мэдээлэлд үндэслэн бөглөнө үү: i. Төхөөрөмжийн нэр: emif_ddr4. Тайлбар: Санах ойн газрын зургаас ижил нэрийг хуулсан эсэхээ шалгаарай. ii. Үндсэн хаяг: 0x0 iii. Хэмжээ: 0x40000000
в. Шинэ холбогч санах ойн бүс нэмэхийн тулд Нэмэх дээр дарна уу:
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 33
2. Quartus Prime программ хангамж болон платформ дизайнертай Nios V процессорын техник хангамжийн системийн дизайн
726952 | 2025.07.16
Хүснэгт 22. Холбогч санах ойн бүсийг нэмэх
Алхам
Векторыг дахин тохируулах
emif_ddr4
Бусад дурсамжууд
1
Дахин тохируулах нэртэй шинэ холбогч санах ойн бүсийг нэмнэ үү. -д зориулж шинэ холбогч санах ойн бүс нэмнэ
· Бүс нутгийн нэр: дахин тохируулах
emif_ddr4.
· Бүсийн хэмжээ: 0x20
· Бүс нутгийн нэр: emif_ddr4
· Санах ойн төхөөрөмж: emif_ddr4
· Бүсийн хэмжээ: 0x40000000
· Санах ойн офсет: 0x0
· Санах ойн төхөөрөмж: emif_ddr4
· Санах ойн офсет: 0x0
2
-д зориулж шинэ холбогч санах ойн бүс нэмнэ
үлдсэн emif_ddr4.
· Бүс нутгийн нэр: emif_ddr4
· Бүсийн хэмжээ: 0x3fffffe0
· Санах ойн төхөөрөмж: emif_ddr4
· Санах ойн офсет: 0x20
Зураг 21. Address Span Extender (EMIF)-г Reset Vector гэж тодорхойлохдоо холбогч бүс
Зураг 22. Бусад санах ойг Reset Vector гэж тодорхойлохдоо холбогч бүс
г. emif_ddr4-г BSP-д нэмсний дараа та үүнийг ямар ч холбогч хэсэгт сонгож болно.
Зураг 23. Address Span Extender (EMIF) амжилттай нэмэгдсэн
д. Санах ойн төхөөрөмж emif_ddr4 SOPC загварт харагдахгүй байгаа тухай анхааруулгыг үл тоомсорло.
е. BSP үүсгэхийг үргэлжлүүлнэ үү.
Холбогдох мэдээлэл Nios V процессорыг ачаалах аргуудын танилцуулга 51-р хуудас
Nios® V суулгагдсан процессорын дизайны гарын авлага 34
Санал хүсэлт илгээх
2. Quartus Prime программ хангамж болон платформ дизайнер бүхий Nios V процессорын техник хангамжийн системийн дизайн 726952 | 2025.07.16
2.3.2. Тогтворгүй санах ой
Тогтворгүй санах ой нь тэжээл унтрах үед агуулгыг нь хадгалдаг бөгөөд энэ нь системийн тэжээлийн мөчлөгийн дараа систем олж авах ёстой мэдээллийг хадгалахад тохиромжтой сонголт юм. Тогтворгүй санах ой нь ихэвчлэн процессорын ачаалах код, байнгын програмын тохиргоо, Altera FPGA тохиргооны өгөгдлийг хадгалдаг. Хэдийгээр тогтворгүй санах ой нь давуу талтайtagЦахилгааныг салгах үед өгөгдлөө хадгалах чадвар нь тогтворгүй санах ойтой харьцуулахад хамаагүй удаан бөгөөд бичих, устгах үйл явц нь ихэвчлэн илүү төвөгтэй байдаг. Тогтворгүй санах ойг зөвхөн тодорхой хэдэн удаа устгах боломжтой бөгөөд дараа нь амжилтгүй болж магадгүй юм.
ExampТогтворгүй санах ойд бүх төрлийн флаш, EPROM, EEPROM орно. Altera танд Altera FPGA бит урсгал болон Nios V програмын дүрсийг тогтворгүй санах ойд хадгалах, мөн Nios V процессорыг ачаалах төхөөрөмж болгон цуваа флэш ашиглахыг зөвлөж байна.
Холбогдох мэдээлэл
· Ерөнхий цуврал флаш интерфейс Altera FPGA IP хэрэглэгчийн гарын авлага
· Mailbox Client Altera FPGA IP хэрэглэгчийн гарын авлага · MAX® 10 хэрэглэгчийн флаш санах ойн хэрэглэгчийн гарын авлага: Чип дээрх Flash Altera FPGA IP Core
2.4. Шилдэг туршлагыг цаг болон дахин тохируулах
Nios V процессорын цаг болон дахин тохируулах домэйн нь холбогдсон бүх захын төхөөрөмжтэй хэрхэн харьцаж байгааг ойлгох нь чухал юм. Энгийн Nios V процессорын систем нь нэг цагийн домэйноос эхэлдэг бөгөөд хурдан цагийн домэйн нь удаан цагийн домэйнтэй мөргөлдөх үед олон цагийн домэйн системд төвөгтэй болж болно. Та эдгээр өөр өөр домэйнуудын дарааллыг дахин тохируулахаас хэрхэн гарч байгааг анхаарч, ойлгох хэрэгтэй бөгөөд ямар ч нарийн асуудал байхгүй эсэхийг шалгах хэрэгтэй.
Хамгийн сайн туршлагын хувьд Altera нь Nios V процессор болон ачаалах санах ойг ижил цагийн домэйнд байрлуулахыг зөвлөж байна. Nios V процессорыг маш удаан цагийн домэйнд байрлах санах ойноос ачаалах үед хурдан цагийн домэйнд дахин тохируулахаас бүү чөлөөл, энэ нь заавар дуудах алдаа үүсгэж болзошгүй. Та платформ зохион бүтээгчийн өгөгдмөлөөр өгдөгөөс илүү гар аргаар дараалал шаардаж магадгүй бөгөөд өөрийн хэрэглээний тохиолдол дээр үндэслэн хувилбарын топологийг дахин тохируулахаар төлөвлөж болно. Хэрэв та системээ гарч ирээд хэсэг хугацаанд ажиллуулсны дараа дахин тохируулахыг хүсвэл системийг дахин тохируулах дараалал болон дахин тохируулсны дараа эхлүүлэх шаардлагад мөн адил анхаарна уу.
2.4.1. Систем JTAG Цаг
Nios V процессорын систем бүрийн цагийн хязгаарлалтыг тодорхойлох нь системийн дизайны чухал асуудал бөгөөд зөв, тодорхойлогч зан төлөвт шаардлагатай. Quartus Prime Timeing Analyzer нь үйлдвэрлэлийн стандарт хязгаарлалт, дүн шинжилгээ, тайлагнах аргачлалыг ашиглан таны дизайны бүх логикийн цаг хугацааны гүйцэтгэлийг баталгаажуулахын тулд статик цаг хугацааны шинжилгээ хийдэг.
Example 1. 100/50 ажлын мөчлөг, 50 МГц J бүхий үндсэн 16 МГц цагTAG Цаг
#**************************************************************** # 100MHz цаг үүсгэх #**************************************************************** үүсгэх_цаг -нэр {clk} -период 10 [get_ports {clk}] #************************ 16MHz J үүсгэхTAG Цаг #************************
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 35
2. Quartus Prime программ хангамж болон платформ дизайнертай Nios V процессорын техник хангамжийн системийн дизайн
726952 | 2025.07.16
create_clock -name {altera_reserved_tck} -period 62.500 [get_ports {altera_reserved_tck}] set_clock_groups -asynchronous -group [get_clocks {altera_reserved_tck}] Холбогдох мэдээлэл Quartus Prime Timeing Analyzer Cookbook
2.4.2. Хүсэлтийн интерфэйсийг дахин тохируулах
Nios V процессор нь дахин тохируулах хүсэлтийн нэмэлт хэрэгслийг агуулдаг. Дахин тохируулах хүсэлтийн хэрэгсэл нь reset_req болон reset_req_ack дохионоос бүрдэнэ.
Platform Designer дээр дахин тохируулах хүсэлтийг идэвхжүүлэхийн тулд: 1. Nios V Processor IP Parameter Editor програмыг ажиллуулна уу. 2. Use Reset Request тохиргоон дээр Add Reset Request Interface-ийг асаана уу
сонголт.
Зураг 24. Nios V процессорыг дахин тохируулах хүсэлтийг идэвхжүүлнэ
Reset_req дохио нь тасалдал шиг ажилладаг. Та reset_req-г баталгаажуулахдаа үндсэн тохиргоог дахин тохируулахыг хүсч байна. Гол нь автобусны гүйлгээг дуусгахыг хүлээдэг. Жишээ ньample, хэрэв хүлээгдэж буй санах ойд хандах гүйлгээ байгаа бол үндсэн хэсэг нь бүрэн хариу өгөхийг хүлээж байна. Үүний нэгэн адил цөм нь хүлээгдэж буй зааврын хариуг хүлээн авдаг боловч reset_req дохиог хүлээн авсны дараа зааврын хүсэлт гаргадаггүй.
Дахин тохируулах ажиллагаа нь дараах урсгалаас бүрдэнэ: 1. Хүлээгдэж буй бүх үйлдлүүдийг дуусгах 2. Дотоод дамжуулах хоолойг угаах 3. Хөтөлбөрийн тоолуурыг дахин тохируулах вектор руу тохируулах 4. Үндсэн хэсгийг дахин тохируулах Бүх дахин тохируулах үйл ажиллагаанд хэдэн цагийн цикл шаардлагатай. Үндсэн дахин тохируулах ажиллагаа амжилттай дууссаныг илтгэх reset_req_ack батлагдтал reset_req баталгаажсан хэвээр байх ёстой. Ингэж чадахгүй бол цөмийн төлөв нь детерминистик бус болно.
Nios® V суулгагдсан процессорын дизайны гарын авлага 36
Санал хүсэлт илгээх
2. Quartus Prime программ хангамж болон платформ дизайнер бүхий Nios V процессорын техник хангамжийн системийн дизайн 726952 | 2025.07.16
2.4.2.1. Ердийн хэрэглээний тохиолдол
· Системийн бусад FPGA хостууд Nios V процессорын ачаалах санах ойг эхлүүлэх хүртэл Nios V процессорын цөм программыг дахин тохируулах вектороос эхлүүлэхээс сэргийлэхийн тулд асаалттай үед reset_req дохиог өгч болно. Энэ тохиолдолд дэд систем бүхэлдээ техник хангамжийг дахин тохируулах боломжтой болно. Бусад FPGA хостууд процессорын ачаалах санах ойг эхлүүлэх хүртэл Nios V процессор нь дахин тохируулах хүсэлтийн төлөвт тодорхойгүй хугацаагаар хадгалагдана.
· Системийн бусад хэсгийг тасалдуулахгүйгээр Nios V процессорын цөмийг дахин тохируулах шаардлагатай байгаа системд та reset_req дохиог өгч цөмийн одоогийн ажиллагааг бүрэн зогсоож, систем reset_req_ack дохиог гаргасны дараа процессорыг дахин тохируулах вектороос дахин эхлүүлж болно.
· Гадаад хост нь дараах ажлуудын хэрэгжилтийг хөнгөвчлөхийн тулд дахин тохируулах хүсэлтийн интерфейсийг ашиглаж болно:
- Одоогийн Nios V процессорын програмыг зогсоо.
— Nios V процессорын ачаалах санах ойд шинэ програм ачаална уу.
— Процессорыг шинэ программыг ажиллуулж эхлэхийг зөвшөөрнө үү.
Altera танд reset_req_ack дохионы төлөвийг хянахын тулд завсарлагааны механизмыг хэрэгжүүлэхийг зөвлөж байна. Хэрэв Nios V процессорын цөм хязгааргүй хүлээх төлөвт орж, үл мэдэгдэх шалтгаанаар зогсонги байдалд орсон бол reset_req_ack тодорхойгүй хугацаагаар баталгаажуулах боломжгүй. Хугацаа дуусах механизм нь танд дараах боломжийг олгоно:
· Сэргээх хугацаа дуусах хугацааг тодорхойлж, системийн түвшинг дахин тохируулснаар системийг сэргээнэ үү.
· Техник хангамжийн түвшинг дахин тохируулах.
2.4.3. Гаргасан IP-г дахин тохируулах
Altera SDM дээр суурилсан төхөөрөмжүүд нь олон салбарт үндсэн логикийг түгээдэг зэрэгцээ, секторт суурилсан архитектурыг ашигладаг. Altera танд Reset Release Altera FPGA IP-г дахин тохируулах хэлхээний анхны оролтын нэг болгон ашиглахыг зөвлөж байна. Intel® SDM-д суурилсан төхөөрөмжүүд нь Stratix® 10 болон AgilexTM төхөөрөмжүүдийг агуулдаг. Хяналтын блок дээр суурилсан төхөөрөмжүүдэд энэ шаардлага нөлөөлөхгүй.
Холбогдох мэдээлэл
AN 891: Reset Release Altera FPGA IP-г ашиглах
2.5. Өгөгдмөл агентийг томилж байна
Платформ зохион бүтээгч нь алдааны хариу үйлдэл үзүүлэх өгөгдмөл агентийг зааж өгөх боломжийг танд олгоно. Таны томилсон өгөгдмөл агент нь хаягийн газрын зураг руу код тайлагдаагүй хандалт хийхийг оролддог хостуудад алдаа хариу өгөх үйлчилгээг үзүүлдэг.
Дараах хувилбарууд нь тайлагдаагүй үйл явдлыг өдөөдөг:
· Автобусны гүйлгээний аюулгүй байдлын төлөвийн зөрчил
· Тодорхойгүй санах ойн бүс рүү гүйлгээ хийх боломж
· Онцгой тохиолдол гэх мэт.
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 37
2. Quartus Prime программ хангамж болон платформ дизайнертай Nios V процессорын техник хангамжийн системийн дизайн
726952 | 2025.07.16
Тодорхойгүй гүйлгээг анхдагч агент руу шилжүүлж, дараа нь Nios V процессорт алдааны хариу өгөхөд ийм үйл явдлыг зохицуулах үндсэн агентийг томилох ёстой.
Холбогдох мэдээлэл
· Quartus Prime Pro Edition хэрэглэгчийн гарын авлага: Платформ дизайнер. Өгөгдмөл агентийг томилох
· Quartus Prime Pro Edition хэрэглэгчийн гарын авлага: Платформ дизайнер. Алдааны хариу үйлдэл Slave Altera FPGA IP
· Github – Qsys-д зориулсан нэмэлт дахин тохируулах бүрэлдэхүүн хэсгүүд
2.6. UART агентийг хэвлэх ажилд томилж байна
Хэвлэх нь програм хангамжийн програмыг дибаг хийх, мөн системийн статусыг хянахад тустай. Altera нь эхлүүлэх мессеж, алдааны мэдэгдэл, програм хангамжийн програмын гүйцэтгэлийн явц зэрэг үндсэн мэдээллийг хэвлэхийг зөвлөж байна.
Дараах тохиолдолд printf() номын сангийн функцийг ашиглахаас зайлсхий: · Хэрэв ямар ч хост гаралтыг уншихгүй бол printf() номын сан нь програм зогсоход хүргэдэг.
Энэ нь Ж-д хамаарнаTAG Зөвхөн UART. · printf() номын сан нь програмын санах ойг их хэмжээгээр хэрэглэдэг.
2.6.1. Зогсоол үүсэхээс урьдчилан сэргийлэх нь ЖTAG UART
Хүснэгт 23. Уламжлалт UART болон J-ийн ялгааTAG UART
UART төрөл Уламжлалт UART
Тодорхойлолт
Гадны хост сонсож байгаа эсэхээс үл хамааран цуваа өгөгдлийг дамжуулдаг. Хэрэв ямар ч хост цуваа өгөгдлийг уншихгүй бол өгөгдөл алдагдана.
JTAG UART
Дамжуулсан өгөгдлийг гаралтын буферт бичиж, түүнийг хоослохын тулд буферээс уншихын тулд гадаад хост дээр тулгуурлана.
ЖTAG UART драйвер нь гаралтын буфер дүүрэх үед хүлээнэ. ЖTAG UART драйвер нь дамжуулалтын өгөгдлийг бичихийн өмнө гадаад хост гаралтын буферээс уншихыг хүлээнэ. Энэ үйл явц нь дамжуулах өгөгдлийг алдахаас сэргийлдэг.
Гэсэн хэдий ч үйлдвэрлэлийн явцад гэх мэт системийн дибаг хийх шаардлагагүй үед суулгагдсан системийг J-д холбогдсон хост компьютергүйгээр суулгадаг.TAG UART. Хэрэв систем нь J-г сонгосон болTAG UART нь UART агентын хувьд гадаад хост холбогдоогүй тул системийг саатуулж болзошгүй.
ЖTAG UART, дараах сонголтуудыг ашиглана уу.
Nios® V суулгагдсан процессорын дизайны гарын авлага 38
Санал хүсэлт илгээх
2. Quartus Prime программ хангамж болон платформ дизайнертай Nios V процессорын техник хангамжийн системийн дизайн
726952 | 2025.07.16
Хүснэгт 24. Зогсолтоос урьдчилан сэргийлэх ЖTAG UART
Сонголтууд
UART интерфейс болон драйвер байхгүй
Бусад UART интерфейс болон драйверийг ашиглана уу
ЖTAG UART интерфейс (драйвергүй)
Техник хангамжийг хөгжүүлэх явцад (Платформ дизайнер дээр)
Програм хангамж хөгжүүлэх явцад (Удирдах зөвлөлийн дэмжлэгийн багц засварлагч дээр)
Ж-г хасTAG системээс UART
hal.stdin, hal.stdout болон hal.stderr-г None гэж тохируулна.
ЖTAG UART бусад зөөлөн програмтай hal.stdin, hal.stdout болон hal.stderr-г тохируулна
UART IP
бусад зөөлөн UART IP-тэй.
ЖTAG Систем дэх UART
· Зөвлөлийн дэмжлэгийн багц засварлагч дотор hal.stdin, hal.stdout болон hal.stderr-г None гэж тохируулна уу.
· J-г идэвхгүй болгохTAG BSP Driver таб дээрх UART драйвер.
2.7. ЖTAG Дохио
Nios V процессорын дибаг хийх модуль нь JTAG ELF програм хангамжийг татаж авах, програм хангамжийн дибаг хийх интерфейс. Та дизайныхаа дибаг хийхдээ JTAG интерфэйс, ЖTAG TCK, TMS, TDI, TDO дохиог дизайны нэг хэсэг болгон хэрэгжүүлдэг. Ж-ийг зааж өгөвTAG Nios V процессорын систем бүрийн дохионы хязгаарлалт нь системийн дизайны чухал асуудал бөгөөд зөв, тодорхой зан төлөвт шаардлагатай байдаг.
Альтера загварын системийн цагийн давтамж нь J-ээс 4 дахин их байхыг зөвлөж байнаTAG чип дээрх багаж хэрэгслийн (OCI) цөм зөв ажиллаж байгаа эсэхийг баталгаажуулахын тулд цагийн давтамж.
Холбогдох мэдээлэл · Quartus® Prime Timeing Analyzer Cookbook: ЖTAG Дохио
Дэлгэрэнгүй мэдээллийг ЖTAG цаг хугацааны хязгаарлалтын удирдамж. · KDB: Яагаад niosv-татаж авах нь дамжуулах хоолойгүй Nios® V/m процессортой үед бүтэлгүйтдэг вэ?
JTAG давтамж 24MHz эсвэл 16MHz?
2.8. Платформ дизайнерын системийн гүйцэтгэлийг оновчтой болгох
Platform Designer нь Altera FPGA загварт зориулсан системийн харилцан холболтын гүйцэтгэлийг оновчтой болгох хэрэгслүүдээр хангадаг.
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 39
2. Quartus Prime программ хангамж болон платформ дизайнертай Nios V процессорын техник хангамжийн системийн дизайн
726952 | 2025.07.16
Зураг 25. Оновчлол Жamples
эксampЗураг дээр үзүүлсэн le нь дараах алхмуудыг харуулж байна.
1. Шугам хоолойн гүүрийг нэмж, эгзэгтэй замыг хөнгөвчлөхийн тулд дараахыг байрлуулна: a. Зааварчилгааны менежер болон түүний төлөөлөгчдийн хооронд b. Өгөгдлийн менежер ба түүний төлөөлөгчдийн хооронд
2. Порт тус бүрийг Зааварчилгааны Менежер болон Өгөгдлийн Менежерт тус тус зориулдаг True Dual port on-Chip RAM-г ашиглана.
Nios® V суулгагдсан процессорын дизайны гарын авлага 40
Санал хүсэлт илгээх
2. Quartus Prime программ хангамж болон платформ дизайнер бүхий Nios V процессорын техник хангамжийн системийн дизайн 726952 | 2025.07.16
Боломжтой хэрэглүүрүүдийг ашиглах арга техник, хэрэгжилт бүрийн давуу талыг харуулсан доорх холбоосыг үзнэ үү.
Холбогдох мэдээлэл · Quartus® Prime Pro Edition хэрэглэгчийн гарын авлага: Платформ дизайнер
Дэлгэрэнгүй мэдээллийг Платформ зохион бүтээгчийн системийн гүйцэтгэлийг оновчтой болгох сэдвээс үзнэ үү. · Quartus® Prime Standard Edition хэрэглэгчийн гарын авлага: Платформ дизайнер Дэлгэрэнгүй мэдээллийг Платформ дизайнерын системийн гүйцэтгэлийг оновчтой болгох сэдвээс үзнэ үү.
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 41
726952 | 2025.07.16 Санал хүсэлт илгээх
3. Nios V процессорын програм хангамжийн системийн дизайн
Энэ бүлэгт Nios V процессорын програм хангамжийг хөгжүүлэх урсгал болон суулгагдсан дизайны системийг боловсруулахад ашиглаж болох програм хангамжийн хэрэгслүүдийг тайлбарласан болно. Агуулга нь нэмэлт үүрэг гүйцэтгэдэгview Nios V процессорын програм хангамжийн системийг хөгжүүлэхээс өмнө.
Зураг 26. Програм хангамжийн дизайны урсгал
Эхлэх
BSP редакторыг ашиглан платформ дизайнер дээр BSP үүсгэнэ үү
Nios V Command Shell ашиглан BSP үүсгэнэ үү
CMake Build програмыг үүсгэнэ үү File Nios V командын бүрхүүлийг ашиглах
Жич:
BSP болон CMake Build програмыг импортлох File
-ийг ашиглан Nios V процессорын програмыг бүтээнэ үү
Intel FPGA-д зориулсан RiscFree IDE
Ямар ч зүйлийг ашиглан Nios V Processor програмыг бүтээгээрэй
тушаалын мөрийн эх кодын засварлагч, CMake болон Make
тушаалууд
Төгсгөл
Altera танд Altera FPGA хөгжүүлэлтийн иж бүрдэл эсвэл програм хангамж хөгжүүлэх, дибаг хийх зорилгоор тусгай загвар самбар ашиглахыг зөвлөж байна. Таны програм хангамж нь бодит самбар дээр ажиллаж байх үед л олон дагалдах төхөөрөмж болон системийн түвшний функцуудыг ашиглах боломжтой.
© Altera корпораци. Altera, Altera лого, "a" лого болон бусад Altera тэмдэг нь Altera корпорацийн худалдааны тэмдэг юм. Altera нь аливаа бүтээгдэхүүн, үйлчилгээнд ямар ч үед мэдэгдэлгүйгээр өөрчлөлт оруулах эрхтэй. Altera нь бичгээр тохиролцсоноос бусад тохиолдолд энд дурдсан аливаа мэдээлэл, бүтээгдэхүүн, үйлчилгээг ашиглах, ашиглахтай холбоотой ямар ч хариуцлага хүлээхгүй. Альтера-гийн хэрэглэгчид нийтлэгдсэн мэдээлэлд найдах, бүтээгдэхүүн, үйлчилгээний захиалга өгөхөөс өмнө төхөөрөмжийн техникийн үзүүлэлтүүдийн хамгийн сүүлийн хувилбарыг авахыг зөвлөж байна. *Бусад нэр, брэндийг бусдын өмч гэж үзэж болно.
3. Nios V процессорын програм хангамжийн системийн дизайн 726952 | 2025.07.16
3.1. Nios V процессорын програм хангамж хөгжүүлэх урсгал
3.1.1. Зөвлөлийн дэмжлэгийн багц төсөл
Nios V Board Support Package (BSP) төсөл нь системийн тусгай дэмжлэгийн код агуулсан тусгай номын сан юм. BSP нь Nios V процессорын техник хангамжийн системийн нэг процессорт тохируулсан програм хангамжийн ажиллах орчныг хангадаг.
Quartus Prime програм хангамж нь Nios V Board Support Package Editor болон niosv-bsp хэрэгслээр хангадаг бөгөөд BSP-ийн үйл ажиллагааг хянадаг тохиргоог өөрчлөх боломжтой.
BSP нь дараах элементүүдийг агуулна: · Техник хангамжийн хийсвэр давхарга · Төхөөрөмжийн драйверууд · Нэмэлт програм хангамжийн багцууд · Нэмэлт бодит цагийн үйлдлийн систем
3.1.2. Хэрэглээний төсөл
Nios VC/C++ програмын төсөл нь дараах боломжуудтай: · Эх кодын цуглуулга болон CMakeLists.txt файлаас бүрдэнэ.
— CMakeLists.txt нь эх кодыг эмхэтгэж, түүнийг BSP болон нэг буюу хэд хэдэн нэмэлт номын сантай холбож, нэг .elf үүсгэдэг. file
· Эх сурвалжийн нэг files нь main() функцийг агуулна. · Номын сан болон BSP-ийн функцуудыг дууддаг кодыг агуулдаг.
Altera нь CMakeLists.txt програмыг үүсгэхийн тулд Quartus Prime програм хангамжийн хэрэглүүрт niosv-app хэрэгслээр хангадаг бөгөөд Eclipse-д суурилсан орчинд эх кодыг өөрчлөхийн тулд Altera FPGA-д зориулсан RiscFree IDE.
3.2. Altera FPGA Embedded Development Tools
Nios V процессор нь програм хангамж боловсруулах дараах хэрэгслүүдийг дэмждэг: · График хэрэглэгчийн интерфэйс (GUI) – График хөгжүүлэлтийн хэрэгслүүд
Windows* ба Линукс* үйлдлийн системүүд (OS). — Nios V Зөвлөлийн дэмжлэгийн багц засварлагч (Nios V BSP Editor) — Altera FPGA-д зориулсан Ashling RiscFree IDE · Command-Line Tools (CLI) – Nios V Command Shell-ээс эхлүүлсэн хөгжүүлэлтийн хэрэгслүүд. Хэрэгсэл бүр өөрийн гэсэн баримт бичгийг тушаалын мөрөөс хандах боломжтой тусламжийн хэлбэрээр өгдөг. Nios V Command Shell-г нээгээд дараах тушаалыг бичнэ үү. - туслах view Тусламж цэс. — Nios V Utilities Tools — File Формат хөрвүүлэх хэрэгсэл - Бусад хэрэгслүүд
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 43
3. Nios V процессорын програм хангамжийн системийн дизайн 726952 | 2025.07.16
Хүснэгт 25. GUI Tools болон Command-line Tools Даалгавруудын хураангуй
Даалгавар
GUI хэрэгсэл
Тушаалын мөрийн хэрэгсэл
BSP үүсгэх
Nios V BSP редактор
· Quartus Prime Pro Edition програм хангамжид: niosv-bsp -c -s=<.qsys file> -t= [СОНГОЛТ] тохиргоонууд.bsp
· Quartus Prime Standard Edition програм хангамжид: niosv-bsp -c -s=<.sopcinfo file> -t= [СОНГОЛТ] тохиргоонууд.bsp
Одоо байгаа .bsp ашиглан BSP үүсгэх file
BSP-г шинэчилж байна
Nios V BSP редактор Nios V BSP редактор
niosv-bsp -g [СОНГОЛТ] тохиргоо.bsp niosv-bsp -u [СОНГОЛТ] тохиргоо.bsp
BSP-г шалгаж байна
Nios V BSP редактор
niosv-bsp -q -E= [СОНГОЛТ] тохиргоонууд.bsp
Програм үүсгэх
–
niosv-app -a= -b= -s= files лавлах> [СОНГОЛТ]
Хэрэглэгчийн номын сан үүсгэх
–
niosv-app -l= -s= files лавлах> -p= [СОНГОЛТ]
Програмыг өөрчлөх Хэрэглэгчийн номын санг өөрчлөх Аппликейшн бүтээх
Altera FPGA-д зориулсан RiscFree IDE
Altera FPGA-д зориулсан RiscFree IDE
Altera FPGA-д зориулсан RiscFree IDE
Аливаа командын мөрийн эх засварлагч
Аливаа командын мөрийн эх засварлагч
· хийх · cmake
Хэрэглэгчийн номын сан байгуулах
Altera FPGA-д зориулсан RiscFree IDE
· хийх · cmake
ELF програмыг татаж байна
.elf-г хөрвүүлэх file
Altera FPGA-д зориулсан RiscFree IDE
–
niosv-татаж авах
· elf2flash · elf2hex
Холбогдох мэдээлэл
Altera FPGAs хэрэглэгчийн гарын авлагад зориулсан Ashling RiscFree нэгдсэн хөгжлийн орчин (IDE)
3.2.1. Nios V процессорын самбарыг дэмжих багц засварлагч
Та Nios V процессорын BSP Editor ашиглан дараах ажлуудыг хийж болно: · Nios V процессорын BSP төслийг үүсгэх эсвэл өөрчлөх · Тохиргоо, холбогч бүс, хэсгийн зураглалыг засах · Програм хангамжийн багц болон төхөөрөмжийн драйверуудыг сонгох.
BSP Editor-ийн боломжууд нь niosv-bsp хэрэгслүүдийн чадамжийг агуулдаг. BSP Editor дээр үүсгэсэн аливаа төслийг тушаалын мөрийн хэрэглүүрүүдийг ашиглан үүсгэж болно.
Nios® V суулгагдсан процессорын дизайны гарын авлага 44
Санал хүсэлт илгээх
3. Nios V процессорын програм хангамжийн системийн дизайн 726952 | 2025.07.16
Жич:
Quartus Prime Standard Edition програм хангамжийн хувьд BSP Editor GUI-г ажиллуулах алхмуудыг AN 980: Nios V процессор Quartus Prime програм хангамжийн дэмжлэгээс үзнэ үү.
BSP Editor-ийг ажиллуулахын тулд дараах алхмуудыг дагана уу: 1. Platform Designer програмыг нээж, File цэс.
а. Одоо байгаа BSP тохиргоог нээх file, Нээх… дээр дарна уу. b. Шинэ BSP үүсгэхийн тулд New BSP…-г товшино уу. 2. BSP Editor табыг сонгоод зохих мэдээллийг оруулна уу.
Зураг 27. BSP Editor програмыг ажиллуул
Холбогдох мэдээлэл AN 980: Nios V процессор Quartus Prime програм хангамжийн дэмжлэг
3.2.2. Altera FPGA-д зориулсан RiscFree IDE
Altera FPGA-д зориулсан RiscFree IDE нь Nios V процессорт зориулсан Eclipse дээр суурилсан IDE юм. Altera танд дараах шалтгааны улмаас энэ IDE-д Nios V процессорын программ хангамжийг хөгжүүлэхийг зөвлөж байна: · Эдгээр функцуудыг Nios V-тэй нийцтэй байхаар боловсруулж, баталгаажуулсан.
процессор бүтээх урсгал. · Шаардлагатай бүх хэрэгслийн гинж болон туслах хэрэгслээр тоноглогдсон бөгөөд энэ нь танд туслах болно
Nios V процессор хөгжүүлэлтийг хялбархан эхлүүлэх.
Холбогдох мэдээлэл Ashling RiscFree Integrated Development Environment (IDE) нь Altera FPGAs хэрэглэгчийн гарын авлага.
3.2.3. Nios V Utilities хэрэгсэл
Та Nios V программыг командын мөрөнд бичсэн эсвэл скриптэд суулгасан командуудаар үүсгэж, өөрчилж, бүтээх боломжтой. Энэ хэсэгт тайлбарласан Nios V командын мөрийн хэрэгслүүд нь /niosv/bin лавлах.
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 45
3. Nios V процессорын програм хангамжийн системийн дизайн 726952 | 2025.07.16
Хүснэгт 26. Nios V Utilities Tools
Тушаалын шугамын хэрэгслүүд
Дүгнэлт
niosv-app niosv-bsp niosv-татаж авах niosv-shell niosv-stack-report
Хэрэглээний төслийг үүсгэх, тохируулах.
BSP тохиргоог үүсгэх эсвэл шинэчлэх file мөн BSP үүсгэнэ үү fileс. ELF татаж авахын тулд file Nios® V процессор руу.
Nios V командын бүрхүүлийг нээх. Таны .elf-д стек эсвэл овоолгын хэрэглээнд ашиглах боломжтой санах ойн үлдсэн зайны талаар танд мэдэгдэхийн тулд.
3.2.4. File Формат хөрвүүлэх хэрэгслүүд
File Өгөгдлийг нэг хэрэгслээс нөгөөд шилжүүлэхэд заримдаа формат хөрвүүлэх шаардлагатай байдаг. The file формат хөрвүүлэх хэрэгслүүд дотор байна
програм хангамж суулгах лавлах>/niosv/bin лавлах.
Хүснэгт 27. File Формат хөрвүүлэх хэрэгслүүд
Тушаалын шугамын хэрэгслүүд elf2flash elf2hex
Дүгнэлт .elf-г орчуулах file флаш санах ойн програмчлалын хувьд .srec формат руу. .elf-ийг орчуулахын тулд file санах ойг эхлүүлэхийн тулд .hex формат руу шилжүүлнэ.
3.2.5. Бусад хэрэгслүүд
Та Nios V процессор дээр суурилсан системийг бүтээхдээ дараах тушаалын мөрийн хэрэгслүүдийг шаардаж магадгүй. Эдгээр командын мөрийн хэрэгслүүдийг Intel-ээс өгдөг /quartus/bin буюу түүнээс авсан
нээлттэй эхийн хэрэгслүүд.
Хүснэгт 28. Бусад командын шугамын хэрэгслүүд
Тушаалын шугамын хэрэгслүүд
Төрөл
Дүгнэлт
juart-терминал
Intel-аас өгсөн
stdout болон stderr-ийг хянах, Nios® V процессорыг оруулах
stdin-ээр дамжуулан дэд систем. Энэ хэрэгсэл нь зөвхөн J-д хамаарнаTAG Nios® V процессортой холбогдсон үед UART IP.
openocd
OpenOCD-г ажиллуулахын тулд Intel-аас олгосон.
openocd-cfg-gen
Intel-аас олгосон · OpenOCD тохиргоог үүсгэх file. · J-г харуулахTAG гинжин төхөөрөмжийн индекс.
Nios® V суулгагдсан процессорын дизайны гарын авлага 46
Санал хүсэлт илгээх
726952 | 2025.07.16 Санал хүсэлт илгээх
4. Nios V процессорын тохиргоо ба ачаалах шийдэл
Та Nios V процессорыг өөр өөр санах ойн байршлаас программ хангамжийг ачаалж, ажиллуулахаар тохируулж болно. Ачаалах санах ой нь Quad Serial Peripheral Interface (QSPI) флаш, чип дээрх санах ой (OCRAM) эсвэл нягт холбогдсон санах ой (TCM) юм.
Холбогдох мэдээлэл · Ачаалах триггерийн нөхцөл 193-р хуудас · Ачаалах триггер
Ачаалах триггерүүдийн талаар нэмэлт мэдээлэл авахыг хүсвэл.
4.1. Танилцуулга
Nios V процессор нь хоёр төрлийн ачаалах процессыг дэмждэг: · Alt_load() функцийг ашиглан газар дээр нь гүйцэтгэх (XIP) · Ачаалах хувилагч ашиглан RAM руу хуулсан програм. Nios V суулгагдсан програмын хөгжүүлэлт нь техник хангамжийн хийсвэр давхарга (HAL) дээр суурилдаг. HAL нь ачаалах санах ойноос холбогдох холбогч хэсгүүдийг ачаалах үед тэдгээрийн ажиллах хугацааны байршил руу хуулж авдаг жижиг ачаалагч програмыг (бас ачаалагч хувилагч гэж нэрлэдэг) хангадаг. Та самбарын дэмжлэгийн багц (BSP) засварлагчийн тохиргоог ашиглан программ болон өгөгдлийн санах ойн ажиллах цагийн байршлыг зааж өгч болно. Энэ хэсэгт: · Таны Nios V процессорын системийг дараах дагуу ачаалах Nios V процессорын ачаалах хувилагчийг тайлбарлана.
ачаалах санах ойн сонголт · Nios V процессорын ачаалах сонголт ба ерөнхий урсгал · Сонгосон ачаалах санах ойд зориулсан Nios V програмчлалын шийдэл
4.2. Програмуудыг холбох
Та Nios V процессорын төслийг үүсгэх үед BSP Editor нь холбогдох хоёр холбогч үүсгэдэг files: · linker.x: Холбогч команд file үүсгэсэн аппликейшн хийхfile ашигладаг
.elf хоёртын файлыг үүсгэх file. · linker.h: Холбогч санах ойн байршлын талаарх мэдээллийг агуулна. BSP төсөлд хийсэн бүх холбоосын тохиргооны өөрчлөлтүүд нь эдгээр хоёр холбогчийн агуулгад нөлөөлдөг fileс. Nios V процессорын програм бүр дараах холбоосын хэсгүүдийг агуулна.
© Altera корпораци. Altera, Altera лого, "a" лого болон бусад Altera тэмдэг нь Altera корпорацийн худалдааны тэмдэг юм. Altera нь аливаа бүтээгдэхүүн, үйлчилгээнд ямар ч үед мэдэгдэлгүйгээр өөрчлөлт оруулах эрхтэй. Altera нь бичгээр тохиролцсоноос бусад тохиолдолд энд дурдсан аливаа мэдээлэл, бүтээгдэхүүн, үйлчилгээг ашиглах, ашиглахтай холбоотой ямар ч хариуцлага хүлээхгүй. Альтера-гийн хэрэглэгчид нийтлэгдсэн мэдээлэлд найдах, бүтээгдэхүүн, үйлчилгээний захиалга өгөхөөс өмнө төхөөрөмжийн техникийн үзүүлэлтүүдийн хамгийн сүүлийн хувилбарыг авахыг зөвлөж байна. *Бусад нэр, брэндийг бусдын өмч гэж үзэж болно.
4. Nios V процессорын тохиргоо ба ачаалах шийдэл 726952 | 2025.07.16
Хүснэгт 29. Холбогч хэсгүүд
.текст
Холбогч хэсгүүд
.rodata
.rwdata
.bss
.овоолго
.стек
Тодорхойлолт Гүйцэтгэх код. Програмыг гүйцэтгэхэд ашигласан зөвхөн унших боломжтой аливаа өгөгдөл. Програмыг гүйцэтгэхэд ашигласан унших, бичих өгөгдлийг хадгалдаг. Эхлээгүй статик өгөгдлийг агуулна. Динамикаар хуваарилагдсан санах ойг агуулдаг. Функцийн дуудлагын параметрүүд болон бусад түр зуурын өгөгдлийг хадгалдаг.
Та .elf-д нэмэлт холбоосын хэсгүүдийг нэмж болно file тусгай код болон өгөгдлийг хадгалах. Эдгээр холбогч хэсгүүдийг физик санах ойн төхөөрөмж болон хаягуудтай харгалзах зорилгоор тодорхойлсон санах ойн бүсүүдэд байрлуулна. Анхдагч байдлаар, BSP Editor нь эдгээр холбогч хэсгүүдийг автоматаар үүсгэдэг. Гэсэн хэдий ч та тодорхой програмын холбоосын хэсгүүдийг хянах боломжтой.
4.2.1. Холбох зан үйл
Энэ хэсэгт BSP Editor-ийн өгөгдмөл холболтын үйлдэл болон холболтын үйлдлийг хэрхэн хянах талаар тайлбарласан болно.
4.2.1.1. Өгөгдмөл BSP холболт
BSP тохиргооны үед хэрэгслүүд дараах алхмуудыг автоматаар гүйцэтгэдэг:
1. Санах ойн бүсийн нэрийг оноох: Системийн санах ойн төхөөрөмж бүрт нэр өгч, нэр бүрийг холбогч руу нэмнэ file санах ойн бүс болгон.
2. Хамгийн том санах ойг олох: Холбогч дахь хамгийн том унших, бичих санах ойн бүсийг тодорхойл file.
3. Холбогч хэсгүүдийг оноох: Өмнөх алхамд тодорхойлсон санах ойн бүсэд анхдагч холбогч хэсгүүдийг (.text, .rodata, .rwdata, .bss, .heap, болон .stack) байрлуул.
4. Бичих files: linker.x болон linker.h гэж бичнэ files.
Ихэвчлэн санах ой хангалттай том бол програм ажиллах баталгаатай байдаг тул холбогч хэсгийн хуваарилалтын схем нь програм хангамж боловсруулах явцад ажилладаг.
Өгөгдмөл холболтын үйлдлийн дүрмүүд нь Altera-аас үүсгэсэн Tcl скриптүүдэд агуулагдаж байгаа bsp-set-defaults.tcl болон bsp-linker-utils.tcl. /niosv/scripts/bsp-defaults лавлах. niosv-bsp тушаал нь эдгээр скриптүүдийг дууддаг. Эдгээр скриптийг шууд өөрчилж болохгүй.
Nios® V суулгагдсан процессорын дизайны гарын авлага 48
Санал хүсэлт илгээх
4. Nios V процессорын тохиргоо ба ачаалах шийдэл 726952 | 2025.07.16
4.2.1.2. BSP холболтыг тохируулах боломжтой
Та BSP Editor-ийн Linker Script таб дээрээс анхдагч холболтын үйлдлийг удирдах боломжтой. Дараах аргуудыг ашиглан холбогч скриптийг удирдах: · Санах ойн бүс нэмэх: Санах ойн бүсийн нэрийг физик санах ойн төхөөрөмжид буулгана. · Хэсгийн зураглал нэмэх: Хэсгийн нэрийг санах ойн бүс рүү буулгана. BSP
Редактор танд хийхийг зөвшөөрдөг view өөрчлөлт хийхээс өмнө болон дараа санах ойн зураг.
4.3. Nios V процессорыг ачаалах аргууд
Altera FPGA төхөөрөмж дээр Nios V процессорыг ачаалах хэд хэдэн арга байдаг. Nios V процессорыг ачаалах аргууд нь флаш санах ойн сонголт болон төхөөрөмжийн гэр бүлээс хамаарч өөр өөр байдаг.
Хүснэгт 30. Дэмжигдсэн флаш санах ойн ачаалах сонголтууд
Дэмжигдсэн ачаалах санах ой
Төхөөрөмж
Чип дээрх флаш (дотоод тохиргоонд зориулагдсан)
Зөвхөн хамгийн ихдээ 10 төхөөрөмж (On-Chip Flash IP-тэй)
Ерөнхий зориулалтын QSPI Flash (зөвхөн хэрэглэгчийн өгөгдөлд зориулагдсан)
Бүх дэмжигдсэн FPGA төхөөрөмжүүд (Ерөнхий цуврал флаш интерфэйс FPGA IP-тэй)
QSPI Flash тохиргоо (идэвхтэй цуваа тохиргоонд зориулагдсан)
Хяналтын блок дээр суурилсан
төхөөрөмжүүд (Ерөнхий
Цуваа флаш интерфэйс Intel FPGA IP)(2)
Nios V процессорыг ачаалах аргууд
Аппликешн ажиллах цагийн байршил
Ачаалах хувилагч
Nios V процессорын програмыг On-Chip Flash-ээс ажиллуулдаг
Чип дээрх Flash (XIP) + OCRAM/ Гадаад RAM (бичих боломжтой мэдээллийн хэсгүүдэд зориулагдсан)
alt_load() функц
Nios V процессорын програмыг On-Chip Flash-ээс ачаалах хувилагч ашиглан RAM руу хуулсан
OCRAM/Гадаад RAM
GSFI-ээр дамжуулан ачаалагчийг дахин ашиглаж байна
Nios V процессорын програмыг ерөнхий зориулалтын QSPI флэшээр ажиллуулдаг
Ерөнхий зориулалтын QSPI флаш (XIP) + OCRAM/ Гадаад RAM (бичих боломжтой мэдээллийн хэсгүүдэд зориулагдсан)
alt_load() функц
Nios V процессорын програмыг ерөнхий зориулалтын QSPI флашаас ачаалах хувилагч ашиглан RAM руу хуулсан
OCRAM/Гадаад RAM
GSFI-ээр ачаалагч
Nios V процессорын програм QSPI флэш тохиргооноос газар дээр нь ажилладаг
Тохиргоо QSPI флаш (XIP) + OCRAM/ Гадаад RAM (бичих боломжтой мэдээллийн хэсгүүдэд)
alt_load() функц
Nios V процессорын програмыг QSPI флаш тохиргооноос ачаалах хувилагч ашиглан RAM руу хуулсан
GSFI-ээр дамжуулан OCRAM/ Гадаад RAM ачаалагчийг үргэлжлүүлэн ажиллуулж байна...
(2) Төхөөрөмжийн жагсаалтыг AN 980: Nios V процессор Quartus Prime програм хангамжийн дэмжлэгээс үзнэ үү.
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 49
4. Nios V процессорын тохиргоо ба ачаалах шийдэл 726952 | 2025.07.16
Дэмжигдсэн ачаалах санах ой
Чип дээрх санах ой (OCRAM) нягт холбогдсон санах ой (TCM)
Төхөөрөмж
SDM-д суурилсан төхөөрөмжүүд (мэйл хайрцагны үйлчлүүлэгч Intel FPGA IP-тэй). (2)
Бүх дэмжигдсэн Altera FPGA төхөөрөмжүүд (2)
Бүх дэмжигдсэн Altera FPGA төхөөрөмжүүд(2)
Nios V процессорыг ачаалах аргууд
Nios V процессорын програмыг QSPI флаш тохиргооноос ачаалах хувилагч ашиглан RAM руу хуулсан
Nios V процессорын програм нь OCRAM-аас газар дээр нь ажилладаг
Nios V процессорын програмыг TCM-ээс газар дээр нь ажиллуулдаг
Аппликешн ажиллах цагийн байршил
Ачаалах хувилагч
OCRAM/ SDM-ээр дамжуулан гадаад RAM ачаалагч
OCRAM
alt_load() функц
Заавар TCM (XIP) Байхгүй + Өгөгдлийн TCM (бичих боломжтой мэдээллийн хэсгүүдэд)
Зураг 28. Nios V процессорын ачаалах урсгал
Дахин тохируулах
Процессор дахин тохируулах вектор руу үсрэх (ачаалах кодыг эхлүүлэх)
Програмын кодыг санах ойн өөр байршилд хуулж болно (ачаалах сонголтоос хамаарч)
Ачаалах код нь процессорыг эхлүүлдэг
Ачаалах сонголтоос хамааран ачаалах код нь өгөгдөл/кодын анхны утгыг санах ойн өөр зайд хуулж болно (alt_load)
Ачаалах код нь програмын код болон өгөгдлийн санах ойн зайг эхлүүлнэ
Ачаалах код нь HAL драйвер (alt_main) бүхий бүх системийн нэмэлт төхөөрөмжийг эхлүүлдэг.
Үндсэн рүү орох
Холбогдох мэдээлэл · Ерөнхий цуврал флаш интерфейс Altera FPGA IP хэрэглэгчийн гарын авлага
Nios® V суулгагдсан процессорын дизайны гарын авлага 50
Санал хүсэлт илгээх
4. Nios V процессорын тохиргоо ба ачаалах шийдэл 726952 | 2025.07.16
· Mailbox Client Altera FPGA IP хэрэглэгчийн гарын авлага · AN 980: Nios V процессор Quartus Prime програм хангамжийн дэмжлэг
4.4. Nios V процессорыг ачаалах аргуудын танилцуулга
Nios V процессорын системүүд нь процессор нь хэрэглээний программыг ажиллуулж эхлэхээс өмнө системийн санах ойд програм хангамжийн дүрсийг тохируулахыг шаарддаг. Өгөгдмөл холбоосын хэсгүүдийг холбогч хэсгүүдээс үзнэ үү.
BSP Editor нь дараах функцуудыг гүйцэтгэдэг холбогч скриптийг үүсгэдэг: · Процессорын програм хангамжийг холбогч тохиргооны дагуу холбосон эсэхийг баталгаажуулдаг.
BSP редакторын программ хангамжийг санах ойд хаана байрлуулахыг тодорхойлно. · -ийн дагуу санах ойн бүрэлдэхүүн хэсэгт процессорын кодын мужийг байрлуулна
хуваарилагдсан санах ойн бүрэлдэхүүн хэсгүүд.
Дараах хэсэгт Nios V процессорыг ачаалах боломжтой аргуудыг товч тайлбарласан болно.
4.4.1. Nios V процессорын програмыг ачаалах флашаас суулгаж ажиллуулдаг
Altera нь санах ойн хянагч эсвэл санах ойн төхөөрөмжийг эхлүүлэх шаардлагагүйгээр системийг дахин ачаалсны дараа Nios V процессорын ачаалах флаш хаягийн зайд шууд нэвтрэх боломжтой байхаар флаш хянагчуудыг зохион бүтээсэн. Энэ нь Nios V процессорыг өөр санах ойн төрөл рүү код хуулахын тулд ачаалах хувилагч ашиглахгүйгээр шууд ачаалах төхөөрөмж дээр хадгалагдсан програмын кодыг ажиллуулах боломжийг олгодог. Флэш хянагч нь: · Чип дээрх Flash IP бүхий чип дээрх флаш (зөвхөн MAX® 10 төхөөрөмжид) · Ерөнхий цуврал флаш интерфэйстэй IP бүхий ерөнхий зориулалтын QSPI флаш · Ерөнхий цуврал флаш интерфейс IP бүхий QSPI флаш тохиргоо (MAX 10-аас бусад)
төхөөрөмжүүд)
Nios V процессорын програмыг ачаалах флэшээс газар дээр нь ажиллуулах үед BSP Editor дараах функцуудыг гүйцэтгэдэг: · .text холбогч хэсгүүдийг ачаалах флаш санах ойд тохируулна. · RAM-д .bss,.rodata, .rwdata, .stack болон .heap холбогч хэсгүүдийг тохируулна.
санах ойн бүс. Системийг дахин тохируулсны дараа өгөгдлийн хэсгүүдийг (.rodata, .rwdata,, .exceptions) RAM руу хуулахын тулд та BSP тохиргооны alt_load() функцийг идэвхжүүлэх ёстой. Кодын хэсэг (.текст) ачаалах флаш санах ойн бүсэд үлдэнэ.
Холбогдох мэдээлэл · Ерөнхий цуврал флаш интерфейс Altera FPGA IP хэрэглэгчийн гарын авлага · Altera MAX 10 хэрэглэгчийн флаш санах ойн хэрэглэгчийн гарын авлага
4.4.1.1. alt_load()
Та BSP Editor ашиглан HAL кодын alt_load() функцийг идэвхжүүлж болно.
Ачаалах горимд ашиглах үед alt_load() функц нь дараах ажлуудыг гүйцэтгэдэг.
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 51
4. Nios V процессорын тохиргоо ба ачаалах шийдэл 726952 | 2025.07.16
· BSP тохиргоонд тулгуурлан санах ойн хэсгүүдийг RAM руу хуулдаг мини ачаалах хувилагчаар ажилладаг.
· Өгөгдлийн хэсгүүдийг (.rodata, .rwdata, .exceptions) RAM-д хуулдаг боловч кодын хэсэг (.text) биш. Кодын хэсэг (.текст) нь зөвхөн унших боломжтой хэсэг бөгөөд ачаалах флаш санах ойн бүсэд үлддэг. Энэхүү хуваалт нь RAM-ийн хэрэглээг багасгахад тусалдаг боловч флаш санах ой руу хандах нь чип дээрх RAM-д хандахаас удаан байдаг тул кодын гүйцэтгэлийг хязгаарлаж болзошгүй юм.
Дараах хүснэгтэд BSP Editor-ийн тохиргоо болон функцуудыг жагсаав.
Хүснэгт 31. BSP редакторын тохиргоо
BSP редакторын тохиргоо hal.linker.enable_alt_load hal.linker.enable_alt_load_copy_rodata hal.linker.enable_alt_load_copy_rwdata hal.linker.enable_alt_load_copy_exceptions
Функц alt_load() функцийг идэвхжүүлдэг. alt_load() нь .rodata хэсгийг RAM руу хуулдаг. alt_load() нь .rwdata хэсгийг RAM руу хуулдаг. alt_load() нь .exceptions хэсгийг RAM-д хуулдаг.
4.4.2. Nios V процессорын програмыг ачаалах флашаас RAM руу ачаалах хувилагч ашиглан хуулсан
Nios V процессор болон HAL нь ихэнх Nios V процессорын програмуудад хангалттай ажиллагаатай, Nios V програм хангамжийн хөгжүүлэлтийн урсгалаар хэрэгжүүлэхэд тохиромжтой ачаалах хувилагчийг агуулдаг.
Аппликешн нь ачаалах хувилагчийг ашиглах үед бүх холбогч хэсгүүдийг (.text, .heap, .rwdata, .rodata, .bss, .stack) дотоод болон гадаад RAM-д тохируулдаг. Nios V процессорын програмыг ачаалах флэшээс дотоод болон гадаад RAM руу хуулахдаа ачаалах хувилагчийг ашиглах нь гүйцэтгэлийг сайжруулахад тусалдаг.
Энэ ачаалах сонголтын хувьд Nios V процессор нь системийг дахин тохируулсны дараа ачаалах хувилагч программ хангамжийг ажиллуулж эхэлдэг. Програм хангамж нь ачаалах флэшээс програмыг дотоод эсвэл гадаад RAM руу хуулдаг. Процесс дууссаны дараа Nios V процессор нь програмын хяналтыг програм руу шилжүүлдэг.
Жич:
Хэрэв ачаалагч хувилагч флэштэй байвал alt_load() функцийг дуудах шаардлагагүй, учир нь тэдгээр нь хоёулаа ижил зорилготой.
4.4.2.1. Ерөнхий цуврал флаш интерфэйсээр дамжуулан Nios V процессор ачаалагч
GSFI-ээр дамжуулан ачаалагч нь хяналтын блокт суурилсан төхөөрөмжүүдийн QSPI флаш санах ойг дэмждэг Nios V процессорын ачаалах хувилагч юм. GSFI-ээр дамжуулан ачаалагч нь дараах функцуудыг агуулна.
· Тогтворгүй санах ойд байгаа программ хангамжийн байршлыг тогтооно.
· Програм хангамжийн хэрэглээний дүрсийг задалж, RAM-д хуулна.
· Хуулбар дууссаны дараа процессорын гүйцэтгэлийг RAM дахь програмын код руу автоматаар шилжүүлдэг.
Nios® V суулгагдсан процессорын дизайны гарын авлага 52
Санал хүсэлт илгээх
4. Nios V процессорын тохиргоо ба ачаалах шийдэл 726952 | 2025.07.16
Ачаалах зураг нь ачаалах хувилагчийн дараа шууд байрладаг. Та Nios V процессорыг ачаалах хувилагчийн эхлэл хүртэлх офсет цэгүүдийг тохируулах хэрэгтэй. Зураг: Ачаалагчтай QSPI Flash-д зориулсан санах ойн зураг нь GSFI-ээр дамжуулан ачаалагчтай QSPI Flash-д зориулсан GSFI санах ойн зураг дээр ачаалагч хувилагч ашиглах үед QSPI флэш-д зориулсан флаш санах ойн зургийг харуулж байна. Энэхүү санах ойн газрын зураг нь флаш санах ой нь FPGA дүрс болон хэрэглээний программ хангамжийг хадгалдаг гэж үздэг.
Хүснэгт 32. Nios V Processor Core-д зориулсан GSFI-ээр ачаалагч
Nios V процессорын цөм
Nios V/m процессор
GSFI-ээр ачаалагч File Байршил
/niosv/components/bootloader/ niosv_m_bootloader.srec
Nios V/g процессор
/niosv/components/bootloader/ niosv_g_bootloader.srec
Зураг 29. GSFI-ээр дамжуулан ачаалагчтай QSPI Flash-ийн санах ойн зураг
Хэрэглэгчийн өгөгдөл (*.hex)
Хэрэглээний код
Жич:
Векторын офсетийг дахин тохируулах
Ачаалах хувилагч
0x01E00000
FPGA зураг (*.sof)
0х00000000
1. Санах ойн зургийн эхэнд FPGA дүрс, дараа нь ачаалах хувилагч болон програмын кодоос бүрдэх таны өгөгдөл байна.
2. Та Platform Designer-д Nios V процессорын дахин тохируулах офсетийг тохируулж, ачаалах хувилагчийн эхлэл рүү чиглүүлэх ёстой.
3. FPGA зургийн хэмжээ тодорхойгүй байна. Та Quartus Prime төслийн эмхэтгэлийн дараа л яг тодорхой хэмжээг мэдэж болно. Та Altera FPGA зургийн хэмжээсийн дээд хязгаарыг тодорхойлох ёстой. Жишээ ньample, хэрэв FPGA зургийн хэмжээг 0x01E00000-аас бага гэж тооцвол Platform Designer-д Reset Offset-ийг 0x01E00000 болгож тохируулна уу, энэ нь бас ачаалах хувилагчийн эхлэл юм.
4. Програм хангамжийн програм шинэчлэгдсэн тохиолдолд FPGA дүрсийг хэсэгчлэн устгахгүй байхын тулд флэш секторын зааг дээр дахин тохируулах векторын офсетийг тохируулах нь дизайны сайн туршлага юм.
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 53
4. Nios V процессорын тохиргоо ба ачаалах шийдэл 726952 | 2025.07.16
4.4.2.2. Secure Device Manager-ээр дамжуулан Nios V процессор ачаалагч
Secure Device Manager-ээр ачаалагч (SDM) нь процессорыг ачаалахад Mailbox Client Altera FPGA IP HAL драйверийг ашигладаг HAL програмын код юм. Altera нь Nios V процессорыг ачаалахын тулд SDM-д суурилсан төхөөрөмжүүдэд QSPI флаш тохиргоог ашиглах үед энэ ачаалагч програмыг санал болгож байна.
Системийг дахин тохируулсны дараа Nios V процессор эхлээд жижиг чип дээрх санах ойноос SDM-ээр ачаалагчийг ачаалж, Mailbox Client IP ашиглан QSPI флэш тохиргоотой холбогдохын тулд SDM-ээр ачаалагчийг ажиллуулдаг.
SDM-ээр ачаалагч нь дараах ажлуудыг гүйцэтгэдэг: · QSPI флаш тохиргоонд Nios V программ хангамжийн байршлыг тогтооно. · Nios V программыг чип дээрх RAM эсвэл гадаад RAM руу хуулна. · Чип дээрх RAM доторх Nios V программ хангамж руу процессорын гүйцэтгэлийг шилжүүлдэг эсвэл
гадаад RAM.
Процесс дууссаны дараа SDM-ээр дамжуулан ачаалагч програмын хяналтыг хэрэглэгчийн програм руу шилжүүлдэг. Altera нь SDM-ээр дамжуулан ачаалагчийн санах ойн зохион байгуулалтад тодорхойлсон санах ойн зохион байгуулалтыг санал болгож байна.
Зураг 30. SDM процессын урсгалаар ачаалагч
Тохиргоо
Флэш
2
Nios V програм хангамж
SDM
SDM-д суурилсан FPGA төхөөрөмж
Шуудангийн хайрцагны үйлчлүүлэгчийн IP
FPGA логик Nios V
4 Гадаад RAM
Nios V програм хангамж
Чип дээрх 4
EMIF
RAM
Чип дээрх санах ой
IP
Ниос В
1
Програм хангамж
SDM-ээр ачаалагч
3
3
1. Nios V процессор нь чип дээрх санах ойноос SDM-ээр ачаалагчийг ажиллуулдаг.
2. SDM-ээр ачаалагч нь тохиргооны флэштэй холбогдож Nios V программ хангамжийн байршлыг тогтоодог.
3. SDM-ээр ачаалагч нь Nios V программ хангамжийг тохиргооны флашаас чип дээрх RAM / гадаад RAM руу хуулдаг.
4. SDM-ээр ачаалагч нь Nios V процессорын гүйцэтгэлийг чип дээрх RAM / гадаад RAM дахь Nios V программ хангамж руу шилжүүлдэг.
4.4.3. Nios V процессорын програмыг OCRAM-аас газар дээр нь ажиллуулдаг
Энэ аргын хувьд Nios V процессорын дахин тохируулах хаягийг чип дээрх санах ойн (OCRAM) үндсэн хаягаар тохируулдаг. Хоёртын програм (.hex) file Quartus Prime програм хангамжид техник хангамжийн дизайныг эмхэтгэсний дараа FPGA-г тохируулах үед OCRAM-д ачаалагдана. Nios V процессорыг дахин суулгасны дараа програм ажиллаж эхлэх ба нэвтрэх цэг рүү салбарлана.
Nios® V суулгагдсан процессорын дизайны гарын авлага 54
Санал хүсэлт илгээх
4. Nios V процессорын тохиргоо ба ачаалах шийдэл 726952 | 2025.07.16
Жич:
· OCRAM-аас Execute-In-Place нь ачаалах хувилагчийг шаарддаггүй, учир нь Nios V процессорын програм нь системийг дахин тохируулах үед аль хэдийн суулгасан байна.
· Altera энэ ачаалах аргын хувьд alt_load()-г идэвхжүүлэхийг зөвлөж байна, ингэснээр суулгагдсан программ хангамж нь FPGA төхөөрөмжийн дүрсийг дахин тохируулахгүйгээр дахин тохируулах үед адилхан ажиллах болно.
· Та системийг дахин тохируулсны дараа .rwdata хэсгийг хуулахын тулд BSP тохиргооны alt_load() функцийг идэвхжүүлэх ёстой. Энэ аргын хувьд программын гүйцэтгэл дээр дарж бичихээс зайлсхийхийн тулд эхлүүлсэн хувьсагчдын анхны утгуудыг харгалзах хувьсагчдаас тусад нь хадгалдаг.
4.4.4. TCM-ийн Nios V процессорын програмыг газар дээр нь ажиллуулдаг
Газар дээр нь гүйцэтгэх арга нь Nios V процессорын дахин тохируулах хаягийг нягт холбогдсон санах ойн (TCM) үндсэн хаяг руу тохируулдаг. Хоёртын програм (.hex) file Quartus Prime програм хангамжийн техник хангамжийн дизайныг хөрвүүлсний дараа FPGA-г тохируулах үед TCM-д ачаалагдана. Nios V процессорыг дахин суулгасны дараа програм ажиллаж эхлэх ба нэвтрэх цэг рүү салбарлана.
Жич:
TCM-ээс Execute-In-Place нь ачаалах хувилагчийг шаарддаггүй, учир нь Nios V процессорын програм нь системийг дахин тохируулах үед аль хэдийн суулгасан байна.
4.5. Nios V процессорыг чип дээрх флашаас (UFM) ачаалж байна
Nios V процессорыг чип дээрх флэшээс (UFM) ачаалах, ажиллуулах программ хангамжийг MAX 10 FPGA төхөөрөмжид ашиглах боломжтой. Nios V процессор нь дотоод тохиргооны горимын дагуу On-Chip Flash ашиглан дараах хоёр ачаалах сонголтыг дэмждэг.
· Nios V процессорын програм нь On-Chip Flash-ээс газар дээр нь ажилладаг.
· Nios V процессорын програмыг On-Chip Flash-ээс ачаалах хувилагч ашиглан RAM руу хуулдаг.
Хүснэгт 33. Дэмжигдсэн флаш санах ойн ачаалах сонголтууд
Дэмжигдсэн ачаалах санах ой
Nios V ачаалах аргууд
Аппликешн ажиллах цагийн байршил
Ачаалах хувилагч
Зөвхөн MAX 10 төхөөрөмж (OnChip Flash IP-тэй)
Nios V процессорын програмыг On-Chip Flash-ээс ажиллуулдаг
Nios V процессорын програмыг On-Chip Flash-ээс ачаалах хувилагч ашиглан RAM руу хуулсан
Чип дээрх Flash (XIP) + OCRAM/ Гадаад RAM (бичих боломжтой мэдээллийн хэсгүүдэд зориулагдсан)
alt_load() функц
OCRAM/ Гадаад RAM
GSFI-ээр дамжуулан ачаалагчийг дахин ашиглаж байна
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 55
4. Nios V процессорын тохиргоо ба ачаалах шийдэл 726952 | 2025.07.16
Зураг 31.
Дизайн, тохиргоо, ачаалах урсгал
Дизайн · Platform Designer ашиглан Nios V процессор дээр суурилсан төслөө үүсгэ. · Системийн загварт гадаад RAM эсвэл чип дээрх RAM байгаа эсэхийг шалгаарай.
FPGA тохиргоо ба эмхэтгэл
· Platform Designer болон Quartus Prime программ хангамжийн On-chip Flash IP-д ижил дотоод тохиргооны горимыг тохируулна уу. · Nios V процессорыг дахин тохируулах агентыг чип дээрх Flash болгож тохируулна уу. · Өөрийн дуртай UFM эхлүүлэх аргыг сонгоно уу. · Platform Designer программ дээр загвараа бүтээнэ үү. · Төслөө Quartus Prime программд хөрвүүлнэ.
Хэрэглэгчийн програм BSP төсөл · .sopcinfo дээр суурилсан Nios V процессор HAL BSP үүсгэнэ file Платформ дизайнерын бүтээсэн. · BSP Editor дээр Nios V процессорын BSP тохиргоо болон Linker Script-г засварлана. · BSP төслийг бий болгох.
Хэрэглэгчийн хэрэглээний APP төсөл · Nios V процессорын хэрэглээний кодыг боловсруулах. · Nios V процессорын програмыг эмхэтгэж, Nios V процессорын програмыг (.hex) үүсгэнэ. file. · Intel FPGA On-Chip Flash IP доторх санах ойн контентыг эхлүүлэх сонголтыг сонговол Quartus Prime программ дээр төслөө дахин хөрвүүлнэ үү.
Програмчлал Files хувиргах, татаж авах, ажиллуулах · Чип дээрх Flash .pof үүсгэх file Convert програмчлалыг ашиглан FileQuartus Prime програм хангамжийн онцлог.
· .pof программ file MAX 10 төхөөрөмжөө оруулна уу. · Техник хангамжаа асаана.
4.5.1. MAX 10 FPGA чип дээрх флаш тодорхойлолт
MAX 10 FPGA төхөөрөмжүүд нь хоёр хэсэгт хуваагдсан чип дээрх флэш агуулсан: · Тохируулгын флаш санах ой (CFM) — тоног төхөөрөмжийн тохиргооны өгөгдлийг хадгалдаг.
Хамгийн ихдээ 10 FPGA. · Хэрэглэгчийн флаш санах ой (UFM) — хэрэглэгчийн өгөгдөл эсвэл программ хангамжийг хадгалдаг.
MAX 10 төхөөрөмжийн UFM архитектур нь зөөлөн ба хатуу IP-ийн хослол юм. Та зөвхөн Quartus Prime програм хангамжийн On-Chip Flash IP Core ашиглан UFM-д хандах боломжтой.
Чип дээрх Flash IP цөм нь дараах функцуудыг дэмждэг: · UFM болон CFM (хэрэв платформ дизайнер дээр идэвхжсэн бол) секторуудад унших, бичих хандалтууд
Avalon MM өгөгдөл болон хяналтын боол интерфейсийг ашиглан. · Хуудас устгах, сектор устгах, сектор бичихийг дэмждэг. · Төрөл бүрийн EDA симуляцийн хэрэгслийг ашиглан UFM унших/бичих хандалтын симуляцийн загвар.
Nios® V суулгагдсан процессорын дизайны гарын авлага 56
Санал хүсэлт илгээх
4. Nios V процессорын тохиргоо ба ачаалах шийдэл 726952 | 2025.07.16
Хүснэгт 34. MAX 10 FPGA төхөөрөмж дэх чип дээрх флаш бүсүүд
Flash бүсүүд
Үйл ажиллагаа
Флаш санах ойн тохиргоо (CFM0-2 салбарууд)
FPGA тохиргоо file хадгалах
Хэрэглэгчийн флаш санах ой (UFM0-1 салбарууд)
Nios V процессорын програм болон хэрэглэгчийн өгөгдөл
MAX 10 FPGA төхөөрөмжүүд нь хэд хэдэн тохиргооны горимыг дэмждэг бөгөөд эдгээр горимуудын зарим нь CFM1 болон CFM2-г нэмэлт UFM бүс болгон ашиглах боломжийг олгодог. Дараах хүснэгтэд MAX 10 FPGA-ийн тохиргооны горимд суурилсан FPGA тохиргооны зургийн хадгалалтын байршлыг харуулав.
Хүснэгт 35. FPGA тохиргооны зургийн хадгалалтын байршил
Тохиргооны горим Хос шахсан зураг
CFM2 шахсан зураг 2
CFM1
CFM0 шахсан зураг 1
Шахаагүй ганц зураг
Виртуал UFM
Шахаагүй зураг
Санах ойг эхлүүлсэн ганц шахагдаагүй зураг
Шахагдаагүй зураг (урьдчилан эхлүүлсэн чип дээрх санах ойн агуулгатай)
Санах ойг эхлүүлсэн нэг шахсан зураг Шахсан зураг (чип дээрх санах ойн контентыг урьдчилан эхлүүлсэн)
Нэг шахсан зураг
Виртуал UFM
Шахсан зураг
MAX 10 FPGA флаш санах ойд хандахын тулд чип дээрх Flash IP цөмийг ашиглах ёстой. Та чип дээрх Flash IP-г үүсгэн Quartus Prime программ хангамжтай холбож болно. Nios V зөөлөн цөмт процессор нь чип дээрх Flash IP-тэй холбогдохын тулд Platform Designer-ийн харилцан холболтыг ашигладаг.
Зураг 32. Чип дээрх Flash IP болон Nios V процессорын холболт
Жич:
Чип дээрх Flash csr портыг Nios V процессорын data_manager-д холбосон эсэхийг шалгаарай, процессорыг бичих, устгах үйлдлийг хянах боломжтой болгоно.
Чип дээрх Flash IP цөм нь UFM0, UFM1, CFM0, CFM1, CFM2 гэсэн таван флаш салбарт нэвтрэх боломжийг олгодог.
UFM болон CFM секторуудын талаархи чухал мэдээлэл.: · CFM секторууд нь тохиргооны (бит урсгал) өгөгдөл (*.pof) хадгалах зориулалттай.
· Platform Designer хэрэглүүрт зөв тохиргоог сонгосон тохиолдолд хэрэглэгчийн өгөгдлийг UFM секторуудад хадгалах боломжтой бөгөөд нууж болно.
· Зарим төхөөрөмжүүдэд UFM1 сектор байдаггүй. Та MAX 10 FPGA төхөөрөмж тус бүрийн боломжтой салбаруудын UFM болон CFM секторын хэмжээг хүснэгтээс харж болно.
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 57
4. Nios V процессорын тохиргоо ба ачаалах шийдэл 726952 | 2025.07.16
· Та Single Uncompressed Image тохиргооны горимыг сонгосноор CFM2-г виртуал UFM болгон тохируулах боломжтой.
· Та Single Uncompressed Image тохиргооны горимыг сонгосноор CFM2 болон CFM1-ийг виртуал UFM болгон тохируулах боломжтой.
· Салбар бүрийн хэмжээ нь сонгосон MAX 10 FPGA төхөөрөмжөөс хамаарч өөр өөр байна.
Хүснэгт 36.
UFM болон CFM салбарын хэмжээ
Энэ хүснэгтэд UFM болон CFM массивуудын хэмжээсийг жагсаасан болно.
Төхөөрөмж
Салбар бүрийн хуудас
UFM1 UFM0 CFM2 CFM1 CFM0
Хуудасны хэмжээ (Кбит)
Хамгийн их хэрэглэгч
Флаш санах ойн хэмжээ (Кбит) (3)
Нийт тохиргооны санах ойн хэмжээ (Кбит)
10M02 3
3
0
0
34 16
96
544
10M04 0
8
41 29 70 16
1248
2240
10M08 8
8
41 29 70 16
1376
2240
10M16 4
4
38 28 66 32
2368
4224
10M25 4
4
52 40 92 32
3200
5888
10M40 4
4
48 36 84 64
5888
10752
10M50 4
4
48 36 84 64
5888
10752
OCRAM хэмжээ (Кбит)
108 189 378 549 675 1260 1638
Холбогдох мэдээлэл · MAX 10 FPGA тохиргооны хэрэглэгчийн гарын авлага · Altera MAX 10 хэрэглэгчийн флаш санах ойн хэрэглэгчийн гарын авлага
4.5.2. UFM-ийн Nios V процессорын програмыг газар дээр нь ажиллуулдаг
UFM-ийн Execute-In-Place шийдэл нь чип дээрх санах ойн хязгаарлагдмал хэрэглээ шаарддаг Nios V процессорын програмуудад тохиромжтой. alt_load() функц нь BSP тохиргоон дээр үндэслэн ачаалах санах ойноос өгөгдлийн хэсгүүдийг (.rodata, .rwdata, эсвэл .exceptions) RAM руу хуулдаг мини ачаалах хувилагчийн үүрэг гүйцэтгэдэг. Кодын хэсэг (.текст),
Энэ нь зөвхөн унших хэсэг бөгөөд MAX 10 чип дээрх Flash санах ойн бүсэд үлддэг. Энэхүү тохиргоо нь RAM-ийн хэрэглээг багасгадаг боловч флаш санах ой руу нэвтрэх нь чип дээрх RAM-аас удаан байдаг тул кодын гүйцэтгэлийг хязгаарлаж магадгүй юм.
Nios V процессорын програм нь UFM салбарт програмчлагдсан. Nios V процессорын дахин тохируулах вектор нь системийг дахин тохируулсны дараа UFM-ээс кодыг ажиллуулахын тулд UFM үндсэн хаяг руу чиглэнэ.
Хэрэв та програмаа дибаг хийхдээ эх түвшний дибаглагчийг ашиглаж байгаа бол техник хангамжийн таслах цэгийг ашиглах ёстой. Учир нь UFM нь санамсаргүй санах ойн хандалтыг дэмждэггүй бөгөөд энэ нь зөөлөн таслах цэгийн дибаг хийхэд шаардлагатай байдаг.
Жич:
MAX 10-д газар дээр нь гүйцэтгэх үед та UFM-г устгах эсвэл бичих боломжгүй. Хэрэв та UFM-г устгах эсвэл бичих шаардлагатай бол ачаалах хувилагч руу сэлгэнэ үү.
(3) Таны сонгосон тохиргооны горимоос хамаарах хамгийн их боломжит утга.
Nios® V суулгагдсан процессорын дизайны гарын авлага 58
Санал хүсэлт илгээх
4. Nios V процессорын тохиргоо ба ачаалах шийдэл 726952 | 2025.07.16
Зураг 33. UFM-ийн Nios V Processor Application XIP
Хамгийн ихдээ 10 төхөөрөмж
.POF
Nios V Техник хангамж .SOF
Nios V програм хангамж .HEX
Квартусын программист
Чип дээрх флаш
CFM
Nios V техник хангамж
UFM
Nios V програм хангамж
Дотоод тохиргоо
Чип дээрх Flash IP
FPGA логик
Nios V процессор
Чип дээрх RAM
Гадаад
RAM
EMIF
IP
4.5.2.1. Техник хангамжийн дизайны урсгал
Дараах хэсэгт On-Chip Flash-ээс Nios V процессорын програмыг ачаалах системийг бий болгох алхам алхмаар аргыг тайлбарласан болно. эксampДоорх le нь MAX 10 төхөөрөмж ашиглан бүтээгдсэн.
IP бүрэлдэхүүн хэсгийн тохиргоо
1. Quartus Prime болон Platform Designer ашиглан Nios V процессорын төслөө үүсгэ. 2. Таны платформд гадаад RAM эсвэл чип дээрх санах ой (OCRAM) нэмэгдсэн эсэхийг шалгаарай
Дизайнерын систем.
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 59
4. Nios V процессорын тохиргоо ба ачаалах шийдэл 726952 | 2025.07.16
Зураг 34. Жишээ ньample OnChip Flash (UFM)-аас Nios V-г ачаалахад зориулсан платформ дизайнер дахь IP холболтууд
3. On-Chip Flash IP параметрийн засварлагчийн тохиргооны горимыг өөрийн хүссэн загварт тохируулан дараах зүйлсийн аль нэгээр нь тохируулна уу: · Нэг шахагдаагүй зураг · Нэг шахаагүй зураг · Санах ойг эхлүүлсэн нэг шахаагүй зураг · Санах ойг эхлүүлсэн нэг шахсан зураг.
Хос шахсан зургийн талаар нэмэлт мэдээлэл авахыг хүсвэл MAX 10 FPGA тохиргооны хэрэглэгчийн гарын авлага - Алсын системийн шинэчлэлээс үзнэ үү.
Жич:
Та чип дээрх Flash IP доторх CFM бүс бүрт Нууц хандалтыг оноох ёстой.
Зураг 35. Чип дээрх Flash параметр засварлагч дахь тохиргооны горимын сонголт
Чип дээрх флаш IP тохиргоо - UFM эхлүүлэх Та өөрийн хүссэнээр дараах аргуудын аль нэгийг сонгож болно.
Nios® V суулгагдсан процессорын дизайны гарын авлага 60
Санал хүсэлт илгээх
4. Nios V процессорын тохиргоо ба ачаалах шийдэл 726952 | 2025.07.16
Жич:
Дараагийн дэд бүлгүүдийн алхмууд (Програм хангамжийн дизайны урсгал ба програмчлал) нь таны энд хийсэн сонголтоос хамаарна.
· 1-р арга: Эмхэтгэх явцад UFM өгөгдлийг SOF-д эхлүүлэх
Quartus Prime нь эмхэтгэлийн явцад SOF-д UFM эхлүүлэх өгөгдлийг агуулдаг. UFM өгөгдөлд өөрчлөлт орсон тохиолдолд SOF-ийг дахин эмхэтгэх шаардлагатай.
1. Initialize flash content болон Enable non-default initialization-ийг шалгана уу file.
Зураг 36. Flash агуулгыг эхлүүлж, анхдагч бус эхлэлийг идэвхжүүлнэ File
2. Үүсгэсэн .hex-ийн замыг зааж өгнө үү file (elf2hex командаас) Хэрэглэгч hex эсвэл mif-г үүсгэсэн file.
Зураг 37. .hex нэмэх File Зам
· Арга 2: POF үүсгэх явцад UFM өгөгдлийг эмхэтгэсэн SOF-тэй нэгтгэх
Програмчлалыг хөрвүүлэхдээ UFM өгөгдлийг эмхэтгэсэн SOF-тэй нэгтгэдэг fileс. UFM өгөгдөл өөрчлөгдсөн ч SOF-ийг дахин эмхэтгэх шаардлагагүй. Хөгжүүлэх явцад та SOF-г дахин эмхэтгэх шаардлагагүй files програмд өөрчлөлт оруулах. Altera нь програм хөгжүүлэгчдэд энэ аргыг санал болгож байна.
1. Initialize flash content.. гэсэн сонголтыг арилга.
Зураг 38. Анхдагч бус эхлүүлэх тохиргоотой Flash контентыг эхлүүлэх File
Nios V процессорыг газар дээр нь ажиллуулах аргын агентын тохиргоог дахин тохируулна уу
1. Nios V процессорын параметр засварлагч дээр Reset Agent-г On-Chip Flash болгож тохируулна уу.
Зураг 39. Дахин тохируулах агент бүхий Nios V процессорын параметр засварлагчийн тохиргоог чип дээрх флэш болгож тохируулсан.
2. Generation харилцах цонх гарч ирэхэд Generate HDL дээр дарна уу. 3. Гаралтыг зааж өгнө үү file үүсгэх сонголтуудыг сонгоод Үүсгэх товчийг дарна уу.
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 61
4. Nios V процессорын тохиргоо ба ачаалах шийдэл 726952 | 2025.07.16
Quartus Prime програм хангамжийн тохиргоо 1. Quartus Prime программ хангамжаас Assignments Device Device болон Pin дээр дарна уу.
Сонголтуудын тохиргоо. On-Chip Flash IP дээрх тохиргооны дагуу Тохиргооны горимыг тохируулна уу. Зураг 40. Quartus Prime програм хангамжийн тохиргооны горимын сонголт
2. "Төхөөрөмж ба зүү сонголт" цонхноос гарахын тулд OK дарна уу.
3. OK товчийг дарж Device цонхноос гарна.
4. Processing Start Compilation дээр дарж төслөө эмхэтгэж .sof үүсгэнэ үү file.
Жич:
Хэрэв Quartus Prime программ хангамж болон Платформ дизайнерын параметр засварлагчийн тохиргооны горимын тохиргоо өөр байвал Quartus Prime төсөл дараах алдааны мессежээр бүтэлгүйтнэ.
Зураг 41.
Өөр өөр тохиргооны горимын тохиргооны алдааны мессеж (14740): Атом дээрх тохиргооны горим “q_sys:q_sys_inst| altera_onchip_flash:onchip_flash_1|altera_onchip_flash_block: altera_onchip_flash_block|ufm_block төслийн тохиргоотой таарахгүй байна.” Төслийн тохиргоонд тохируулан Qsys системийг шинэчилж, дахин үүсгээрэй.
Холбогдох мэдээлэл MAX 10 FPGA тохиргооны хэрэглэгчийн гарын авлага
4.5.2.2. Програм хангамжийн дизайны урсгал
Энэ хэсэг нь Nios V процессорын програм хангамжийн төслийг үүсгэх, бүтээх дизайны урсгалыг өгдөг. Барилгын ажлыг оновчтой болгохын тулд дизайны төсөлдөө ижил төстэй лавлах модыг үүсгэхийг зөвлөж байна. Дараах програм хангамжийн дизайны урсгал нь энэ лавлах мод дээр суурилдаг.
Програм хангамжийн төслийн лавлах модыг үүсгэхийн тулд дараах алхмуудыг дагана уу: 1. Дизайн төслийн хавтсандаа програм хангамж гэж нэрлэгдэх хавтас үүсгэнэ үү. 2. Програм хангамжийн хавтсанд hal_app болон hal_bsp гэсэн хоёр хавтас үүсгэнэ.
Зураг 42. Програм хангамжийн төслийн лавлах мод
Nios® V суулгагдсан процессорын дизайны гарын авлага 62
Санал хүсэлт илгээх
4. Nios V процессорын тохиргоо ба ачаалах шийдэл 726952 | 2025.07.16
Хэрэглээний BSP төслийг бий болгож байна
BSP Editor-ийг эхлүүлэхийн тулд дараах алхмуудыг дагана уу: 1. Nios V Command Shell-г оруулна уу. 2. niosv-bsp-editor командыг ашиглан BSP Editor-г дуудна. 3. BSP Editor дээр дарна уу File Таны BSP төслийг эхлүүлэх шинэ BSP. 4. Дараах тохиргоог хийнэ үү:
· SOPC мэдээлэл File нэр: SOPCINFO-г өгнө үү file (.sopcinfo). · CPU-ийн нэр: Nios V процессорыг сонгоно. · Үйлдлийн систем: Nios V процессорын үйлдлийн системийг сонгоно. · Хувилбар: Өгөгдмөл байдлаар үлдээнэ үү. · BSP зорилтот лавлах: BSP төслийн лавлах замыг сонгоно. Та чадна
дээр урьдчилан тохируулна уу /software/hal_bsp-г идэвхжүүлснээр Үндсэн байршлыг ашиглах. · BSP тохиргоо File нэр: BSP тохиргооны нэрийг бичнэ үү File. · Нэмэлт Tcl скриптүүд: Нэмэлт Tcl скриптийг идэвхжүүлэх замаар BSP Tcl скриптийг өгнө. 5. OK дарна уу.
Зураг 43. Шинэ BSP-г тохируулах
BSP редакторыг тохируулах, BSP төслийг үүсгэх
Та өөрийн дизайны сонголтоос хамааран процессорын онцгой векторыг чип дээрх санах ой (OCRAM) эсвэл чип дээрх флаш дээр тодорхойлж болно. Тасалдлын боловсруулалтыг хурдан болгохын тулд онцгой вектор санах ойг OCRAM/Гадаад RAM болгон тохируулахыг зөвлөж байна. 1. Үндсэн тохиргоо Нарийвчилсан hal.linker руу очно уу. 2. Хэрэв та On-Chip Flash-ийг онцгой вектор болгон сонговол,
а. Дараах тохиргоог идэвхжүүлнэ үү:
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 63
4. Nios V процессорын тохиргоо ба ачаалах шийдэл 726952 | 2025.07.16
· allow_code_at_reset · enable_alt_load · enable_alt_load_copy_rodata · enable_alt_load_copy_rwdata Зураг 44. Advanced.hal.linker тохиргоо
б. BSP Editor дахь Linker Script таб дээр дарна уу. в. Холбогч хэсгийн нэр дэх .exceptions болон .text мужуудыг тохируулна уу
Чип дээрх флаш. г. Холбогч хэсгийн нэрсийн жагсаалтын бусад бүс нутгийг чип дээр тохируулна уу
Санах ой (OCRAM) эсвэл гадаад RAM.
Зураг 45. Холбогч бүсийн тохиргоо (Үл хамаарах вектор санах ой: Чип дээрх флаш)
3. Хэрэв та онцгой вектор болгон OCRAM/Гадаад RAM-г сонговол a. Дараах тохиргоог идэвхжүүлнэ үү: · allow_code_at_reset · enable_alt_load · enable_alt_load_copy_rodata · enable_alt_load_copy_rwdata · enable_alt_load_copy_exception
Зураг 46. Холбогч бүсийн тохиргоо (Үл хамаарах вектор санах ой: OCRAM/Гадаад RAM)
б. BSP Editor дахь Linker Script таб дээр дарна уу.
в. Холбогч хэсгийн нэр дэх.text мужуудыг On-Chip Flash болгож тохируулна уу.
г. Холбогч хэсгийн нэрсийн жагсаалтын бусад бүсүүдийг чип дээрх санах ой (OCRAM) эсвэл гадаад RAM болгон тохируулна уу.
Nios® V суулгагдсан процессорын дизайны гарын авлага 64
Санал хүсэлт илгээх
4. Nios V процессорын тохиргоо ба ачаалах шийдэл 726952 | 2025.07.16
Зураг 47. Холбогч бүсийн тохиргоо (Үл хамаарах вектор санах ой: OCRAM)
4. Үүсгэх товчийг дарж BSP төслийг үүсгэнэ. Хэрэглэгчийн хэрэглээний төслийг бий болгож байна File 1. Software/hal_app хавтас руу очиж програмын эх сурвалжаа үүсгэнэ үү
код. 2. Nios V Command Shell-ийг ажиллуул. 3. CMakeLists.txt програмыг үүсгэхийн тулд доорх командыг гүйцэтгэнэ.
niosv-app –app-dir=програм хангамж/hal_app –bsp-dir=програм хангамж/hal_bsp –srcs=програм хангамж/hal_app/
Хэрэглэгчийн хэрэглээний төслийг бий болгох Та Altera FPGA-д зориулсан Ashling RiscFree IDE эсвэл командын мөрийн интерфейс (CLI) ашиглан хэрэглэгчийн програмын төслийг бүтээхээр сонгож болно. Хэрэв та CLI ашиглахыг хүсвэл дараах тушаалыг ашиглан хэрэглэгчийн програмыг үүсгэж болно: cmake -G "Unix Make"files” -B software/hal_app/build -S software/hal_app make -C software/hal_app/build
Програм (.elf) file програм хангамж/hal_app/build хавтсанд үүсгэгддэг. HEX үүсгэх File Та .hex үүсгэх ёстой file таны програмаас .elf file, ингэснээр та .pof үүсгэж болно file төхөөрөмжүүдийг програмчлахад тохиромжтой. 1. Nios V Command Shell-г ажиллуул. 2. On-Chip Flash-ээс Nios V процессорын програмыг ачаалахын тулд дараахыг ашиглана уу
командын мөрийг ашиглан ELF-г HEX болгон хөрвүүлнэ. Энэ тушаал нь хэрэглэгчийн програмыг үүсгэдэг (onchip_flash.hex) file. elf2hex програм хангамж/hal_app/build/ .elf -o onchip_flash.hex
-б -w 8 -e 3. Чип дээрх Flash IP доторх санах ойн контентыг эхлүүлэх сонголтыг сонговол техник хангамжийн дизайныг дахин хөрвүүлнэ үү (1-р арга). Энэ нь програм хангамжийн өгөгдлийг (.HEX) SOF-д оруулах явдал юм file.
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 65
4. Nios V процессорын тохиргоо ба ачаалах шийдэл 726952 | 2025.07.16
4.5.2.3. Програмчлал 1. Quartus Prime дээр дарна уу File Програмчлалыг хөрвүүлэх Fileс. 2. Гаралтын програмчлалын доор file, Программерын объектыг сонгоно уу File (.pof) програмчлалын хувьд file төрөл. 3. Mode-г Дотоод тохиргоонд тохируулна уу.
Зураг 48. Convert Programming File Тохиргоо
4. Options/Boot info… товчийг дарахад MAX 10 Device Options цонх гарч ирнэ. 5. On-chip Flash IP-ийн Initialize flash content тохиргоон дээр үндэслэн гүйцэтгэнэ
дараах алхмуудын аль нэг нь: · Хэрэв Flash контентыг эхлүүлэхийг сонговол (Арга 1), UFM эхлүүлэх өгөгдөл
Quartus Prime эмхэтгэлийн үеэр SOF-д орсон. — UFM эх сурвалжийн хувьд Page_0-г сонгоно уу: сонголт. OK дарж, үргэлжлүүлнэ үү
дараагийн. Зураг 49. Flash агуулгыг эхлүүлэхийг сонговол UFM эх сурвалжийн тохиргоо Page_0
Nios® V суулгагдсан процессорын дизайны гарын авлага 66
Санал хүсэлт илгээх
4. Nios V процессорын тохиргоо ба ачаалах шийдэл 726952 | 2025.07.16
· Initialize flash content-г сонгоогүй бол (2-р арга) Load memory-г сонгоно уу file UFM эх сурвалжийн сонголтын хувьд. Үүсгэсэн чип дээрх Flash HEX-г гүйлгэн үзнэ үү file (onchip_flash.hex) доторх File зам: болон OK дарна уу. Энэ алхам нь UFM өгөгдлийг SOF-д тусад нь нэмнэ file програмчлалын үеэр file хувиргах.
Зураг 50. Ачаалах санах ойг тохируулах File Flash агуулгыг эхлүүлэхийг сонгоогүй бол UFM эх сурвалжийн хувьд
6. Convert Programming дотор File харилцах цонх, оролт дээр fileхэсгийг хувиргах бол Нэмэх дээр дарна уу File… мөн үүсгэсэн Quartus Prime .sof руу заана file.
Зураг 51. Оролт Files-г Convert Programming-д хөрвүүлэх Files нь Ганц зургийн горимд зориулагдсан
7. Generate дээр дарж .pof үүсгэнэ file. 8. .pof программчлах file MAX 10 төхөөрөмжөө оруулна уу. 9. Техник хангамжаа асаана.
4.5.3. Nios V процессорын програмыг Boot Copier ашиглан UFM-ээс RAM руу хуулсан
Altera нь хэрэглээний программ хангамжийг хөгжүүлэх олон давталт, системийн өндөр гүйцэтгэл шаардлагатай MAX 10 FPGA Nios V процессорын системийн загварт энэ шийдлийг санал болгож байна. Ачаалах хувилагч нь UFM дотор дахин тохируулах вектортой ижил хаягтай офсет дээр байрладаг. Nios V програм нь ачаалах хувилагчийн хажууд байрладаг.
Энэ ачаалах сонголтын хувьд Nios V процессор нь системийг дахин тохируулсны дараа програмыг UFM сектороос OCRAM эсвэл гадаад RAM руу хуулахын тулд ачаалах хувилагчийг ажиллуулж эхэлдэг. Хуулбарлаж дууссаны дараа Nios V процессор нь програмын удирдлагыг програм руу шилжүүлдэг.
Жич:
Хэрэглэсэн ачаалах хувилагч нь GSFI-ээр дамжуулан ачаалагчтай ижил байна.
Санал хүсэлт илгээх
Nios® V суулгагдсан процессорын дизайны гарын авлага 67
4. Nios V процессорын тохиргоо ба ачаалах шийдэл 726952 | 2025.07.16
Зураг 52. Boot Copier ашиглан UFM-ээс RAM руу хуулсан Nios V програм
Хамгийн ихдээ 10 төхөөрөмж
.POF
Nios V Техник хангамж .SOF
Nios V програм хангамж .HEX
Ачаалагч .SREC
Квартусын программист
Гадаад RAM
Nios V програм хангамж
Чип дээрх флаш
CFM
Ниос В Хардва
Баримт бичиг / нөөц
![]() |
altera Nios V суулгагдсан процессор [pdf] Хэрэглэгчийн гарын авлага Nios V, Nios Vm, Nios Vg, Nios Vc, Nios V суулгагдсан процессор, Nios V, суулгагдсан процессор, процессор |