ఇతర నియోస్ V ఎంబెడెడ్ ప్రాసెసర్

స్పెసిఫికేషన్లు

  • ఉత్పత్తి పేరు: నియోస్ V ప్రాసెసర్
  • సాఫ్ట్‌వేర్ అనుకూలత: క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్
  • ప్రాసెసర్ రకం: ఆల్టెరా FPGA
  • మెమరీ సిస్టమ్: అస్థిర మరియు అస్థిరత లేని మెమరీ
  • కమ్యూనికేషన్ ఇంటర్‌ఫేస్: UART ఏజెంట్

నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్

నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్‌ను రూపొందించడానికి, ఈ దశలను అనుసరించండి:

  1. ప్లాట్‌ఫామ్ డిజైనర్‌ని ఉపయోగించి నియోస్ V ప్రాసెసర్ సిస్టమ్ డిజైన్‌ను సృష్టించండి.
  2. ఈ వ్యవస్థను క్వార్టస్ ప్రైమ్ ప్రాజెక్ట్‌లో ఇంటిగ్రేట్ చేయండి.
  3. అస్థిర మరియు అస్థిరత లేని మెమరీతో సహా మెమరీ వ్యవస్థను రూపొందించండి.
  4. గడియారాలు మరియు రీసెట్‌ల ఉత్తమ పద్ధతులను అమలు చేయండి.
  5. సమర్థవంతమైన ఆపరేషన్ కోసం డిఫాల్ట్ మరియు UART ఏజెంట్లను కేటాయించండి.

నియోస్ V ప్రాసెసర్ సాఫ్ట్‌వేర్ సిస్టమ్ డిజైన్

నియోస్ V ప్రాసెసర్ కోసం సాఫ్ట్‌వేర్ సిస్టమ్‌ను రూపొందించడానికి:

  1. నియోస్ V ప్రాసెసర్ కోసం సాఫ్ట్‌వేర్ అభివృద్ధి ప్రవాహాన్ని అనుసరించండి.
  2. బోర్డు సపోర్ట్ ప్యాకేజీ ప్రాజెక్ట్ మరియు అప్లికేషన్ ప్రాజెక్ట్‌ను సృష్టించండి.

నియోస్ V ప్రాసెసర్ కాన్ఫిగరేషన్ మరియు బూటింగ్ సొల్యూషన్స్

నియోస్ V ప్రాసెసర్‌ను కాన్ఫిగర్ చేయడానికి మరియు బూట్ చేయడానికి:

  1. కాన్ఫిగరేషన్ మరియు బూటింగ్ సొల్యూషన్స్ పరిచయాన్ని అర్థం చేసుకోండి.
  2. సజావుగా పనిచేయడానికి అప్లికేషన్లను లింక్ చేయండి.

Nios® V ఎంబెడెడ్ ప్రాసెసర్ గురించి
1.1. ఆల్టెరా® FPGA మరియు ఎంబెడెడ్ ప్రాసెసర్లు ఓవర్view
Altera FPGA పరికరాలు అనేక ఎంపికలను అందిస్తూనే పూర్తి మైక్రోప్రాసెసర్‌గా పనిచేసే లాజిక్‌ను అమలు చేయగలవు.
వివిక్త మైక్రోప్రాసెసర్‌లు మరియు ఆల్టెరా FPGA మధ్య ఒక ముఖ్యమైన వ్యత్యాసం ఏమిటంటే, ఆల్టెరా FPGA ఫాబ్రిక్ పవర్ అప్ చేసినప్పుడు ఎటువంటి లాజిక్‌ను కలిగి ఉండదు. Nios® V ప్రాసెసర్ అనేది RISC-V స్పెసిఫికేషన్ ఆధారంగా ఒక సాఫ్ట్ మేధో సంపత్తి (IP) ప్రాసెసర్. మీరు Nios V ప్రాసెసర్ ఆధారిత సిస్టమ్‌లో సాఫ్ట్‌వేర్‌ను అమలు చేసే ముందు, మీరు Nios V ప్రాసెసర్‌ను కలిగి ఉన్న హార్డ్‌వేర్ డిజైన్‌తో ఆల్టెరా FPGA పరికరాన్ని కాన్ఫిగర్ చేయాలి. డిజైన్ అవసరాలను బట్టి మీరు Nios V ప్రాసెసర్‌ను ఆల్టెరా FPGAలో ఎక్కడైనా ఉంచవచ్చు.


మీ Altera® FPGA IP-ఆధారిత ఎంబెడెడ్ సిస్టమ్ వివిక్త మైక్రోప్రాసెసర్-ఆధారిత సిస్టమ్‌గా ప్రవర్తించేలా చేయడానికి, మీ సిస్టమ్ ఈ క్రింది వాటిని కలిగి ఉండాలి: · AJTAG ఆల్టెరా FPGA కాన్ఫిగరేషన్, హార్డ్‌వేర్ మరియు సాఫ్ట్‌వేర్‌లకు మద్దతు ఇచ్చే ఇంటర్‌ఫేస్.
డీబగ్గింగ్ · పవర్-అప్ ఆల్టెరా FPGA కాన్ఫిగరేషన్ మెకానిజం
మీ సిస్టమ్ ఈ సామర్థ్యాలను కలిగి ఉంటే, మీరు Altera FPGAలో లోడ్ చేయబడిన ముందుగా పరీక్షించబడిన హార్డ్‌వేర్ డిజైన్ నుండి మీ డిజైన్‌ను మెరుగుపరచడం ప్రారంభించవచ్చు. Altera FPGAని ఉపయోగించడం వలన సమస్యలను పరిష్కరించడానికి లేదా కొత్త కార్యాచరణను జోడించడానికి మీ డిజైన్‌ను త్వరగా సవరించడానికి కూడా మిమ్మల్ని అనుమతిస్తుంది. మీ సిస్టమ్ యొక్క Jని ఉపయోగించి Altera FPGAని తిరిగి కాన్ఫిగర్ చేయడం ద్వారా మీరు ఈ కొత్త హార్డ్‌వేర్ డిజైన్‌లను సులభంగా పరీక్షించవచ్చు.TAG ఇంటర్ఫేస్.
ది జెTAG ఇంటర్‌ఫేస్ హార్డ్‌వేర్ మరియు సాఫ్ట్‌వేర్ అభివృద్ధికి మద్దతు ఇస్తుంది. మీరు J ని ఉపయోగించి ఈ క్రింది పనులను చేయవచ్చుTAG ఇంటర్‌ఫేస్: · ఆల్టెరా FPGAని కాన్ఫిగర్ చేయండి · సాఫ్ట్‌వేర్‌ను డౌన్‌లోడ్ చేసి డీబగ్ చేయండి · UART-వంటి ఇంటర్‌ఫేస్ ద్వారా ఆల్టెరా FPGAతో కమ్యూనికేట్ చేయండి (JTAG UART
టెర్మినల్) · డీబగ్ హార్డ్‌వేర్ (సిగ్నల్ ట్యాప్ ఎంబెడెడ్ లాజిక్ ఎనలైజర్‌తో) · ప్రోగ్రామ్ ఫ్లాష్ మెమరీ
మీరు Altera FPGAని Nios V ప్రాసెసర్-ఆధారిత డిజైన్‌తో కాన్ఫిగర్ చేసిన తర్వాత, సాఫ్ట్‌వేర్ డెవలప్‌మెంట్ ఫ్లో వివిక్త మైక్రోకంట్రోలర్ డిజైన్‌ల ఫ్లోను పోలి ఉంటుంది.


సంబంధిత సమాచారం · AN 985: నియోస్ V ప్రాసెసర్ ట్యుటోరియల్
ఒక సాధారణ నియోస్ V ప్రాసెసర్ వ్యవస్థను సృష్టించడం మరియు హలో వరల్డ్ అప్లికేషన్‌ను అమలు చేయడం గురించి ఒక శీఘ్ర ప్రారంభ మార్గదర్శి.
© ఆల్టెరా కార్పొరేషన్. ఆల్టెరా, ఆల్టెరా లోగో, `a' లోగో మరియు ఇతర ఆల్టెరా గుర్తులు ఆల్టెరా కార్పొరేషన్ యొక్క ట్రేడ్‌మార్క్‌లు. ఏ సమయంలోనైనా నోటీసు లేకుండా ఏవైనా ఉత్పత్తులు మరియు సేవలకు మార్పులు చేసే హక్కు ఆల్టెరాకు ఉంది. ఆల్టెరా ద్వారా స్పష్టంగా వ్రాతపూర్వకంగా అంగీకరించబడినది తప్ప, ఇక్కడ వివరించిన ఏదైనా సమాచారం, ఉత్పత్తి లేదా సేవ యొక్క అప్లికేషన్ లేదా ఉపయోగం నుండి ఉత్పన్నమయ్యే ఎటువంటి బాధ్యత లేదా బాధ్యతను ఆల్టెరా స్వీకరించదు. ఆల్టెరా కస్టమర్‌లు ఏదైనా ప్రచురించబడిన సమాచారంపై ఆధారపడే ముందు మరియు ఉత్పత్తులు లేదా సేవల కోసం ఆర్డర్‌లు ఇచ్చే ముందు పరికర నిర్దేశాల యొక్క తాజా వెర్షన్‌ను పొందాలని సూచించారు. *ఇతర పేర్లు మరియు బ్రాండ్‌లను ఇతరుల ఆస్తిగా క్లెయిమ్ చేయవచ్చు.

1. Nios® V ఎంబెడెడ్ ప్రాసెసర్ 726952 గురించి | 2025.07.16
· నియోస్ V ప్రాసెసర్ రిఫరెన్స్ మాన్యువల్ నియోస్ V ప్రాసెసర్ పనితీరు బెంచ్‌మార్క్‌లు, ప్రాసెసర్ ఆర్కిటెక్చర్, ప్రోగ్రామింగ్ మోడల్ మరియు కోర్ అమలు గురించి సమాచారాన్ని అందిస్తుంది.
· ఎంబెడెడ్ పెరిఫెరల్స్ IP యూజర్ గైడ్ · నియోస్ V ప్రాసెసర్ సాఫ్ట్‌వేర్ డెవలపర్ హ్యాండ్‌బుక్


Nios V ప్రాసెసర్ సాఫ్ట్‌వేర్ డెవలప్‌మెంట్ ఎన్విరాన్‌మెంట్, అందుబాటులో ఉన్న సాధనాలు మరియు Nios V ప్రాసెసర్‌పై అమలు చేయడానికి సాఫ్ట్‌వేర్‌ను నిర్మించే ప్రక్రియను వివరిస్తుంది. · Altera FPGAs కోసం Ashling* RiscFree* ఇంటిగ్రేటెడ్ డెవలప్‌మెంట్ ఎన్విరాన్‌మెంట్ (IDE) యూజర్ గైడ్ Altera FPGAs ఆర్మ్*-ఆధారిత HPS మరియు Nios V కోర్ ప్రాసెసర్ కోసం RiscFree* ఇంటిగ్రేటెడ్ డెవలప్‌మెంట్ ఎన్విరాన్‌మెంట్ (IDE)ని వివరిస్తుంది. · Nios V ప్రాసెసర్ Altera FPGA IP విడుదల గమనికలు
1.2 Quartus® ప్రైమ్ సాఫ్ట్‌వేర్ మద్దతు
క్వార్టస్® ప్రైమ్ ప్రో ఎడిషన్ సాఫ్ట్‌వేర్ మరియు క్వార్టస్ ప్రైమ్ స్టాండర్డ్ ఎడిషన్ సాఫ్ట్‌వేర్‌లకు నియోస్ V ప్రాసెసర్ బిల్డ్ ఫ్లో భిన్నంగా ఉంటుంది. తేడాల గురించి మరింత సమాచారం కోసం AN 980: నియోస్ V ప్రాసెసర్ క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ సపోర్ట్‌ను చూడండి.
సంబంధిత సమాచారం AN 980: నియోస్ V ప్రాసెసర్ క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ సపోర్ట్
1.3. నియోస్ V ప్రాసెసర్ లైసెన్సింగ్
ప్రతి Nios V ప్రాసెసర్ వేరియంట్ దాని లైసెన్స్ కీని కలిగి ఉంటుంది. మీరు లైసెన్స్ కీని పొందిన తర్వాత, గడువు తేదీ వరకు అన్ని Nios V ప్రాసెసర్ ప్రాజెక్టులకు మీరు అదే లైసెన్స్ కీని ఉపయోగించవచ్చు. మీరు Nios V ప్రాసెసర్ Altera FPGA IP లైసెన్స్‌లను సున్నా ఖర్చుతో పొందవచ్చు.
Nios V ప్రాసెసర్ లైసెన్స్ కీ జాబితా Altera FPGA సెల్ఫ్-సర్వీస్ లైసెన్సింగ్ సెంటర్‌లో అందుబాటులో ఉంది. సైన్ అప్ ఫర్ ఎవాల్యుయేషన్ లేదా ఫ్రీ లైసెన్స్ ట్యాబ్‌పై క్లిక్ చేసి, అభ్యర్థన చేయడానికి సంబంధిత ఎంపికలను ఎంచుకోండి.
చిత్రం 1. ఆల్టెరా FPGA స్వీయ-సేవా లైసెన్సింగ్ కేంద్రం

లైసెన్స్ కీలతో, మీరు వీటిని చేయవచ్చు:
అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 7

1. Nios® V ఎంబెడెడ్ ప్రాసెసర్ 726952 గురించి | 2025.07.16
· మీ సిస్టమ్‌లో నియోస్ V ప్రాసెసర్‌ను అమలు చేయండి. · నియోస్ V ప్రాసెసర్ సిస్టమ్ యొక్క ప్రవర్తనను అనుకరించండి. · పరిమాణం మరియు వేగం వంటి డిజైన్ యొక్క కార్యాచరణను ధృవీకరించండి. · పరికర ప్రోగ్రామింగ్‌ను రూపొందించండి files. · ఒక పరికరాన్ని ప్రోగ్రామ్ చేసి, హార్డ్‌వేర్‌లో డిజైన్‌ను ధృవీకరించండి.
Altera FPGAల కోసం Ashling* RiscFree* IDEలో సాఫ్ట్‌వేర్‌ను అభివృద్ధి చేయడానికి మీకు లైసెన్స్ అవసరం లేదు.
సంబంధిత సమాచారం · Altera FPGA స్వీయ-సేవా లైసెన్సింగ్ కేంద్రం
Nios V ప్రాసెసర్ Altera FPGA IP లైసెన్స్ కీలను పొందడం గురించి మరింత సమాచారం కోసం. · Altera FPGA సాఫ్ట్‌వేర్ ఇన్‌స్టాలేషన్ మరియు లైసెన్సింగ్ Altera FPGA సాఫ్ట్‌వేర్‌కు లైసెన్స్ ఇవ్వడం మరియు స్థిర లైసెన్స్ మరియు నెట్‌వర్క్ లైసెన్స్ సర్వర్‌ను సెటప్ చేయడం గురించి మరింత సమాచారం కోసం.
1.4. ఎంబెడెడ్ సిస్టమ్ డిజైన్
కింది బొమ్మ హార్డ్‌వేర్ మరియు సాఫ్ట్‌వేర్ అభివృద్ధి రెండింటినీ కలిగి ఉన్న సరళీకృత నియోస్ V ప్రాసెసర్ ఆధారిత సిస్టమ్ డిజైన్ ప్రవాహాన్ని వివరిస్తుంది.

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 8

అభిప్రాయాన్ని పంపండి

1. Nios® V ఎంబెడెడ్ ప్రాసెసర్ 726952 గురించి | 2025.07.16

చిత్రం 2.

నియోస్ V ప్రాసెసర్ సిస్టమ్ డిజైన్ ఫ్లో
సిస్టమ్ కాన్సెప్ట్

సిస్టమ్ అవసరాలను విశ్లేషించండి

నియోస్® V
ప్రాసెసర్ కోర్లు మరియు ప్రామాణిక భాగాలు

వ్యవస్థను నిర్వచించి, రూపొందించండి
ప్లాట్‌ఫారమ్ డిజైనర్

హార్డ్‌వేర్ ఫ్లో: ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రాజెక్ట్‌ను ఇంటిగ్రేట్ చేసి కంపైల్ చేయండి

సాఫ్ట్‌వేర్ ఫ్లో: నియోస్ V ప్రతిపాదన సాఫ్ట్‌వేర్‌ను అభివృద్ధి చేయండి మరియు రూపొందించండి

హార్డ్‌వేర్ ఫ్లో: FPGA డిజైన్‌ను డౌన్‌లోడ్ చేయండి
టార్గెట్ బోర్డుకు

సాఫ్ట్‌వేర్ ఫ్లో: నియోస్ V ప్రాసెసర్ సాఫ్ట్‌వేర్‌ను పరీక్షించి డీబగ్ చేయండి

సాఫ్ట్‌వేర్ స్పెసిఫికేషన్‌కు అనుగుణంగా లేదా?
అవును
హార్డ్‌వేర్ స్పెసిఫికేషన్‌కు అనుగుణంగా లేదా? అవును
సిస్టమ్ పూర్తయింది

అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 9

726952 | 2025.07.16 అభిప్రాయాన్ని పంపండి

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్‌తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్

చిత్రం 3.

కింది రేఖాచిత్రం ఒక సాధారణ నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ డిజైన్‌ను వివరిస్తుంది. నియోస్ V ప్రాసెసర్ సిస్టమ్ హార్డ్‌వేర్ డిజైన్ ఫ్లో

ప్రారంభించండి

నియోస్ V కోర్లు మరియు ప్రామాణిక భాగాలు

నియోస్ V ఆధారిత వ్యవస్థను రూపొందించడానికి ప్లాట్‌ఫామ్ డిజైనర్‌ను ఉపయోగించండి.
ప్లాట్‌ఫామ్ డిజైనర్ డిజైన్‌ను రూపొందించండి

ప్లాట్‌ఫామ్ డిజైనర్ సిస్టమ్‌ను ఇంటెల్ క్వార్టస్ ప్రైమ్ ప్రాజెక్ట్‌తో అనుసంధానించండి
పిన్ స్థానాలు, సమయ అవసరాలు మరియు ఇతర డిజైన్ పరిమితులను కేటాయించండి
ఇంటెల్ క్వార్టస్ ప్రైమ్‌లో టార్గెట్ పరికరం కోసం హార్డ్‌వేర్‌ను కంపైల్ చేయండి

డౌన్‌లోడ్ చేయడానికి సిద్ధంగా ఉంది
2.1. ప్లాట్‌ఫామ్ డిజైనర్‌తో నియోస్ V ప్రాసెసర్ సిస్టమ్ డిజైన్‌ను సృష్టించడం
క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ ప్లాట్‌ఫామ్ డిజైనర్ సిస్టమ్ ఇంటిగ్రేషన్ సాధనాన్ని కలిగి ఉంటుంది, ఇది నియోస్ V ప్రాసెసర్ IP కోర్ మరియు ఇతర IPలను ఆల్టెరా FPGA సిస్టమ్ డిజైన్‌లోకి నిర్వచించడం మరియు సమగ్రపరచడం అనే పనిని సులభతరం చేస్తుంది. ప్లాట్‌ఫామ్ డిజైనర్ పేర్కొన్న హై-లెవల్ కనెక్టివిటీ నుండి ఇంటర్‌కనెక్ట్ లాజిక్‌ను స్వయంచాలకంగా సృష్టిస్తుంది. ఇంటర్‌కనెక్ట్ ఆటోమేషన్ సిస్టమ్-స్థాయి HDL కనెక్షన్‌లను పేర్కొనే సమయం తీసుకునే పనిని తొలగిస్తుంది.
© ఆల్టెరా కార్పొరేషన్. ఆల్టెరా, ఆల్టెరా లోగో, `a' లోగో మరియు ఇతర ఆల్టెరా గుర్తులు ఆల్టెరా కార్పొరేషన్ యొక్క ట్రేడ్‌మార్క్‌లు. ఏ సమయంలోనైనా నోటీసు లేకుండా ఏవైనా ఉత్పత్తులు మరియు సేవలకు మార్పులు చేసే హక్కు ఆల్టెరాకు ఉంది. ఆల్టెరా ద్వారా స్పష్టంగా వ్రాతపూర్వకంగా అంగీకరించబడినది తప్ప, ఇక్కడ వివరించిన ఏదైనా సమాచారం, ఉత్పత్తి లేదా సేవ యొక్క అప్లికేషన్ లేదా ఉపయోగం నుండి ఉత్పన్నమయ్యే ఎటువంటి బాధ్యత లేదా బాధ్యతను ఆల్టెరా స్వీకరించదు. ఆల్టెరా కస్టమర్‌లు ఏదైనా ప్రచురించబడిన సమాచారంపై ఆధారపడే ముందు మరియు ఉత్పత్తులు లేదా సేవల కోసం ఆర్డర్‌లు ఇచ్చే ముందు పరికర నిర్దేశాల యొక్క తాజా వెర్షన్‌ను పొందాలని సూచించారు. *ఇతర పేర్లు మరియు బ్రాండ్‌లను ఇతరుల ఆస్తిగా క్లెయిమ్ చేయవచ్చు.

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్‌తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్
726952 | 2025.07.16

సిస్టమ్ హార్డ్‌వేర్ అవసరాలను విశ్లేషించిన తర్వాత, మీ సిస్టమ్‌కు అవసరమైన Nios V ప్రాసెసర్ కోర్, మెమరీ మరియు ఇతర భాగాలను పేర్కొనడానికి మీరు క్వార్టస్ ప్రైమ్‌ను ఉపయోగిస్తారు. ప్లాట్‌ఫామ్ డిజైనర్ హార్డ్‌వేర్ సిస్టమ్‌లోని భాగాలను ఏకీకృతం చేయడానికి ఇంటర్‌కనెక్ట్ లాజిక్‌ను స్వయంచాలకంగా ఉత్పత్తి చేస్తుంది.

2.1.1. నియోస్ V ప్రాసెసర్ ఆల్టెరా FPGA IP ని ఇన్‌స్టాంటియేటింగ్ చేస్తోంది

మీరు ప్లాట్‌ఫామ్ డిజైనర్ IP కాటలాగ్ ప్రాసెసర్‌లు మరియు పెరిఫెరల్స్ ఎంబెడెడ్ ప్రాసెసర్‌లలో ఏదైనా ప్రాసెసర్ IP కోర్‌లను ఇన్‌స్టాంటియేట్ చేయవచ్చు.

ప్రతి ప్రాసెసర్ యొక్క IP కోర్ దాని ప్రత్యేక నిర్మాణం ఆధారంగా విభిన్న కాన్ఫిగరేషన్ ఎంపికలకు మద్దతు ఇస్తుంది. మీ డిజైన్ అవసరాలకు బాగా సరిపోయేలా మీరు ఈ కాన్ఫిగరేషన్‌లను నిర్వచించవచ్చు.

పట్టిక 1.

కోర్ వేరియంట్‌లలో కాన్ఫిగరేషన్ ఎంపికలు

కాన్ఫిగరేషన్ ఎంపికలు

నియోస్ V/c ప్రాసెసర్

నియోస్ V/m ప్రాసెసర్

డీబగ్ వినియోగ రీసెట్ అభ్యర్థన

ఉచ్చులు, మినహాయింపులు మరియు అంతరాయాలు

CPU ఆర్కిటెక్చర్

ECC

కాష్‌లు, పరిధీయ ప్రాంతాలు మరియు TCMలు

కస్టమ్ సూచనలు

లాక్‌స్టెప్

నియోస్ V/g ప్రాసెసర్

2.1.1.1. నియోస్ V/c కాంపాక్ట్ మైక్రోకంట్రోలర్ ఆల్టెరా FPGA IP ని ఇన్‌స్టాంటియేట్ చేస్తోంది చిత్రం 4. నియోస్ V/c కాంపాక్ట్ మైక్రోకంట్రోలర్ ఆల్టెరా FPGA IP

అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 11

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్‌తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్
726952 | 2025.07.16

2.1.1.1.1. CPU ఆర్కిటెక్చర్ ట్యాబ్

పట్టిక 2.

CPU ఆర్కిటెక్చర్ ట్యాబ్

ఫీచర్

వివరణ

Avalon® ఇంటర్‌ఫేస్‌ను ప్రారంభించు ఇన్‌స్ట్రక్షన్ మేనేజర్ మరియు డేటా మేనేజర్ కోసం Avalon ఇంటర్‌ఫేస్‌ను ప్రారంభిస్తుంది. నిలిపివేయబడితే, సిస్టమ్ AXI4-Lite ఇంటర్‌ఫేస్‌ని ఉపయోగిస్తుంది.

మార్టిడ్ CSR విలువ

· చెల్లని IP ఎంపిక. · Nios V/c ప్రాసెసర్‌లో mhartid CSR విలువను ఉపయోగించవద్దు.

2.1.1.1.2. రీసెట్ అభ్యర్థన ట్యాబ్‌ను ఉపయోగించండి

పట్టిక 3.

రీసెట్ అభ్యర్థన ట్యాబ్ పరామితిని ఉపయోగించండి

రీసెట్ అభ్యర్థన ట్యాబ్‌ను ఉపయోగించండి

వివరణ

రీసెట్ అభ్యర్థన ఇంటర్‌ఫేస్‌ను జోడించండి

· Nios V ప్రాసెసర్ సిస్టమ్‌లోని ఇతర భాగాలను ప్రభావితం చేయకుండా రీసెట్ చేయడానికి Nios V ప్రాసెసర్‌ను ట్రిగ్గర్ చేయడానికి స్థానిక మాస్టర్ దీనిని ఉపయోగించగల స్థానిక రీసెట్ పోర్ట్‌లను బహిర్గతం చేయడానికి ఈ ఎంపికను ప్రారంభించండి.
· రీసెట్ ఇంటర్‌ఫేస్‌లో ఇన్‌పుట్ రీసెట్రెక్ సిగ్నల్ మరియు అవుట్‌పుట్ అక్ సిగ్నల్ ఉంటాయి.
· మీరు resetreq సిగ్నల్‌ను ధృవీకరించడం ద్వారా Nios V ప్రాసెసర్ కోర్‌కు రీసెట్‌ను అభ్యర్థించవచ్చు.
· ప్రాసెసర్ ACK సిగ్నల్‌ను నిర్ధారించే వరకు resetreq సిగ్నల్‌ను ధృవీకరించాలి. సిగ్నల్ ధృవీకరించబడకపోతే ప్రాసెసర్ నిర్ణయాత్మక స్థితిలో ఉండకపోవచ్చు.
· Nios V ప్రాసెసర్ అక్ సిగ్నల్‌ను ధృవీకరించడం ద్వారా రీసెట్ విజయవంతమైందని ప్రతిస్పందిస్తుంది.
· ప్రాసెసర్ విజయవంతంగా రీసెట్ చేయబడిన తర్వాత, రీసెట్రెక్ సిగ్నల్ యొక్క డి-అస్సర్షన్ వరకు ack సిగ్నల్ యొక్క అస్సర్షన్ క్రమానుగతంగా అనేకసార్లు జరగవచ్చు.

2.1.1.1.3. ఉచ్చులు, మినహాయింపులు మరియు అంతరాయాల ట్యాబ్

పట్టిక 4.

ట్రాప్‌లు, మినహాయింపులు మరియు అంతరాయాల ట్యాబ్ పారామితులు

ఉచ్చులు, మినహాయింపులు మరియు అంతరాయాలు

వివరణ

ఏజెంట్‌ను రీసెట్ చేయి

· రీసెట్ కోడ్ ఉండే రీసెట్ వెక్టర్ (నియోస్ V ప్రాసెసర్ రీసెట్ చిరునామా)ను హోస్ట్ చేసే మెమరీ.
· మీరు Nios V ప్రాసెసర్ ఇన్‌స్ట్రక్షన్ మాస్టర్‌కు కనెక్ట్ చేయబడిన మరియు Nios V ప్రాసెసర్ బూట్ ఫ్లో ద్వారా మద్దతు ఇవ్వబడిన ఏదైనా మెమరీ మాడ్యూల్‌ను రీసెట్ ఏజెంట్‌గా ఎంచుకోవచ్చు.

ఆఫ్‌సెట్‌ని రీసెట్ చేయండి

· ఎంచుకున్న రీసెట్ ఏజెంట్ యొక్క బేస్ చిరునామాకు సంబంధించి రీసెట్ వెక్టర్ యొక్క ఆఫ్‌సెట్‌ను పేర్కొంటుంది. · ప్లాట్‌ఫామ్ డిజైనర్ స్వయంచాలకంగా రీసెట్ ఆఫ్‌సెట్ కోసం డిఫాల్ట్ విలువను అందిస్తుంది.

గమనిక:

ప్లాట్‌ఫామ్ డిజైనర్ ఒక అబ్సొల్యూట్ ఎంపికను అందిస్తుంది, ఇది రీసెట్ ఆఫ్‌సెట్‌లో అబ్సొల్యూట్ చిరునామాను పేర్కొనడానికి మిమ్మల్ని అనుమతిస్తుంది. రీసెట్ వెక్టర్‌ను నిల్వ చేసే మెమరీ ప్రాసెసర్ సిస్టమ్ మరియు సబ్‌సిస్టమ్‌ల వెలుపల ఉన్నప్పుడు ఈ ఎంపికను ఉపయోగించండి.

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 12

అభిప్రాయాన్ని పంపండి

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్‌తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్
726952 | 2025.07.16

2.1.1.1.4. ECC ట్యాబ్

పట్టిక 5.

ECC ట్యాబ్

ECC

ఎర్రర్ డిటెక్షన్ మరియు స్టేటస్ రిపోర్టింగ్‌ను ప్రారంభించండి

వివరణ
· Nios V ప్రాసెసర్ అంతర్గత RAM బ్లాక్‌లకు ECC ఫీచర్‌ను వర్తింపజేయడానికి ఈ ఎంపికను ప్రారంభించండి. · ECC ఫీచర్‌లు 2-బిట్‌ల వరకు లోపాలను గుర్తించి, కింది ప్రవర్తన ఆధారంగా ప్రతిస్పందిస్తాయి:
— ఇది సరిదిద్దగల లోపం 1-బిట్ అయితే, ప్రాసెసర్ పైప్‌లైన్‌లోని లోపాన్ని సరిదిద్దిన తర్వాత ప్రాసెసర్ పనిచేయడం కొనసాగిస్తుంది. అయితే, దిద్దుబాటు సోర్స్ మెమరీలలో ప్రతిబింబించదు.
— లోపం సరిదిద్దలేకపోతే, ప్రాసెసర్ పైప్‌లైన్ మరియు సోర్స్ మెమరీలలో దాన్ని సరిదిద్దకుండా ప్రాసెసర్ పనిచేయడం కొనసాగిస్తుంది, దీని వలన ప్రాసెసర్ నిర్ణయించలేని స్థితిలోకి ప్రవేశించవచ్చు.

2.1.1.2. నియోస్ V/m మైక్రోకంట్రోలర్ ఆల్టెరా FPGA IP ని ఇన్‌స్టాంటియేట్ చేయడం చిత్రం 5. నియోస్ V/m మైక్రోకంట్రోలర్ ఆల్టెరా FPGA IP

అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 13

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్‌తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్
726952 | 2025.07.16

2.1.1.2.1. డీబగ్ ట్యాబ్

పట్టిక 6.

ట్యాబ్ పారామితులను డీబగ్ చేయండి

డీబగ్ ట్యాబ్

వివరణ

డీబగ్‌ను ప్రారంభించండి
డీబగ్ మాడ్యూల్ నుండి రీసెట్‌ను ప్రారంభించండి

· J ని జోడించడానికి ఈ ఎంపికను ప్రారంభించండిTAG నియోస్ V ప్రాసెసర్‌కు టార్గెట్ కనెక్షన్ మాడ్యూల్. · ది జెTAG టార్గెట్ కనెక్షన్ మాడ్యూల్ నియోస్ V ప్రాసెసర్‌కి కనెక్ట్ అవ్వడానికి అనుమతిస్తుంది
JTAG FPGA యొక్క ఇంటర్‌ఫేస్ పిన్‌లు. · కనెక్షన్ కింది ప్రాథమిక సామర్థ్యాలను అందిస్తుంది:
— Nios V ప్రాసెసర్‌ను ప్రారంభించండి మరియు ఆపండి — రిజిస్టర్‌లు మరియు మెమరీని పరిశీలించండి మరియు సవరించండి. — Nios V అప్లికేషన్‌ను డౌన్‌లోడ్ చేయండి .elf file రన్‌టైమ్‌లో ప్రాసెసర్ మెమరీకి దీని ద్వారా
niosv-డౌన్‌లోడ్. — Nios V ప్రాసెసర్‌లో నడుస్తున్న అప్లికేషన్‌ను డీబగ్ చేయండి · dm_agent పోర్ట్‌ను ప్రాసెసర్ ఇన్‌స్ట్రక్షన్ మరియు డేటా బస్‌కు కనెక్ట్ చేయండి. రెండు బస్సుల మధ్య బేస్ చిరునామా ఒకేలా ఉందని నిర్ధారించుకోండి.
· dbg_reset_out మరియు ndm_reset_in పోర్ట్‌లను బహిర్గతం చేయడానికి ఈ ఎంపికను ప్రారంభించండి. · JTAG డీబగ్గర్ లేదా niosv-download -r కమాండ్ dbg_reset_out ను ట్రిగ్గర్ చేస్తుంది, ఇది
ఈ పోర్ట్‌కు కనెక్ట్ అయ్యే సిస్టమ్ పెరిఫెరల్స్‌ను రీసెట్ చేయడానికి Nios V ప్రాసెసర్‌ను అనుమతిస్తుంది. · మీరు dbg_reset_out ఇంటర్‌ఫేస్‌ను రీసెట్ చేయడానికి బదులుగా ndm_reset_in కి కనెక్ట్ చేయాలి.
ప్రాసెసర్ కోర్ మరియు టైమర్ మాడ్యూల్‌కు రీసెట్‌ను ట్రిగ్గర్ చేయడానికి ఇంటర్‌ఫేస్. అనిశ్చిత ప్రవర్తనను నివారించడానికి మీరు ఇంటర్‌ఫేస్‌ను రీసెట్ చేయడానికి dbg_reset_out ఇంటర్‌ఫేస్‌ను కనెక్ట్ చేయకూడదు.

2.1.1.2.2. రీసెట్ అభ్యర్థన ట్యాబ్‌ను ఉపయోగించండి

పట్టిక 7.

రీసెట్ అభ్యర్థన ట్యాబ్ పరామితిని ఉపయోగించండి

రీసెట్ అభ్యర్థన ట్యాబ్‌ను ఉపయోగించండి

వివరణ

రీసెట్ అభ్యర్థన ఇంటర్‌ఫేస్‌ను జోడించండి

· Nios V ప్రాసెసర్ సిస్టమ్‌లోని ఇతర భాగాలను ప్రభావితం చేయకుండా రీసెట్ చేయడానికి Nios V ప్రాసెసర్‌ను ట్రిగ్గర్ చేయడానికి స్థానిక మాస్టర్ దీనిని ఉపయోగించగల స్థానిక రీసెట్ పోర్ట్‌లను బహిర్గతం చేయడానికి ఈ ఎంపికను ప్రారంభించండి.
· రీసెట్ ఇంటర్‌ఫేస్‌లో ఇన్‌పుట్ రీసెట్రెక్ సిగ్నల్ మరియు అవుట్‌పుట్ అక్ సిగ్నల్ ఉంటాయి.
· మీరు resetreq సిగ్నల్‌ను ధృవీకరించడం ద్వారా Nios V ప్రాసెసర్ కోర్‌కు రీసెట్‌ను అభ్యర్థించవచ్చు.
· ప్రాసెసర్ ACK సిగ్నల్‌ను నిర్ధారించే వరకు resetreq సిగ్నల్‌ను ధృవీకరించాలి. సిగ్నల్ ధృవీకరించబడకపోతే ప్రాసెసర్ నిర్ణయాత్మక స్థితిలో ఉండకపోవచ్చు.
· డీబగ్ మోడ్‌లో రీసెట్‌రెక్ సిగ్నల్ యొక్క వాదన ప్రాసెసర్ స్థితిపై ఎటువంటి ప్రభావాన్ని చూపదు.
· Nios V ప్రాసెసర్ అక్ సిగ్నల్‌ను ధృవీకరించడం ద్వారా రీసెట్ విజయవంతమైందని ప్రతిస్పందిస్తుంది.
· ప్రాసెసర్ విజయవంతంగా రీసెట్ చేయబడిన తర్వాత, రీసెట్రెక్ సిగ్నల్ యొక్క డి-అస్సర్షన్ వరకు ack సిగ్నల్ యొక్క అస్సర్షన్ క్రమానుగతంగా అనేకసార్లు జరగవచ్చు.

2.1.1.2.3. ఉచ్చులు, మినహాయింపులు మరియు అంతరాయాల ట్యాబ్

పట్టిక 8.

ఉచ్చులు, మినహాయింపులు మరియు అంతరాయాల ట్యాబ్

ఉచ్చులు, మినహాయింపులు మరియు అంతరాయాల ట్యాబ్

వివరణ

ఏజెంట్‌ను రీసెట్ చేయి

· రీసెట్ కోడ్ ఉండే రీసెట్ వెక్టర్ (నియోస్ V ప్రాసెసర్ రీసెట్ చిరునామా)ను హోస్ట్ చేసే మెమరీ.
· మీరు Nios V ప్రాసెసర్ ఇన్‌స్ట్రక్షన్ మాస్టర్‌కు కనెక్ట్ చేయబడిన మరియు Nios V ప్రాసెసర్ బూట్ ఫ్లో ద్వారా మద్దతు ఇవ్వబడిన ఏదైనా మెమరీ మాడ్యూల్‌ను రీసెట్ ఏజెంట్‌గా ఎంచుకోవచ్చు.

ఆఫ్‌సెట్ ఇంటరప్ట్ మోడ్‌ను రీసెట్ చేయండి

· ఎంచుకున్న రీసెట్ ఏజెంట్ యొక్క బేస్ చిరునామాకు సంబంధించి రీసెట్ వెక్టర్ యొక్క ఆఫ్‌సెట్‌ను పేర్కొంటుంది. · ప్లాట్‌ఫామ్ డిజైనర్ స్వయంచాలకంగా రీసెట్ ఆఫ్‌సెట్ కోసం డిఫాల్ట్ విలువను అందిస్తుంది.
డైరెక్ట్ లేదా వెక్టర్డ్ ఇంటరప్ట్ కంట్రోలర్ రకాన్ని నిర్దిష్టంగా పేర్కొనండి. గమనిక: నియోస్ V/m నాన్-పైప్‌లైన్డ్ ప్రాసెసర్ వెక్టర్డ్ ఇంటరప్ట్‌లకు మద్దతు ఇవ్వదు.
కాబట్టి, ప్రాసెసర్ నాన్‌పైప్‌లైన్డ్ మోడ్‌లో ఉన్నప్పుడు వెక్టర్డ్ ఇంటరప్ట్ మోడ్‌ను ఉపయోగించకుండా ఉండండి.

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 14

అభిప్రాయాన్ని పంపండి

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్‌తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్
726952 | 2025.07.16

గమనిక:

ప్లాట్‌ఫామ్ డిజైనర్ ఒక అబ్సొల్యూట్ ఎంపికను అందిస్తుంది, ఇది రీసెట్ ఆఫ్‌సెట్‌లో అబ్సొల్యూట్ చిరునామాను పేర్కొనడానికి మిమ్మల్ని అనుమతిస్తుంది. రీసెట్ వెక్టర్‌ను నిల్వ చేసే మెమరీ ప్రాసెసర్ సిస్టమ్ మరియు సబ్‌సిస్టమ్‌ల వెలుపల ఉన్నప్పుడు ఈ ఎంపికను ఉపయోగించండి.

2.1.1.2.4. CPU ఆర్కిటెక్చర్

పట్టిక 9.

CPU ఆర్కిటెక్చర్ ట్యాబ్ పారామితులు

CPU ఆర్కిటెక్చర్

వివరణ

CPU లో పైప్‌లైనింగ్‌ను ప్రారంభించండి

· పైప్‌లైన్ చేయబడిన Nios V/m ప్రాసెసర్‌ను ఇన్‌స్టాంటియేట్ చేయడానికి ఈ ఎంపికను ప్రారంభించండి. — అధిక లాజిక్ ప్రాంతం మరియు తక్కువ Fmax ఫ్రీక్వెన్సీ ఖర్చుతో IPC ఎక్కువగా ఉంటుంది.
· పైప్‌లైన్ చేయని నియోస్ V/m ప్రాసెసర్‌ను ఇన్‌స్టాంటియేట్ చేయడానికి ఈ ఎంపికను నిలిపివేయండి. — నియోస్ V/c ప్రాసెసర్ మాదిరిగానే కోర్ పనితీరును కలిగి ఉంటుంది. — డీబగ్గింగ్ మరియు అంతరాయ సామర్థ్యాన్ని మద్దతు ఇస్తుంది — తక్కువ లాజిక్ ప్రాంతం మరియు తక్కువ IPC ఖర్చుతో అధిక Fmax ఫ్రీక్వెన్సీ.

అవలోన్ ఇంటర్‌ఫేస్‌ను ప్రారంభించండి

ఇన్‌స్ట్రక్షన్ మేనేజర్ మరియు డేటా మేనేజర్ కోసం అవలోన్ ఇంటర్‌ఫేస్‌ను ప్రారంభిస్తుంది. నిలిపివేయబడితే, సిస్టమ్ AXI4-Lite ఇంటర్‌ఫేస్‌ను ఉపయోగిస్తుంది.

మార్టిడ్ CSR విలువ

· డిఫాల్ట్‌గా హార్ట్ ID రిజిస్టర్ (mhartid) విలువ 0. · 0 మరియు 4094 మధ్య విలువను కేటాయించండి. · Altera FPGA Avalon Mutex Core HAL APIతో అనుకూలమైనది.

సంబంధిత సమాచారం ఎంబెడెడ్ పెరిఫెరల్ IP యూజర్ గైడ్ – ఇంటెల్ FPGA అవలోన్® మ్యూటెక్స్ కోర్

2.1.1.2.5. ECC ట్యాబ్
పట్టిక 10. ECC ట్యాబ్
ECC ఎర్రర్ డిటెక్షన్ మరియు స్టేటస్ రిపోర్టింగ్‌ను ప్రారంభించండి

వివరణ
· Nios V ప్రాసెసర్ అంతర్గత RAM బ్లాక్‌లకు ECC ఫీచర్‌ను వర్తింపజేయడానికి ఈ ఎంపికను ప్రారంభించండి. · ECC ఫీచర్‌లు 2-బిట్‌ల వరకు లోపాలను గుర్తించి, కింది ప్రవర్తన ఆధారంగా ప్రతిస్పందిస్తాయి:
— ఇది సరిదిద్దగల లోపం 1-బిట్ అయితే, ప్రాసెసర్ పైప్‌లైన్‌లోని లోపాన్ని సరిదిద్దిన తర్వాత ప్రాసెసర్ పనిచేయడం కొనసాగిస్తుంది. అయితే, దిద్దుబాటు సోర్స్ మెమరీలలో ప్రతిబింబించదు.
— లోపం సరిదిద్దలేకపోతే, ప్రాసెసర్ పైప్‌లైన్ మరియు సోర్స్ మెమరీలలో దాన్ని సరిదిద్దకుండా ప్రాసెసర్ పనిచేయడం కొనసాగిస్తుంది, దీని వలన ప్రాసెసర్ నిర్ణయించలేని స్థితిలోకి ప్రవేశించవచ్చు.

అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 15

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్‌తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్
726952 | 2025.07.16
2.1.1.3. నియోస్ V/g జనరల్ పర్పస్ ప్రాసెసర్ ఆల్టెరా FPGA IP ని ఇన్‌స్టాంటియేటింగ్ చేయడం
చిత్రం 6. నియోస్ V/g జనరల్ పర్పస్ ప్రాసెసర్ ఆల్టెరా FPGA IP – పార్ట్ 1

చిత్రం 7.

Nios V/g జనరల్ పర్పస్ ప్రాసెసర్ Altera FPGA IP – పార్ట్ 2 (కోర్ లెవల్ ఇంటరప్ట్ కంట్రోలర్‌ను ఎనేబుల్ చేయడాన్ని ఆఫ్ చేయండి)

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 16

అభిప్రాయాన్ని పంపండి

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్‌తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్
726952 | 2025.07.16

చిత్రం 8.

Nios V/g జనరల్ పర్పస్ ప్రాసెసర్ Altera FPGA IP – పార్ట్ 2 (కోర్ లెవల్ ఇంటరప్ట్ కంట్రోలర్‌ను ఎనేబుల్ చేయడాన్ని ఆన్ చేయండి)

చిత్రం 9. నియోస్ V/g జనరల్ పర్పస్ ప్రాసెసర్ ఆల్టెరా FPGA IP – పార్ట్ 3

అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 17

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్‌తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్
726952 | 2025.07.16
చిత్రం 10. నియోస్ V/g జనరల్ పర్పస్ ప్రాసెసర్ ఆల్టెరా FPGA IP – పార్ట్ 4

2.1.1.3.1. CPU ఆర్కిటెక్చర్

పట్టిక 11. CPU ఆర్కిటెక్చర్ పారామితులు

CPU ఆర్కిటెక్చర్ ట్యాబ్ ఫ్లోటింగ్ పాయింట్ యూనిట్‌ను ప్రారంభించు

వివరణ ప్రాసెసర్ కోర్‌లో ఫ్లోటింగ్-పాయింట్ యూనిట్ (“F” ఎక్స్‌టెన్షన్) జోడించడానికి ఈ ఎంపికను ప్రారంభించండి.

బ్రాంచ్ ప్రిడిక్షన్‌ను ప్రారంభించండి

బ్రాంచ్ సూచనల కోసం స్టాటిక్ బ్రాంచ్ ప్రిడిక్షన్ (బ్యాక్‌వర్డ్ టేకెన్ మరియు ఫార్వర్డ్ నాట్ టేకెన్)ను ప్రారంభించండి.

మార్టిడ్ CSR విలువ

· డిఫాల్ట్‌గా హార్ట్ ID రిజిస్టర్ (mhartid) విలువ 0. · 0 మరియు 4094 మధ్య విలువను కేటాయించండి. · Altera FPGA Avalon Mutex Core HAL APIతో అనుకూలమైనది.

FPU కోసం FSQRT & FDIV సూచనలను నిలిపివేయండి

· FPU లో ఫ్లోటింగ్-పాయింట్ స్క్వేర్ రూట్ (FSQRT) మరియు ఫ్లోటింగ్-పాయింట్ డివిజన్ (FDIV) ఆపరేషన్లను తొలగించండి.
· రన్‌టైమ్ సమయంలో రెండు సూచనలపై సాఫ్ట్‌వేర్ ఎమ్యులేషన్‌ను వర్తింపజేయండి.

సంబంధిత సమాచారం ఎంబెడెడ్ పెరిఫెరల్ IP యూజర్ గైడ్ – ఇంటెల్ FPGA అవలోన్® మ్యూటెక్స్ కోర్

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 18

అభిప్రాయాన్ని పంపండి

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్‌తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్
726952 | 2025.07.16

2.1.1.3.2. డీబగ్ ట్యాబ్

పట్టిక 12. డీబగ్ ట్యాబ్ పారామితులు

డీబగ్ ట్యాబ్

వివరణ

డీబగ్‌ను ప్రారంభించండి
డీబగ్ మాడ్యూల్ నుండి రీసెట్‌ను ప్రారంభించండి

· J ని జోడించడానికి ఈ ఎంపికను ప్రారంభించండిTAG నియోస్ V ప్రాసెసర్‌కు టార్గెట్ కనెక్షన్ మాడ్యూల్. · ది జెTAG టార్గెట్ కనెక్షన్ మాడ్యూల్ నియోస్ V ప్రాసెసర్‌కి కనెక్ట్ అవ్వడానికి అనుమతిస్తుంది
JTAG FPGA యొక్క ఇంటర్‌ఫేస్ పిన్‌లు. · కనెక్షన్ కింది ప్రాథమిక సామర్థ్యాలను అందిస్తుంది:
— Nios V ప్రాసెసర్‌ను ప్రారంభించండి మరియు ఆపండి — రిజిస్టర్‌లు మరియు మెమరీని పరిశీలించండి మరియు సవరించండి. — Nios V అప్లికేషన్‌ను డౌన్‌లోడ్ చేయండి .elf file రన్‌టైమ్‌లో ప్రాసెసర్ మెమరీకి దీని ద్వారా
niosv-డౌన్‌లోడ్. — Nios V ప్రాసెసర్‌లో నడుస్తున్న అప్లికేషన్‌ను డీబగ్ చేయండి · dm_agent పోర్ట్‌ను ప్రాసెసర్ ఇన్‌స్ట్రక్షన్ మరియు డేటా బస్‌కు కనెక్ట్ చేయండి. రెండు బస్సుల మధ్య బేస్ చిరునామా ఒకేలా ఉందని నిర్ధారించుకోండి.
· dbg_reset_out మరియు ndm_reset_in పోర్ట్‌లను బహిర్గతం చేయడానికి ఈ ఎంపికను ప్రారంభించండి. · JTAG డీబగ్గర్ లేదా niosv-download -r కమాండ్ dbg_reset_out ను ట్రిగ్గర్ చేస్తుంది, ఇది
ఈ పోర్ట్‌కు కనెక్ట్ అయ్యే సిస్టమ్ పెరిఫెరల్స్‌ను రీసెట్ చేయడానికి Nios V ప్రాసెసర్‌ను అనుమతిస్తుంది. · మీరు dbg_reset_out ఇంటర్‌ఫేస్‌ను రీసెట్ చేయడానికి బదులుగా ndm_reset_in కి కనెక్ట్ చేయాలి.
ప్రాసెసర్ కోర్ మరియు టైమర్ మాడ్యూల్‌కు రీసెట్‌ను ట్రిగ్గర్ చేయడానికి ఇంటర్‌ఫేస్. అనిశ్చిత ప్రవర్తనను నివారించడానికి మీరు ఇంటర్‌ఫేస్‌ను రీసెట్ చేయడానికి dbg_reset_out ఇంటర్‌ఫేస్‌ను కనెక్ట్ చేయకూడదు.

2.1.1.3.3. లాక్‌స్టెప్ ట్యాబ్ టేబుల్ 13. లాక్‌స్టెప్ ట్యాబ్
పారామితులు లాక్‌స్టెప్ డిఫాల్ట్ గడువు ముగింపు వ్యవధిని ప్రారంభించండి విస్తరించిన రీసెట్ ఇంటర్‌ఫేస్‌ను ప్రారంభించండి

వివరణ · డ్యూయల్ కోర్ లాక్‌స్టెప్ సిస్టమ్‌ను ప్రారంభించండి. · రీసెట్ నిష్క్రమణలో ప్రోగ్రామబుల్ సమయం ముగిసే డిఫాల్ట్ విలువ (0 మరియు 255 మధ్య). · ఎక్స్‌టెండెడ్ రీసెట్ కంట్రోల్ కోసం ఐచ్ఛిక ఎక్స్‌టెండెడ్ రీసెట్ ఇంటర్‌ఫేస్‌ను ప్రారంభించండి. · నిలిపివేయబడినప్పుడు, fRSmartComp బేసిక్ రీసెట్ కంట్రోల్‌ను అమలు చేస్తుంది.

2.1.1.3.4. రీసెట్ అభ్యర్థన ట్యాబ్‌ను ఉపయోగించండి

పట్టిక 14. రీసెట్ అభ్యర్థన ట్యాబ్ పరామితిని ఉపయోగించండి

రీసెట్ అభ్యర్థన ట్యాబ్‌ను ఉపయోగించండి

వివరణ

రీసెట్ అభ్యర్థన ఇంటర్‌ఫేస్‌ను జోడించండి

· Nios V ప్రాసెసర్ సిస్టమ్‌లోని ఇతర భాగాలను ప్రభావితం చేయకుండా రీసెట్ చేయడానికి Nios V ప్రాసెసర్‌ను ట్రిగ్గర్ చేయడానికి స్థానిక మాస్టర్ దీనిని ఉపయోగించగల స్థానిక రీసెట్ పోర్ట్‌లను బహిర్గతం చేయడానికి ఈ ఎంపికను ప్రారంభించండి.
· రీసెట్ ఇంటర్‌ఫేస్‌లో ఇన్‌పుట్ రీసెట్రెక్ సిగ్నల్ మరియు అవుట్‌పుట్ అక్ సిగ్నల్ ఉంటాయి.
· మీరు resetreq సిగ్నల్‌ను ధృవీకరించడం ద్వారా Nios V ప్రాసెసర్ కోర్‌కు రీసెట్‌ను అభ్యర్థించవచ్చు.
· ప్రాసెసర్ ACK సిగ్నల్‌ను నిర్ధారించే వరకు resetreq సిగ్నల్‌ను ధృవీకరించాలి. సిగ్నల్ ధృవీకరించబడకపోతే ప్రాసెసర్ నిర్ణయాత్మక స్థితిలో ఉండకపోవచ్చు.
· డీబగ్ మోడ్‌లో రీసెట్‌రెక్ సిగ్నల్ యొక్క వాదన ప్రాసెసర్ స్థితిపై ఎటువంటి ప్రభావాన్ని చూపదు.
· Nios V ప్రాసెసర్ అక్ సిగ్నల్‌ను ధృవీకరించడం ద్వారా రీసెట్ విజయవంతమైందని ప్రతిస్పందిస్తుంది.
· ప్రాసెసర్ విజయవంతంగా రీసెట్ చేయబడిన తర్వాత, రీసెట్రెక్ సిగ్నల్ యొక్క డి-అస్సర్షన్ వరకు ack సిగ్నల్ యొక్క అస్సర్షన్ క్రమానుగతంగా అనేకసార్లు జరగవచ్చు.

అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 19

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్‌తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్
726952 | 2025.07.16

2.1.1.3.5. ఉచ్చులు, మినహాయింపులు మరియు అంతరాయాల ట్యాబ్

పట్టిక 15.

కోర్ లెవల్ ఇంటరప్ట్ కంట్రోలర్‌ను ప్రారంభించు ఆపివేయబడినప్పుడు ట్రాప్‌లు, మినహాయింపులు మరియు అంతరాయాల ట్యాబ్

ఉచ్చులు, మినహాయింపులు మరియు అంతరాయాల ట్యాబ్
ఏజెంట్‌ను రీసెట్ చేయి

వివరణ
· రీసెట్ కోడ్ ఉండే రీసెట్ వెక్టర్ (నియోస్ V ప్రాసెసర్ రీసెట్ చిరునామా)ను హోస్ట్ చేసే మెమరీ.
· మీరు Nios V ప్రాసెసర్ ఇన్‌స్ట్రక్షన్ మాస్టర్‌కు కనెక్ట్ చేయబడిన మరియు Nios V ప్రాసెసర్ బూట్ ఫ్లో ద్వారా మద్దతు ఇవ్వబడిన ఏదైనా మెమరీ మాడ్యూల్‌ను రీసెట్ ఏజెంట్‌గా ఎంచుకోవచ్చు.

ఆఫ్‌సెట్‌ని రీసెట్ చేయండి

· ఎంచుకున్న రీసెట్ ఏజెంట్ యొక్క బేస్ చిరునామాకు సంబంధించి రీసెట్ వెక్టర్ యొక్క ఆఫ్‌సెట్‌ను పేర్కొంటుంది. · ప్లాట్‌ఫామ్ డిజైనర్ స్వయంచాలకంగా రీసెట్ ఆఫ్‌సెట్ కోసం డిఫాల్ట్ విలువను అందిస్తుంది.

కోర్ లెవల్ ఇంటరప్ట్ కంట్రోలర్ (CLIC) ను ప్రారంభించండి

· ప్రీ-ఎంప్టివ్ అంతరాయాలు మరియు కాన్ఫిగర్ చేయగల అంతరాయ ట్రిగ్గర్ స్థితికి మద్దతు ఇవ్వడానికి CLICని ప్రారంభించండి.
· ప్రారంభించబడినప్పుడు, మీరు ప్లాట్‌ఫారమ్ అంతరాయాల సంఖ్యను కాన్ఫిగర్ చేయవచ్చు, ట్రిగ్గర్ పరిస్థితులను సెట్ చేయవచ్చు మరియు కొన్ని అంతరాయాలను ముందస్తుగా పేర్కొనవచ్చు.

ఇంటరప్ట్ మోడ్ షాడో రిజిస్టర్ Files

అంతరాయ రకాలను డైరెక్ట్ లేదా వెక్టర్డ్ గా పేర్కొనండి. అంతరాయ సమయంలో సందర్భ మార్పిడిని తగ్గించడానికి షాడో రిజిస్టర్‌ను ప్రారంభించు.

పట్టిక 16.

కోర్ లెవల్ ఇంటరప్ట్ కంట్రోలర్‌ను ప్రారంభించినప్పుడు ట్రాప్‌లు, మినహాయింపులు మరియు అంతరాయాలు

ఉచ్చులు, మినహాయింపులు మరియు అంతరాయాలు

వివరణలు

ఏజెంట్‌ను రీసెట్ చేయి
ఆఫ్‌సెట్‌ని రీసెట్ చేయండి
కోర్ లెవల్ ఇంటరప్ట్ కంట్రోలర్ (CLIC) ను ప్రారంభించండి

· రీసెట్ కోడ్ ఉండే రీసెట్ వెక్టర్ (నియోస్ V ప్రాసెసర్ రీసెట్ చిరునామా)ను హోస్ట్ చేసే మెమరీ.
· మీరు Nios V ప్రాసెసర్ ఇన్‌స్ట్రక్షన్ మాస్టర్‌కు కనెక్ట్ చేయబడిన మరియు Nios V ప్రాసెసర్ బూట్ ఫ్లో ద్వారా మద్దతు ఇవ్వబడిన ఏదైనా మెమరీ మాడ్యూల్‌ను రీసెట్ ఏజెంట్‌గా ఎంచుకోవచ్చు.
· ఎంచుకున్న రీసెట్ ఏజెంట్ యొక్క బేస్ చిరునామాకు సంబంధించి రీసెట్ వెక్టర్ యొక్క ఆఫ్‌సెట్‌ను పేర్కొంటుంది. · ప్లాట్‌ఫామ్ డిజైనర్ స్వయంచాలకంగా రీసెట్ ఆఫ్‌సెట్ కోసం డిఫాల్ట్ విలువను అందిస్తుంది.
· ప్రీ-ఎమ్ప్టివ్ అంతరాయాలకు మరియు కాన్ఫిగర్ చేయగల అంతరాయ ట్రిగ్గర్ స్థితికి మద్దతు ఇవ్వడానికి CLICని ప్రారంభించండి. · ప్రారంభించబడినప్పుడు, మీరు ప్లాట్‌ఫారమ్ అంతరాయాల సంఖ్యను కాన్ఫిగర్ చేయవచ్చు, ట్రిగ్గర్ పరిస్థితులను సెట్ చేయవచ్చు,
మరియు కొన్ని అంతరాయాలను ముందస్తుగా గుర్తించండి.

అంతరాయ మోడ్

· అంతరాయ రకాలను డైరెక్ట్, వెక్టర్డ్ లేదా CLICగా పేర్కొనండి.

షాడో రిజిస్టర్ Files

· అంతరాయంపై సందర్భ మార్పిడిని తగ్గించడానికి షాడో రిజిస్టర్‌ను ప్రారంభించండి.
· రెండు విధానాలను అందిస్తుంది:
— CLIC అంతరాయ స్థాయిల సంఖ్య
— CLIC అంతరాయ స్థాయిల సంఖ్య – 1: మీరు రిజిస్టర్ సంఖ్యను కోరుకున్నప్పుడు ఈ ఎంపిక ఉపయోగపడుతుంది file M20K లేదా M9K బ్లాక్‌ల ఖచ్చితమైన సంఖ్యలో సరిపోయేలా కాపీలు.
· షాడో రిజిస్టర్‌ను ఉపయోగించడానికి నియోస్ V ప్రాసెసర్‌ను ప్రారంభించండి fileఅంతరాయంపై కాంటెక్స్ట్ స్విచింగ్ ఓవర్‌హెడ్‌ను తగ్గించే s.
షాడో రిజిస్టర్ గురించి మరిన్ని వివరాలకు files, Nios V ప్రాసెసర్ రిఫరెన్స్ మాన్యువల్ చూడండి.

ప్లాట్‌ఫామ్ అంతరాయ మూలాల సంఖ్య

· 16 నుండి 2048 మధ్య ప్లాట్‌ఫారమ్ అంతరాయాల సంఖ్యను పేర్కొంటుంది.
గమనిక: CLIC 2064 వరకు ఇంటరప్ట్ ఇన్‌పుట్‌లకు మద్దతు ఇస్తుంది మరియు మొదటి 16 ఇంటరప్ట్ ఇన్‌పుట్‌లు కూడా బేసిక్ ఇంటరప్ట్ కంట్రోలర్‌కు అనుసంధానించబడి ఉంటాయి.

CLIC వెక్టర్ టేబుల్ అలైన్‌మెంట్

· ప్లాట్‌ఫామ్ అంతరాయ మూలాల సంఖ్య ఆధారంగా స్వయంచాలకంగా నిర్ణయించబడుతుంది. · మీరు సిఫార్సు చేసిన విలువ కంటే తక్కువ అమరికను ఉపయోగిస్తే, CLIC లాజిక్‌ను పెంచుతుంది
వెక్టరింగ్ గణనలను నిర్వహించడానికి అదనపు యాడర్‌ను జోడించడం ద్వారా సంక్లిష్టత. · మీరు సిఫార్సు చేయబడిన విలువ కంటే తక్కువ అమరికను ఉపయోగిస్తే, దీని ఫలితంగా
CLIC లో లాజిక్ సంక్లిష్టత.
కొనసాగింది…

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 20

అభిప్రాయాన్ని పంపండి

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్‌తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్
726952 | 2025.07.16

ఉచ్చులు, మినహాయింపులు మరియు అంతరాయాలు
అంతరాయ స్థాయిల సంఖ్య
స్థాయికి అంతరాయ ప్రాధాన్యతల సంఖ్య
కాన్ఫిగర్ చేయగల అంతరాయ ధ్రువణత మద్దతు అంచు ట్రిగ్గర్ చేయబడిన అంతరాయాలు

వివరణలు
· అప్లికేషన్ కోడ్ కోసం అదనపు స్థాయి 0 తో అంతరాయ స్థాయిల సంఖ్యను పేర్కొంటుంది. ఉన్నత స్థాయి అంతరాయాలు దిగువ స్థాయి అంతరాయానికి నడుస్తున్న హ్యాండ్లర్‌కు అంతరాయం కలిగించవచ్చు (ప్రీ-ఎమ్ప్ట్).
· అంతరాయాలకు ఏకైక ఎంపికలుగా సున్నా కాని అంతరాయ స్థాయిలతో, అప్లికేషన్ కోడ్ ఎల్లప్పుడూ అత్యల్ప స్థాయి 0 వద్ద ఉంటుంది. గమనిక: అంతరాయ స్థాయి యొక్క రన్-టైమ్ కాన్ఫిగరేషన్ మరియు ప్రాధాన్యత ఒకే 8-బిట్ రిజిస్టర్‌లో జరుగుతుంది. అంతరాయ స్థాయిల సంఖ్య 256 అయితే, రన్-టైమ్‌లో అంతరాయ ప్రాధాన్యతను కాన్ఫిగర్ చేయడం సాధ్యం కాదు. లేకపోతే, కాన్ఫిగర్ చేయగల ప్రాధాన్యతల గరిష్ట సంఖ్య 256 / (అంతరాయ స్థాయిల సంఖ్య - 1).
· ప్రీ-ఎమ్ప్టింగ్ కాని ఇంటరప్ట్ హ్యాండ్లర్లను పిలిచే క్రమాన్ని నిర్ణయించడానికి CLIC ఉపయోగించే ఇంటరప్ట్ ప్రాధాన్యతల సంఖ్యను పేర్కొంటుంది. గమనిక: ఎంచుకున్న ఇంటరప్ట్ స్థాయి మరియు ఎంచుకున్న ఇంటరప్ట్ ప్రాధాన్యత యొక్క బైనరీ విలువల సంయోగం 8 బిట్‌ల కంటే తక్కువగా ఉండాలి.
· రన్‌టైమ్ సమయంలో ఇంటరప్ట్ పోలారిటీని కాన్ఫిగర్ చేయడానికి మిమ్మల్ని అనుమతిస్తుంది. · డిఫాల్ట్ పోలారిటీ పాజిటివ్ పోలారిటీ.
· రన్‌టైమ్ సమయంలో ఇంటరప్ట్ ట్రిగ్గర్ కండిషన్‌ను కాన్ఫిగర్ చేయడానికి మిమ్మల్ని అనుమతిస్తుంది, అంటే హై-లెవల్ ట్రిగ్గర్డ్ లేదా పాజిటివ్-ఎడ్జ్ ట్రిగ్గర్డ్ (కాన్ఫిగర్ చేయదగిన ఇంటరప్ట్ పోలారిటీలో ఇంటరప్ట్ పోలారిటీ పాజిటివ్‌గా ఉన్నప్పుడు).
· డిఫాల్ట్ ట్రిగ్గర్ కండిషన్ లెవల్ ట్రిగ్గర్డ్ ఇంటరప్ట్.

గమనిక:

ప్లాట్‌ఫామ్ డిజైనర్ ఒక అబ్సొల్యూట్ ఎంపికను అందిస్తుంది, ఇది రీసెట్ ఆఫ్‌సెట్‌లో అబ్సొల్యూట్ చిరునామాను పేర్కొనడానికి మిమ్మల్ని అనుమతిస్తుంది. రీసెట్ వెక్టర్‌ను నిల్వ చేసే మెమరీ ప్రాసెసర్ సిస్టమ్ మరియు సబ్‌సిస్టమ్‌ల వెలుపల ఉన్నప్పుడు ఈ ఎంపికను ఉపయోగించండి.

సంబంధిత సమాచారం Nios® V ప్రాసెసర్ రిఫరెన్స్ మాన్యువల్

మెమరీ కాన్ఫిగరేషన్‌ల ట్యాబ్

పట్టిక 17. మెమరీ కాన్ఫిగరేషన్ ట్యాబ్ పారామితులు

వర్గం

మెమరీ కాన్ఫిగరేషన్ ట్యాబ్

వివరణ

కాష్‌లు

డేటా కాష్ పరిమాణం

· డేటా కాష్ పరిమాణాన్ని పేర్కొంటుంది. · చెల్లుబాటు అయ్యే పరిమాణాలు 0 కిలోబైట్‌ల (KB) నుండి 16 KB వరకు ఉంటాయి. · పరిమాణం 0 KB అయినప్పుడు డేటా కాష్‌ను ఆపివేయండి.

సూచన కాష్ పరిమాణం

· సూచన కాష్ పరిమాణాన్ని పేర్కొంటుంది. · చెల్లుబాటు అయ్యే పరిమాణాలు 0 KB నుండి 16 KB వరకు ఉంటాయి. · పరిమాణం 0 KB అయినప్పుడు సూచన కాష్‌ను ఆపివేయండి.

పరిధీయ ప్రాంతం A మరియు B

పరిమాణం

· పరిధీయ ప్రాంతం యొక్క పరిమాణాన్ని నిర్దేశిస్తుంది.
· చెల్లుబాటు అయ్యే పరిమాణాలు 64 KB నుండి 2 గిగాబైట్‌లు (GB) లేదా ఏదీ కాదు. ఏదీ లేదు ఎంచుకోవడం వలన పరిధీయ ప్రాంతం నిలిపివేయబడుతుంది.

ఆధార చిరునామా

· మీరు పరిమాణాన్ని ఎంచుకున్న తర్వాత పరిధీయ ప్రాంతం యొక్క మూల చిరునామాను పేర్కొంటుంది.
· పరిధీయ ప్రాంతంలోని అన్ని చిరునామాలు అన్‌కాచ్ చేయలేని డేటా యాక్సెస్‌లను ఉత్పత్తి చేస్తాయి.
· పరిధీయ ప్రాంత బేస్ చిరునామాను పరిధీయ ప్రాంత పరిమాణానికి సమలేఖనం చేయాలి.

గట్టిగా జతచేయబడిన జ్ఞాపకాలు

పరిమాణం

· గట్టిగా జతచేయబడిన మెమరీ పరిమాణాన్ని పేర్కొంటుంది. — చెల్లుబాటు అయ్యే పరిమాణాలు 0 MB నుండి 512 MB వరకు ఉంటాయి.

బేస్ అడ్రస్ ప్రారంభించడం File

· గట్టిగా జతచేయబడిన మెమరీ యొక్క బేస్ చిరునామాను పేర్కొంటుంది. · ప్రారంభీకరణను పేర్కొంటుంది. file గట్టిగా జతచేయబడిన జ్ఞాపకం కోసం.

అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 21

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్‌తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్
726952 | 2025.07.16

గమనిక:

కాష్ ఎనేబుల్ చేయబడిన Nios V ప్రాసెసర్ సిస్టమ్‌లో, మీరు సిస్టమ్ పెరిఫెరల్స్‌ను పరిధీయ ప్రాంతంలో ఉంచాలి. UART, PIO, DMA మరియు ఇతర పెరిఫెరల్స్ కోసం కాష్ చేయలేని లావాదేవీని నిర్వచించడానికి మీరు పరిధీయ ప్రాంతాలను ఉపయోగించవచ్చు.

2.1.1.3.7. ECC ట్యాబ్

పట్టిక 18. ECC ట్యాబ్
ECC ఎర్రర్ డిటెక్షన్ మరియు స్టేటస్ రిపోర్టింగ్‌ను ప్రారంభించండి
సింగిల్ బిట్ కరెక్షన్‌ను ప్రారంభించు

వివరణ
· Nios V ప్రాసెసర్ అంతర్గత RAM బ్లాక్‌లకు ECC ఫీచర్‌ను వర్తింపజేయడానికి ఈ ఎంపికను ప్రారంభించండి. · ECC ఫీచర్‌లు 2-బిట్‌ల వరకు లోపాలను గుర్తించి, కింది ప్రవర్తన ఆధారంగా ప్రతిస్పందిస్తాయి:
— ఇది సరిదిద్దగల సింగిల్ బిట్ ఎర్రర్ అయితే మరియు సింగిల్ బిట్ కరెక్షన్‌ను ప్రారంభించు ఆపివేయబడితే, ప్రాసెసర్ పైప్‌లైన్‌లోని లోపాన్ని సరిదిద్దిన తర్వాత ప్రాసెసర్ పనిచేయడం కొనసాగిస్తుంది. అయితే, దిద్దుబాటు సోర్స్ మెమరీలలో ప్రతిబింబించదు.
— ఇది సరిదిద్దగల సింగిల్ బిట్ ఎర్రర్ అయితే మరియు సింగిల్ బిట్ కరెక్షన్‌ను ప్రారంభించు ఆన్ చేయబడి ఉంటే, ప్రాసెసర్ పైప్‌లైన్ మరియు సోర్స్ మెమరీలలోని లోపాన్ని సరిదిద్దిన తర్వాత ప్రాసెసర్ పనిచేయడం కొనసాగిస్తుంది.
— అది సరిదిద్దలేని దోషం అయితే, ప్రాసెసర్ దాని ఆపరేషన్‌ను ఆపివేస్తుంది.
కోర్‌లోని ఎంబెడెడ్ మెమరీ బ్లాక్‌లపై సింగిల్ బిట్ కరెక్షన్‌ను ప్రారంభించండి.

2.1.1.3.8. కస్టమ్ ఇన్స్ట్రక్షన్ ట్యాబ్

గమనిక:

ఈ ట్యాబ్ నియోస్ V/g ప్రాసెసర్ కోర్ కోసం మాత్రమే అందుబాటులో ఉంది.

కస్టమ్ ఇన్స్ట్రక్షన్ నియోస్ V కస్టమ్ ఇన్స్ట్రక్షన్ హార్డ్‌వేర్ ఇంటర్‌ఫేస్ టేబుల్
నియోస్ V కస్టమ్ ఇన్‌స్ట్రక్షన్ సాఫ్ట్‌వేర్ మాక్రో టేబుల్

వివరణ
· Nios V ప్రాసెసర్ దాని కస్టమ్ ఇన్‌స్ట్రక్షన్ మేనేజర్ ఇంటర్‌ఫేస్‌లను నిర్వచించడానికి ఈ పట్టికను ఉపయోగిస్తుంది.
· నిర్వచించబడిన కస్టమ్ ఇన్‌స్ట్రక్షన్ మేనేజర్ ఇంటర్‌ఫేస్‌లు ఆప్‌కోడ్ (CUSTOM0-3) మరియు 3 బిట్‌ల funct7 ద్వారా ప్రత్యేకంగా ఎన్‌కోడ్ చేయబడతాయి[6:4].
· మీరు మొత్తం 32 వ్యక్తిగత కస్టమ్ ఇన్‌స్ట్రక్షన్ మేనేజర్ ఇంటర్‌ఫేస్‌లను నిర్వచించవచ్చు.
· నియోస్ V ప్రాసెసర్ ఈ పట్టికను నిర్వచించిన కస్టమ్ ఇన్స్ట్రక్షన్ మేనేజర్ ఇంటర్‌ఫేస్‌ల కోసం కస్టమ్ ఇన్స్ట్రక్షన్ సాఫ్ట్‌వేర్ ఎన్‌కోడింగ్‌లను నిర్వచించడానికి ఉపయోగించబడుతుంది.
· ప్రతి నిర్వచించబడిన కస్టమ్ ఇన్‌స్ట్రక్షన్ సాఫ్ట్‌వేర్ ఎన్‌కోడింగ్ కోసం, ఆప్‌కోడ్ (CUSTOM0-3) మరియు 3 బిట్‌ల ఫంక్షన్7[6:4] ఎన్‌కోడింగ్ కస్టమ్ ఇన్‌స్ట్రక్షన్ హార్డ్‌వేర్ ఇంటర్‌ఫేస్ టేబుల్‌లోని నిర్వచించబడిన కస్టమ్ ఇన్‌స్ట్రక్షన్ మేనేజర్ ఇంటర్‌ఫేస్ ఎన్‌కోడింగ్‌కు పరస్పర సంబంధం కలిగి ఉండాలి.
· ఇచ్చిన కస్టమ్ ఇన్స్ట్రక్షన్ కోసం అదనపు ఎన్కోడింగ్‌ను నిర్వచించడానికి లేదా అదనపు ఇన్స్ట్రక్షన్ ఆర్గ్యుమెంట్‌లుగా పాస్ చేయడానికి Xsగా పేర్కొనడానికి మీరు funct7[6:4], funct7[3:0] మరియు funct3[2:0] లను ఉపయోగించవచ్చు.
· Nios V ప్రాసెసర్ system.h లో జనరేట్ చేయబడిన C-మాక్రోలుగా నిర్వచించబడిన కస్టమ్ ఇన్‌స్ట్రక్షన్ సాఫ్ట్‌వేర్ ఎన్‌కోడింగ్‌లను అందిస్తుంది మరియు R-టైప్ RISC-V ఇన్‌స్ట్రక్షన్ ఫార్మాట్‌ను అనుసరిస్తుంది.
· జ్ఞాపకాలను వీటికి కస్టమ్ పేర్లను నిర్వచించడానికి ఉపయోగించవచ్చు: — system.hలో ఉత్పత్తి చేయబడిన C-Macros.
— custom_instruction_debug.xml లో జనరేట్ చేయబడిన GDB డీబగ్ మెమోనిక్స్.

సంబంధిత సమాచారం
AN 977: Nios V ప్రాసెసర్ కస్టమ్ ఇన్స్ట్రక్షన్ ఒక నిర్దిష్ట అప్లికేషన్ యొక్క అవసరాలను తీర్చడానికి Nios® V ప్రాసెసర్‌ను అనుకూలీకరించడానికి మిమ్మల్ని అనుమతించే కస్టమ్ సూచనల గురించి మరింత సమాచారం కోసం.

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 22

అభిప్రాయాన్ని పంపండి

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్ 726952 తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్ | 2025.07.16
2.1.2. సిస్టమ్ కాంపోనెంట్ డిజైన్‌ను నిర్వచించడం
నియోస్ V ప్రాసెసర్ సిస్టమ్ యొక్క హార్డ్‌వేర్ లక్షణాలను నిర్వచించడానికి మరియు కావలసిన భాగాలను జోడించడానికి ప్లాట్‌ఫామ్ డిజైనర్‌ను ఉపయోగించండి. కింది రేఖాచిత్రం కింది భాగాలతో ప్రాథమిక నియోస్ V ప్రాసెసర్ సిస్టమ్ డిజైన్‌ను ప్రదర్శిస్తుంది: · నియోస్ V ప్రాసెసర్ కోర్ · ఆన్-చిప్ మెమరీ · JTAG UART · ఇంటర్వెల్ టైమర్ (ఐచ్ఛికం)(1)
ప్లాట్‌ఫామ్ డిజైనర్ సిస్టమ్‌కు కొత్త ఆన్-చిప్ మెమరీ జోడించబడినప్పుడు, రీసెట్‌లో జోడించిన మెమరీ భాగాలను ప్రతిబింబించడానికి సింక్ సిస్టమ్ సమాచారాన్ని నిర్వహించండి. ప్రత్యామ్నాయంగా, తాజా కాంపోనెంట్ మార్పులను స్వయంచాలకంగా ప్రతిబింబించడానికి మీరు ప్లాట్‌ఫామ్ డిజైనర్‌లో ఆటో సింక్‌ను ప్రారంభించవచ్చు.
మూర్తి 11. ఉదాampప్లాట్‌ఫామ్ డిజైనర్‌లోని ఇతర పెరిఫెరల్స్‌తో నియోస్ V ప్రాసెసర్ యొక్క కనెక్షన్

(1) ప్లాట్‌ఫామ్ డిజైనర్‌లో బాహ్య ఇంటర్వెల్ టైమర్‌ను భర్తీ చేయడానికి మీరు నియోస్ V ఇంటర్నల్ టైమర్ లక్షణాలను ఉపయోగించుకునే అవకాశం ఉంది.

అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 23

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్‌తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్
726952 | 2025.07.16
మీ ప్లాట్‌ఫామ్ డిజైనర్ సిస్టమ్‌లో కండ్యూట్‌గా ఎగుమతి చేయడానికి ఆపరేషన్ పిన్‌లను కూడా మీరు నిర్వచించాలి. ఉదాహరణకుampఅయితే, సరైన FPGA సిస్టమ్ ఆపరేషన్ పిన్ జాబితా క్రింద నిర్వచించబడింది కానీ వీటికే పరిమితం కాదు:
· గడియారం
· రీసెట్ చేయండి
· I/O సంకేతాలు
2.1.3. బేస్ అడ్రస్‌లు మరియు ఇంటరప్ట్ రిక్వెస్ట్ ప్రాధాన్యతలను పేర్కొనడం
డిజైన్‌లో జోడించిన భాగాలు వ్యవస్థను ఏర్పరచడానికి ఎలా సంకర్షణ చెందుతాయో పేర్కొనడానికి, మీరు ప్రతి ఏజెంట్ భాగానికి బేస్ చిరునామాలను కేటాయించాలి మరియు J కోసం ఇంటరప్ట్ రిక్వెస్ట్ (IRQ) ప్రాధాన్యతలను కేటాయించాలి.TAG UART మరియు ఇంటర్వెల్ టైమర్. ప్లాట్‌ఫామ్ డిజైనర్ ఒక కమాండ్‌ను అందిస్తుంది - అసైన్ బేస్ అడ్రస్‌లు - ఇది సిస్టమ్‌లోని అన్ని భాగాలకు సరైన బేస్ అడ్రస్‌లను స్వయంచాలకంగా కేటాయిస్తుంది. అయితే, మీరు మీ అవసరాల ఆధారంగా బేస్ అడ్రస్‌లను సర్దుబాటు చేయవచ్చు.
బేస్ చిరునామాలను కేటాయించడానికి కొన్ని మార్గదర్శకాలు క్రింది విధంగా ఉన్నాయి:
· Nios V ప్రాసెసర్ కోర్ 32-బిట్ అడ్రస్ స్పాన్ కలిగి ఉంటుంది. ఏజెంట్ భాగాలను యాక్సెస్ చేయడానికి, వాటి బేస్ అడ్రస్ 0x00000000 మరియు 0xFFFFFFFF మధ్య ఉండాలి.
· Nios V ప్రోగ్రామ్‌లు చిరునామాలను సూచించడానికి సింబాలిక్ స్థిరాంకాలను ఉపయోగిస్తాయి. గుర్తుంచుకోవడానికి సులభంగా ఉండే చిరునామా విలువలను మీరు ఎంచుకోవలసిన అవసరం లేదు.
· ఒక-బిట్ చిరునామా వ్యత్యాసంతో భాగాలను వేరు చేసే చిరునామా విలువలు మరింత సమర్థవంతమైన హార్డ్‌వేర్‌ను ఉత్పత్తి చేస్తాయి. మీరు అన్ని బేస్ చిరునామాలను సాధ్యమైనంత చిన్న చిరునామా పరిధిలోకి కుదించాల్సిన అవసరం లేదు ఎందుకంటే కాంపాక్ట్ చేయడం వల్ల తక్కువ సమర్థవంతమైన హార్డ్‌వేర్ సృష్టించబడుతుంది.
· ప్లాట్‌ఫామ్ డిజైనర్ ప్రత్యేక మెమరీ భాగాలను పక్కపక్కనే ఉన్న మెమరీ పరిధిలో సమలేఖనం చేయడానికి ప్రయత్నించదు. ఉదాహరణకుampకాబట్టి, మీరు బహుళ ఆన్-చిప్ మెమరీ భాగాలను ఒక వరుస మెమరీ శ్రేణిగా అడ్రస్ చేయాలనుకుంటే, మీరు స్పష్టంగా బేస్ చిరునామాలను కేటాయించాలి.
ప్లాట్‌ఫామ్ డిజైనర్ ఒక ఆటోమేషన్ కమాండ్‌ను కూడా అందిస్తుంది - అసైన్ ఇంటరప్ట్ నంబర్స్ ఇది చెల్లుబాటు అయ్యే హార్డ్‌వేర్ ఫలితాలను ఉత్పత్తి చేయడానికి IRQ సిగ్నల్‌లను అనుసంధానిస్తుంది. అయితే, IRQలను సమర్థవంతంగా కేటాయించడానికి మొత్తం సిస్టమ్ ప్రతిస్పందన ప్రవర్తనను అర్థం చేసుకోవడం అవసరం. ప్లాట్‌ఫామ్ డిజైనర్ ఉత్తమ IRQ అసైన్‌మెంట్ గురించి విద్యావంతులైన అంచనాలను వేయలేరు.
అత్యల్ప IRQ విలువకు అత్యధిక ప్రాధాన్యత ఉంటుంది. ఆదర్శవంతమైన వ్యవస్థలో, సిస్టమ్ క్లాక్ టిక్ యొక్క ఖచ్చితత్వాన్ని నిర్వహించడానికి టైమర్ భాగం అత్యధిక ప్రాధాన్యత కలిగిన IRQ, అంటే అత్యల్ప విలువను కలిగి ఉండాలని ఆల్టెరా సిఫార్సు చేస్తుంది.
కొన్ని సందర్భాల్లో, మీరు రియల్ టైమ్ పెరిఫెరల్స్ (వీడియో కంట్రోలర్లు వంటివి) కు అధిక ప్రాధాన్యతను కేటాయించవచ్చు, దీనికి టైమర్ భాగాల కంటే ఎక్కువ అంతరాయ రేటు అవసరం.
సంబంధిత సమాచారం
క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ యూజర్ గైడ్: ప్లాట్‌ఫామ్ డిజైనర్‌తో సిస్టమ్‌ను సృష్టించడం గురించి మరింత సమాచారం.

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 24

అభిప్రాయాన్ని పంపండి

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్ 726952 తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్ | 2025.07.16
2.2. ప్లాట్‌ఫామ్ డిజైనర్ సిస్టమ్‌ను క్వార్టస్ ప్రైమ్ ప్రాజెక్ట్‌లో అనుసంధానించడం
ప్లాట్‌ఫామ్ డిజైనర్‌లో నియోస్ V సిస్టమ్ డిజైన్‌ను రూపొందించిన తర్వాత, నియోస్ V సిస్టమ్ మాడ్యూల్‌ను క్వార్టస్ ప్రైమ్ FPGA డిజైన్ ప్రాజెక్ట్‌లోకి అనుసంధానించడానికి ఈ క్రింది పనులను చేయండి. · క్వార్టస్ ప్రైమ్ ప్రాజెక్ట్‌లో నియోస్ V సిస్టమ్ మాడ్యూల్‌ను ఇన్‌స్టాంటియేట్ చేయండి · నియోస్ V సిస్టమ్ మాడ్యూల్ నుండి FPGA లాజిక్‌లోని ఇతర సిగ్నల్‌లకు సిగ్నల్‌లను కనెక్ట్ చేయండి · భౌతిక పిన్‌ల స్థానాన్ని కేటాయించండి · FPGA డిజైన్‌ను పరిమితం చేయండి
2.2.1. క్వార్టస్ ప్రైమ్ ప్రాజెక్ట్‌లో నియోస్ V ప్రాసెసర్ సిస్టమ్ మాడ్యూల్‌ను ఇన్‌స్టాంటియేట్ చేయడం
ప్లాట్‌ఫామ్ డిజైనర్ మీరు క్వార్టస్ ప్రైమ్‌లో ఇన్‌స్టాంటియేట్ చేయగల సిస్టమ్ మాడ్యూల్ డిజైన్ ఎంటిటీని ఉత్పత్తి చేస్తుంది. మీరు సిస్టమ్ మాడ్యూల్‌ను ఎలా ఇన్‌స్టాంటియేట్ చేస్తారనేది మొత్తం క్వార్టస్ ప్రైమ్ ప్రాజెక్ట్ కోసం డిజైన్ ఎంట్రీ పద్ధతిపై ఆధారపడి ఉంటుంది. ఉదాహరణకుample, మీరు డిజైన్ ఎంట్రీ కోసం Verilog HDLని ఉపయోగిస్తుంటే, Verilog ఆధారిత సిస్టమ్ మాడ్యూల్‌ను ఇన్‌స్టాంటియేట్ చేయండి. మీరు డిజైన్ ఎంట్రీ కోసం బ్లాక్ డయాగ్రామ్ పద్ధతిని ఉపయోగించాలనుకుంటే, సిస్టమ్ మాడ్యూల్ చిహ్నాన్ని ఇన్‌స్టాంటియేట్ చేయండి .bdf file.
2.2.2. సిగ్నల్‌లను కనెక్ట్ చేయడం మరియు భౌతిక పిన్ స్థానాలను కేటాయించడం
మీ Altera FPGA డిజైన్‌ను మీ బోర్డు-స్థాయి డిజైన్‌కు కనెక్ట్ చేయడానికి, ఈ క్రింది పనులను చేయండి: · ఉన్నత స్థాయిని గుర్తించండి file మీ డిజైన్ మరియు సిగ్నల్స్ బాహ్య ఆల్టెరాకు కనెక్ట్ అవ్వడానికి
FPGA పరికర పిన్‌లు. · మీ బోర్డు-స్థాయి డిజైన్ యూజర్ గైడ్ ద్వారా ఏ పిన్‌లను కనెక్ట్ చేయాలో అర్థం చేసుకోండి లేదా
స్కీమాటిక్స్. · పిన్‌తో మీ ఆల్టెరా FPGA పరికరంలోని పోర్ట్‌లకు ఉన్నత స్థాయి డిజైన్‌లో సిగ్నల్‌లను కేటాయించండి.
నియామక సాధనాలు.
మీ ప్లాట్‌ఫామ్ డిజైనర్ సిస్టమ్ ఉన్నత స్థాయి డిజైన్ కావచ్చు. అయితే, ఆల్టెరా FPGA మీ అవసరాల ఆధారంగా అదనపు లాజిక్‌ను కూడా చేర్చగలదు మరియు తద్వారా కస్టమ్ ఉన్నత స్థాయిని పరిచయం చేస్తుంది file. ఉన్నత స్థాయి file Nios V ప్రాసెసర్ సిస్టమ్ మాడ్యూల్ సిగ్నల్‌లను ఇతర Altera FPGA డిజైన్ లాజిక్‌కి అనుసంధానిస్తుంది.
సంబంధిత సమాచారం క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ యూజర్ గైడ్: డిజైన్ పరిమితులు
2.2.3. ఆల్టెరా FPGA డిజైన్‌ను పరిమితం చేయడం
సరైన Altera FPGA సిస్టమ్ డిజైన్‌లో డిజైన్ టైమింగ్ క్లోజర్ మరియు ఇతర లాజిక్ పరిమితి అవసరాలకు అనుగుణంగా ఉండేలా డిజైన్ పరిమితులు ఉంటాయి. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ లేదా థర్డ్-పార్టీ EDA ప్రొవైడర్లలో అందించబడిన సాధనాలను ఉపయోగించి ఈ అవసరాలను స్పష్టంగా తీర్చడానికి మీరు మీ Altera FPGA డిజైన్‌ను పరిమితం చేయాలి. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ ఉత్తమ ప్లేస్‌మెంట్ ఫలితాలను పొందడానికి కంపైలేషన్ దశలో అందించిన పరిమితులను ఉపయోగిస్తుంది.

అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 25

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్‌తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్
726952 | 2025.07.16
సంబంధిత సమాచారం · క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ యూజర్ గైడ్: డిజైన్ పరిమితులు · మూడవ పక్ష EDA భాగస్వాములు · క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ యూజర్ గైడ్: టైమింగ్ అనలైజర్
2.3. నియోస్ V ప్రాసెసర్ మెమరీ సిస్టమ్ రూపకల్పన
ఈ విభాగం నియోస్ V ప్రాసెసర్‌తో ప్లాట్‌ఫామ్ డిజైనర్ ఎంబెడెడ్ సిస్టమ్‌లో మెమరీ పరికరాలను ఎంచుకోవడానికి మరియు వాంఛనీయ పనితీరును సాధించడానికి ఉత్తమ పద్ధతులను వివరిస్తుంది. ఎంబెడెడ్ సిస్టమ్ యొక్క మొత్తం పనితీరును మెరుగుపరచడంలో మెమరీ పరికరాలు కీలక పాత్ర పోషిస్తాయి. ఎంబెడెడ్ సిస్టమ్ మెమరీ ప్రోగ్రామ్ సూచనలు మరియు డేటాను నిల్వ చేస్తుంది.
2.3.1. అస్థిర మెమరీ
మెమరీ రకంలో ప్రాథమిక వ్యత్యాసం అస్థిరత. మీరు మెమరీ పరికరానికి విద్యుత్ సరఫరా చేస్తున్నప్పుడు మాత్రమే అస్థిర మెమరీ దాని కంటెంట్‌లను కలిగి ఉంటుంది. మీరు విద్యుత్‌ను తీసివేసిన వెంటనే, మెమరీ దాని కంటెంట్‌లను కోల్పోతుంది.
Exampఅస్థిర మెమరీలో ముఖ్యమైనవి RAM, కాష్ మరియు రిజిస్టర్‌లు. ఇవి రన్నింగ్ పనితీరును పెంచే వేగవంతమైన మెమరీ రకాలు. RAMలో Nios V ప్రాసెసర్ సూచనలను లోడ్ చేసి అమలు చేయాలని మరియు సరైన పనితీరు కోసం Nios V IP కోర్‌ను ఆన్-చిప్ మెమరీ IP లేదా ఎక్స్‌టర్నల్ మెమరీ ఇంటర్‌ఫేస్ IPతో జత చేయాలని Altera సిఫార్సు చేస్తోంది.
పనితీరును మెరుగుపరచడానికి, మీరు బూట్ RAM తో Nios V ప్రాసెసర్ డేటా మేనేజర్ ఇంటర్‌ఫేస్ రకం లేదా వెడల్పును సరిపోల్చడం ద్వారా అదనపు ప్లాట్‌ఫామ్ డిజైనర్ అడాప్టేషన్ భాగాలను తొలగించవచ్చు. ఉదా.ampఇప్పుడు, మీరు ఆన్-చిప్ మెమరీ II ను 32-బిట్స్ AXI-4 ఇంటర్‌ఫేస్‌తో కాన్ఫిగర్ చేయవచ్చు, ఇది నియోస్ V డేటా మేనేజర్ ఇంటర్‌ఫేస్‌కు సరిపోతుంది.
సంబంధిత సమాచారం · బాహ్య మెమరీ ఇంటర్‌ఫేస్‌లు IP సపోర్ట్ సెంటర్ · ఆన్-చిప్ మెమరీ (RAM లేదా ROM) Altera FPGA IP · ఆన్-చిప్ మెమరీ II (RAM లేదా ROM) Altera FPGA IP · పేజీ 54లోని OCRAM నుండి Nios V ప్రాసెసర్ అప్లికేషన్ ఎగ్జిక్యూట్-ఇన్-ప్లేస్
2.3.1.1. ఆన్-చిప్ మెమరీ కాన్ఫిగరేషన్ RAM లేదా ROM
మీరు Altera FPGA ఆన్-చిప్ మెమరీ IPలను RAM లేదా ROMగా కాన్ఫిగర్ చేయవచ్చు. · RAM చదవడం మరియు వ్రాయడం సామర్థ్యాన్ని అందిస్తుంది మరియు అస్థిర స్వభావాన్ని కలిగి ఉంటుంది. మీరు
ఆన్-చిప్ RAM నుండి Nios V ప్రాసెసర్‌ను బూట్ చేస్తున్నప్పుడు, బూట్ కంటెంట్ భద్రపరచబడిందని మరియు రన్ సమయంలో రీసెట్ చేయబడిన సందర్భంలో పాడైపోలేదని మీరు నిర్ధారించుకోవాలి. · Nios V ప్రాసెసర్ ROM నుండి బూట్ అవుతుంటే, Nios V ప్రాసెసర్‌లోని ఏదైనా సాఫ్ట్‌వేర్ బగ్ ఆన్-చిప్ మెమరీలోని కంటెంట్‌లను తప్పుగా ఓవర్‌రైట్ చేయదు. అందువలన, బూట్ సాఫ్ట్‌వేర్ అవినీతి ప్రమాదాన్ని తగ్గిస్తుంది.
సంబంధిత సమాచారం · ఆన్-చిప్ మెమరీ (RAM లేదా ROM) Altera FPGA IP · ఆన్-చిప్ మెమరీ II (RAM లేదా ROM) Altera FPGA IP · పేజీ 54లోని OCRAM నుండి Nios V ప్రాసెసర్ అప్లికేషన్ ఎగ్జిక్యూట్-ఇన్-ప్లేస్

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 26

అభిప్రాయాన్ని పంపండి

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్ 726952 తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్ | 2025.07.16
2.3.1.2. కాష్‌లు
తక్కువ జాప్యం కారణంగా కాష్ కార్యాచరణను అమలు చేయడానికి ఆన్-చిప్ మెమరీలను సాధారణంగా ఉపయోగిస్తారు. నియోస్ V ప్రాసెసర్ దాని బోధన మరియు డేటా కాష్‌ల కోసం ఆన్-చిప్ మెమరీని ఉపయోగిస్తుంది. ఆన్-చిప్ మెమరీ యొక్క పరిమిత సామర్థ్యం సాధారణంగా కాష్‌లకు సమస్య కాదు ఎందుకంటే అవి సాధారణంగా చిన్నవిగా ఉంటాయి.
కాష్‌లు సాధారణంగా ఈ క్రింది పరిస్థితులలో ఉపయోగించబడతాయి:
· రెగ్యులర్ మెమరీ ఆఫ్-చిప్‌లో ఉంటుంది మరియు ఆన్-చిప్ మెమరీ కంటే ఎక్కువ యాక్సెస్ సమయాన్ని కలిగి ఉంటుంది.
· సాఫ్ట్‌వేర్ కోడ్ యొక్క పనితీరు-క్లిష్టమైన విభాగాలు ఇన్‌స్ట్రక్షన్ కాష్‌లో సరిపోతాయి, సిస్టమ్ పనితీరును మెరుగుపరుస్తాయి.
· పనితీరు-క్లిష్టమైన, తరచుగా ఉపయోగించే డేటా విభాగం డేటా కాష్‌లో సరిపోతుంది, సిస్టమ్ పనితీరును మెరుగుపరుస్తుంది.
నియోస్ V ప్రాసెసర్‌లో కాష్‌లను ప్రారంభించడం వలన మెమరీ సోపానక్రమం ఏర్పడుతుంది, ఇది మెమరీ యాక్సెస్ సమయాన్ని తగ్గిస్తుంది.
2.3.1.2.1. పరిధీయ ప్రాంతం
UART, I2C మరియు SPI వంటి ఏవైనా ఎంబెడెడ్ పెరిఫెరల్స్ IPలను కాష్ చేయకూడదు. దీర్ఘ యాక్సెస్ సమయం ద్వారా ప్రభావితమైన బాహ్య మెమరీల కోసం కాష్ బాగా సిఫార్సు చేయబడింది, అయితే అంతర్గత ఆన్-చిప్ మెమరీలు వాటి తక్కువ యాక్సెస్ సమయం కారణంగా మినహాయించబడవచ్చు. మీరు మెమరీలను మినహాయించి UART, I2C మరియు SPI వంటి ఎంబెడెడ్ పెరిఫెరల్స్ IPలను కాష్ చేయకూడదు. ఇది ముఖ్యం ఎందుకంటే సాఫ్ట్ IPలను అప్‌డేట్ చేసే ఏజెంట్ పరికరాలు వంటి బాహ్య పరికరాల నుండి ఈవెంట్‌లు ప్రాసెసర్ కాష్ ద్వారా సంగ్రహించబడవు, తద్వారా ప్రాసెసర్ ద్వారా స్వీకరించబడవు. ఫలితంగా, మీరు కాష్‌ను ఫ్లష్ చేసే వరకు ఈ ఈవెంట్‌లు గుర్తించబడకుండా పోవచ్చు, ఇది మీ సిస్టమ్‌లో అనాలోచిత ప్రవర్తనకు దారితీస్తుంది. సారాంశంలో, ఎంబెడెడ్ పెరిఫెరల్స్ IPల యొక్క మెమరీ-మ్యాప్ చేయబడిన ప్రాంతం తొలగించబడదు మరియు ప్రాసెసర్ యొక్క పరిధీయ ప్రాంతాలలో ఉండాలి.
పరిధీయ ప్రాంతాన్ని సెట్ చేయడానికి, ఈ దశలను అనుసరించండి:
1. ప్లాట్‌ఫామ్ డిజైనర్‌లో సిస్టమ్ యొక్క అడ్రస్ మ్యాప్‌ను తెరవండి.
2. ప్రాసెసర్ యొక్క ఇన్‌స్ట్రక్షన్ మేనేజర్ మరియు డేటా మేనేజర్ యొక్క చిరునామా మ్యాప్‌కు నావిగేట్ చేయండి.
3. మీ సిస్టమ్‌లోని పరిధీయ పరికరాలు మరియు జ్ఞాపకాలను గుర్తించండి.
మూర్తి 12. ఉదాampచిరునామా పటం

గమనిక: నీలి బాణాలు జ్ఞాపకాలను సూచిస్తున్నాయి. 4. పరిధీయ పరికరాలను సమూహపరచండి:
a. మెమరీని కాష్ చేయగలిగేది b. పెరిఫెరల్స్‌ను అన్‌కాష్ చేయలేనిది

అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 27

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్‌తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్
726952 | 2025.07.16

పట్టిక 19. కాష్ చేయదగిన మరియు కాష్ చేయలేని ప్రాంతం

అధీన

చిరునామా మ్యాప్

స్థితి

పరిధీయ ప్రాంతం

పరిమాణం

ఆధార చిరునామా

యూజర్_అప్లికేషన్_మెమ్.ఎస్1

0x0 ~ 0x3ffff

క్యాచీబుల్

N/A

N/A

cpu.dm_agent బూట్‌కాపియర్_rom.s1

0x40000 ~ 0x4ffff 0x50000 ~ 0x517ff

కాష్ చేయలేని కాష్ చేయదగినది

65536 బైట్లు N/A

0x40000 N/A

బూట్‌కోపియర్_రామ్.ఎస్1 cpu.timer_sw_agent మెయిల్‌బాక్స్.avmm

0x52000 ~ 0x537ff 0x54000 ~ 0x5403f 0x54040 ~ 0x5407f

కాష్ చేయదగినది కాష్ చేయదగినది కాష్ చేయదగినది కాష్ చేయదగినది

144 బైట్లు (కనిష్ట పరిమాణం 65536 బైట్లు)

0x54000

sysid_qsys_0.కంట్రోల్_స్లేవ్

0x54080 ~ 0x54087

కాష్ చేయలేనిది

uart.avalon_j ద్వారా మరిన్నిtag_బానిస

0x54088 ~ 0x5408f

కాష్ చేయలేనిది

5. పరిధీయ ప్రాంతాలను వాటి నిర్దిష్ట పరిమాణాలతో సమలేఖనం చేయండి:
· ఉదాహరణకుample, పరిమాణం 65536 బైట్లు అయితే, అది 0x10000 బైట్‌లకు అనుగుణంగా ఉంటుంది. కాబట్టి, అనుమతించబడిన బేస్ చిరునామా 0x10000 యొక్క గుణిజంగా ఉండాలి.
· CPU.dm_agent 0x40000 బేస్ చిరునామాను ఉపయోగిస్తుంది, ఇది 0x10000 యొక్క గుణకం. ఫలితంగా, 65536 బైట్ల పరిమాణం మరియు 0x40000 బేస్ చిరునామాతో పరిధీయ ప్రాంతం A అవసరాలను తీరుస్తుంది.
· 0x54000 వద్ద అన్‌కాచీబుల్ ప్రాంతాల సేకరణ యొక్క బేస్ చిరునామా 0x10000 యొక్క గుణకం కాదు. మీరు వాటిని 0x60000 లేదా 0x10000 యొక్క ఇతర గుణకాలకు తిరిగి కేటాయించాలి. అందువల్ల, 65536 బైట్‌ల పరిమాణం మరియు 0x60000 యొక్క బేస్ చిరునామా కలిగిన పెరిఫెరల్ రీజియన్ B, ప్రమాణాలను తీరుస్తుంది.

పట్టిక 20. రీఅసైన్‌మెంట్‌తో కాష్ చేయదగిన మరియు కాష్ చేయలేని ప్రాంతం

అధీన

చిరునామా మ్యాప్

స్థితి

పరిధీయ ప్రాంతం

పరిమాణం

ఆధార చిరునామా

యూజర్_అప్లికేషన్_మెమ్.ఎస్1

0x0 ~ 0x3ffff

క్యాచీబుల్

N/A

N/A

cpu.dm_ఏజెంట్

0x40000 ~ 0x4ffff

కాష్ చేయలేని 65536 బైట్లు

0x40000

బూట్‌కాపియర్_rom.s1

0x50000 ~ 0x517ff

క్యాచీబుల్

N/A

N/A

బూట్‌కోపియర్_రామ్.ఎస్1 cpu.timer_sw_agent మెయిల్‌బాక్స్.avmm sysid_qsys_0.control_slave

0x52000 ~ 0x537ff 0x60000 ~ 0x6003f 0x60040 ~ 0x6007f 0x60080 ~ 0x60087

కాష్ చేయదగినది కాష్ చేయదగినది కాష్ చేయదగినది కాష్ చేయదగినది కాష్ చేయదగినది

144 బైట్లు (కనిష్ట పరిమాణం 65536 బైట్లు)

0x60000

uart.avalon_j ద్వారా మరిన్నిtag_బానిస

0x60088 ~ 0x6008f

కాష్ చేయలేనిది

2.3.1.3. టైట్లీ కపుల్డ్ మెమరీ
టైట్లీ కపుల్డ్ మెమరీస్ (TCMలు) ఆన్-చిప్ మెమరీని ఉపయోగించి అమలు చేయబడతాయి ఎందుకంటే వాటి తక్కువ జాప్యం వాటిని పనికి బాగా సరిపోతాయి. TCMలు సాధారణ అడ్రస్ స్పేస్‌లో మ్యాప్ చేయబడిన మెమరీలు కానీ మైక్రోప్రాసెసర్‌కు అంకితమైన ఇంటర్‌ఫేస్‌ను కలిగి ఉంటాయి మరియు కాష్ మెమరీ యొక్క అధిక-పనితీరు, తక్కువ-జాప్యం లక్షణాలను కలిగి ఉంటాయి. TCM బాహ్య హోస్ట్ కోసం సబార్డినేట్ ఇంటర్‌ఫేస్‌ను కూడా అందిస్తుంది. TCMని నిర్వహించడానికి ప్రాసెసర్ మరియు బాహ్య హోస్ట్ ఒకే అనుమతి స్థాయిని కలిగి ఉంటాయి.

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 28

అభిప్రాయాన్ని పంపండి

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్‌తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్
726952 | 2025.07.16

గమనిక:

TCM సబార్డినేట్ పోర్ట్ బాహ్య హోస్ట్‌కు కనెక్ట్ చేయబడినప్పుడు, అది ప్రాసెసర్ కోర్‌లో కేటాయించిన బేస్ చిరునామా కాకుండా వేరే బేస్ చిరునామాతో ప్రదర్శించబడవచ్చు. రెండు చిరునామాలను ఒకే విలువకు సమలేఖనం చేయాలని ఆల్టెరా సిఫార్సు చేస్తుంది.

2.3.1.4. బాహ్య మెమరీ ఇంటర్‌ఫేస్ (EMIF)
EMIF (బాహ్య మెమరీ ఇంటర్‌ఫేస్) SRAM (స్టాటిక్ రాండమ్ యాక్సెస్ మెమరీ) లాగానే పనిచేస్తుంది, కానీ ఇది డైనమిక్ మరియు దాని కంటెంట్‌ను నిర్వహించడానికి ఆవర్తన రిఫ్రెషింగ్ అవసరం. EMIFలోని డైనమిక్ మెమరీ సెల్‌లు SRAMలోని స్టాటిక్ మెమరీ సెల్‌ల కంటే చాలా చిన్నవిగా ఉంటాయి, దీని ఫలితంగా అధిక సామర్థ్యం మరియు తక్కువ ధర మెమరీ పరికరాలు లభిస్తాయి.
రిఫ్రెష్ అవసరంతో పాటు, EMIFకి ప్రత్యేకమైన కంట్రోలర్ హార్డ్‌వేర్ అవసరమయ్యే నిర్దిష్ట ఇంటర్‌ఫేస్ అవసరాలు ఉన్నాయి. స్థిర అడ్రస్ లైన్‌ల సెట్‌ను కలిగి ఉన్న SRAM వలె కాకుండా, EMIF దాని మెమరీ స్థలాన్ని బ్యాంకులు, వరుసలు మరియు నిలువు వరుసలుగా నిర్వహిస్తుంది. బ్యాంకులు మరియు వరుసల మధ్య మారడం వలన కొంత ఓవర్‌హెడ్ పరిచయం అవుతుంది, కాబట్టి మీరు EMIFని సమర్థవంతంగా ఉపయోగించడానికి మెమరీ యాక్సెస్‌లను జాగ్రత్తగా ఆర్డర్ చేయాలి. EMIF ఒకే అడ్రస్ లైన్‌లపై వరుస మరియు నిలువు వరుస చిరునామాలను కూడా మల్టీప్లెక్స్ చేస్తుంది, ఇచ్చిన EMIF పరిమాణానికి అవసరమైన పిన్‌ల సంఖ్యను తగ్గిస్తుంది.
DDR, DDR2, DDR3, DDR4, మరియు DDR5 వంటి EMIF యొక్క అధిక-వేగ వెర్షన్‌లు PCB డిజైనర్లు పరిగణించవలసిన కఠినమైన సిగ్నల్ సమగ్రత అవసరాలను విధిస్తాయి.
EMIF పరికరాలు అందుబాటులో ఉన్న అత్యంత ఖర్చుతో కూడుకున్న మరియు అధిక-సామర్థ్య RAM రకాల్లో ఒకటిగా నిలిచాయి, ఇది వాటిని ఒక ప్రసిద్ధ ఎంపికగా చేస్తుంది. EMIF ఇంటర్‌ఫేస్‌లో కీలకమైన భాగం EMIF IP, ఇది అడ్రస్ మల్టీప్లెక్సింగ్, రిఫ్రెష్ చేయడం మరియు వరుసలు మరియు బ్యాంకుల మధ్య మారడానికి సంబంధించిన పనులను నిర్వహిస్తుంది. ఈ డిజైన్ సిస్టమ్‌లోని మిగిలిన వారు దాని అంతర్గత నిర్మాణాన్ని అర్థం చేసుకోవలసిన అవసరం లేకుండానే EMIFని యాక్సెస్ చేయడానికి అనుమతిస్తుంది.

సంబంధిత సమాచారం బాహ్య మెమరీ ఇంటర్‌ఫేస్‌లు IP మద్దతు కేంద్రం

2.3.1.4.1. అడ్రస్ స్పాన్ ఎక్స్‌టెండర్ ఐపీ
అడ్రస్ స్పాన్ ఎక్స్‌టెండర్ ఆల్టెరా FPGA IP మెమరీ-మ్యాప్ చేయబడిన హోస్ట్ ఇంటర్‌ఫేస్‌లను వాటి అడ్రస్ సిగ్నల్‌ల వెడల్పు అనుమతించే దానికంటే పెద్ద లేదా చిన్న అడ్రస్ మ్యాప్‌ను యాక్సెస్ చేయడానికి అనుమతిస్తుంది. అడ్రస్ స్పాన్ ఎక్స్‌టెండర్ IP అడ్రస్ చేయగల స్థలాన్ని బహుళ ప్రత్యేక విండోలుగా విభజిస్తుంది, తద్వారా హోస్ట్ విండో ద్వారా మెమరీలోని తగిన భాగాన్ని యాక్సెస్ చేయగలదు.
అడ్రస్ స్పాన్ ఎక్స్‌టెండర్ హోస్ట్ మరియు ఏజెంట్ వెడల్పులను 32-బిట్ మరియు 64బిట్ కాన్ఫిగరేషన్‌కు పరిమితం చేయదు. మీరు 1-64 బిట్ అడ్రస్ విండోలతో అడ్రస్ స్పాన్ ఎక్స్‌టెండర్‌ను ఉపయోగించవచ్చు.

అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 29

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్‌తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్
726952 | 2025.07.16

చిత్రం 13. అడ్రస్ స్పాన్ ఎక్స్‌టెండర్ ఆల్టెరా FPGA IP
ఏజెంట్ వర్డ్ అడ్రస్

అడ్రస్ స్పాన్ ఎక్స్‌టెండర్

A

మ్యాపింగ్ టేబుల్
కంట్రోల్ పోర్ట్ A

కంట్రోల్ రిజిస్టర్ 0 కంట్రోల్ రిజిస్టర్ Z-1

విస్తరించిన హోస్ట్ చిరునామా H

సంబంధిత సమాచారం
క్వార్టస్® ప్రైమ్ ప్రో ఎడిషన్ యూజర్ గైడ్: ప్లాట్‌ఫామ్ డిజైనర్ మరింత సమాచారం కోసం అడ్రస్ స్పాన్ ఎక్స్‌టెండర్ ఇంటెల్® FPGA IP అనే అంశాన్ని చూడండి.

2.3.1.4.2. నియోస్ V ప్రాసెసర్‌తో అడ్రస్ స్పాన్ ఎక్స్‌టెండర్ ఐపీని ఉపయోగించడం
32-బిట్ నియోస్ V ప్రాసెసర్ ఒక అడ్రస్ స్పాన్‌లో 4 GB వరకు అడ్రస్ చేయగలదు. EMIF 4GB కంటే ఎక్కువ మెమరీని కలిగి ఉంటే, అది గరిష్టంగా మద్దతు ఇచ్చే అడ్రస్ స్పాన్‌ను మించిపోతుంది, ప్లాట్‌ఫామ్ డిజైనర్ సిస్టమ్‌ను తప్పుగా చూపుతుంది. ఒకే EMIF అడ్రస్ స్పేస్‌ను బహుళ చిన్న విండోలుగా విభజించడం ద్వారా ఈ సమస్యను పరిష్కరించడానికి అడ్రస్ స్పాన్ ఎక్స్‌టెండర్ IP అవసరం.
మీరు ఈ క్రింది పారామితులను పరిగణించాలని ఆల్టెరా సిఫార్సు చేస్తోంది.

పట్టిక 21. అడ్రస్ స్పాన్ ఎక్స్‌టెండర్ పారామితులు

పరామితి

సూచించబడిన మార్పులు

డేటాపాత్ వెడల్పు
విస్తరించిన మాస్టర్ బైట్ చిరునామా వెడల్పు

32-బిట్ ప్రాసెసర్‌కి అనుసంధానించబడిన 32-బిట్‌లను ఎంచుకోండి. EMIF మెమరీ పరిమాణంపై ఆధారపడి ఉంటుంది.

స్లేవ్ వర్డ్ అడ్రస్ వెడల్పు బర్స్ట్‌కౌంట్ వెడల్పు

2 GB లేదా అంతకంటే తక్కువ ఎంచుకోండి. Nios V ప్రాసెసర్ యొక్క మిగిలిన అడ్రస్ స్పాన్ ఇతర ఎంబెడెడ్ సాఫ్ట్ IPల కోసం రిజర్వ్ చేయబడింది.
పనితీరును మెరుగుపరచడానికి 1తో ప్రారంభించి క్రమంగా ఈ విలువను పెంచండి.

ఉప-విండోల సంఖ్య

మీరు EMIF ని Nios V ప్రాసెసర్ కి ఇన్స్ట్రక్షన్ మరియు డేటా మెమరీగా కనెక్ట్ చేస్తుంటే లేదా రెండింటినీ కనెక్ట్ చేస్తుంటే 1 సబ్-విండోను ఎంచుకోండి. Nios V ప్రాసెసర్ EMIF నుండి అమలు చేస్తున్నప్పుడు బహుళ సబ్-విండోల మధ్య మారడం ప్రమాదకరం.

స్లేవ్ కంట్రోల్ పోర్ట్‌ను ప్రారంభించండి

మీరు EMIF ని Nios V ప్రాసెసర్ కి ఇన్స్ట్రక్షన్ మరియు/లేదా డేటా మెమరీగా కనెక్ట్ చేస్తుంటే స్లేవ్ కంట్రోల్ పోర్ట్ ని డిజేబుల్ చేయండి. సబ్-విండోల సంఖ్య విషయంలో కూడా అదే ఆందోళనలు ఉంటాయి.

గరిష్టంగా పెండింగ్‌లో ఉన్న రీడ్‌లు

పనితీరును మెరుగుపరచడానికి 1తో ప్రారంభించి క్రమంగా ఈ విలువను పెంచండి.

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 30

అభిప్రాయాన్ని పంపండి

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్ 726952 తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్ | 2025.07.16
చిత్రం 14. ఇన్‌స్ట్రక్షన్ మరియు డేటా మేనేజర్‌ను అడ్రస్ స్పాన్ ఎక్స్‌టెండర్‌కు కనెక్ట్ చేస్తోంది

చిత్రం 15. చిరునామా మ్యాపింగ్

అడ్రస్ స్పాన్ ఎక్స్‌టెండర్ EMIF యొక్క మొత్తం 8GB మెమరీ స్థలాన్ని యాక్సెస్ చేయగలదని గమనించండి. అయితే, అడ్రస్ స్పాన్ ఎక్స్‌టెండర్ ద్వారా, Nios V ప్రాసెసర్ EMIF యొక్క మొదటి 1GB మెమరీ స్థలాన్ని మాత్రమే యాక్సెస్ చేయగలదు.

చిత్రం 16. సరళీకృత బ్లాక్ రేఖాచిత్రం

ప్లాట్‌ఫారమ్ డిజైనర్ సిస్టమ్

మిగిలిన 3 GB

నియోస్ V ప్రాసెసర్ చిరునామా

స్పాన్ అనేది ఎంబెడెడ్ కోసం

NNioios sVV PProrocecsesosor r
M

అదే వ్యవస్థలో సాఫ్ట్ IPలు.
1 GB విండో

చిరునామా పరిధి

S

విస్తరిణి

M

మొదటి 1 GB మాత్రమే

EMIF మెమరీ నియోస్ V కి అనుసంధానించబడి ఉంది

EMIF

ప్రాసెసర్.

8 GB
S

అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 31

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్‌తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్
726952 | 2025.07.16
2.3.1.4.3. అడ్రస్ స్పాన్ ఎక్స్‌టెండర్ లింకర్ మెమరీ పరికరాన్ని నిర్వచించడం 1. అడ్రస్ స్పాన్ ఎక్స్‌టెండర్ (EMIF) ను రీసెట్ వెక్టర్‌గా నిర్వచించండి. ప్రత్యామ్నాయంగా, మీరు నియోస్ V ప్రాసెసర్ రీసెట్ వెక్టర్‌ను OCRAM లేదా ఫ్లాష్ పరికరాల వంటి ఇతర మెమరీలకు కేటాయించవచ్చు.
చిత్రం 17. రీసెట్ వెక్టర్‌గా బహుళ ఎంపికలు
అయితే, బోర్డ్ సపోర్ట్ ప్యాకేజీ (BSP) ఎడిటర్ అడ్రస్ స్పాన్ ఎక్స్‌టెండర్ (EMIF) ను చెల్లుబాటు అయ్యే మెమరీగా స్వయంచాలకంగా నమోదు చేయలేదు. మీరు చేసిన ఎంపికను బట్టి, కింది చిత్రాలలో చూపిన విధంగా మీరు రెండు వేర్వేరు పరిస్థితులను చూస్తారు. చిత్రం 18. అడ్రస్ స్పాన్ ఎక్స్‌టెండర్ (EMIF) ను రీసెట్ వెక్టర్‌గా నిర్వచించేటప్పుడు BSP లోపం

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 32

అభిప్రాయాన్ని పంపండి

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్ 726952 తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్ | 2025.07.16
చిత్రం 19. ఇతర జ్ఞాపకాలను రీసెట్ వెక్టర్‌గా నిర్వచించేటప్పుడు EMIF లేదు

2. మీరు BSP లింకర్ స్క్రిప్ట్ ట్యాబ్‌లో యాడ్ మెమరీ డివైస్, యాడ్ లింకర్ మెమరీ రీజియన్ మరియు యాడ్ లింకర్ సెక్షన్ మ్యాపింగ్‌లను ఉపయోగించి అడ్రస్ స్పాన్ ఎక్స్‌టెండర్ (EMIF) ను మాన్యువల్‌గా జోడించాలి.
3. ఈ దశలను అనుసరించండి:
a. మెమరీ మ్యాప్ ఉపయోగించి అడ్రస్ స్పాన్ ఎక్స్‌టెండర్ యొక్క అడ్రస్ స్పాన్‌ను నిర్ణయించండి (ఉదా.ampకింది చిత్రంలో le 0x0 నుండి 0x3fff_ffff వరకు అడ్రస్ స్పాన్ ఎక్స్‌టెండర్ పరిధిని ఉపయోగిస్తుంది).
చిత్రం 20. మెమరీ మ్యాప్

బి. మెమరీ పరికరాన్ని జోడించు క్లిక్ చేసి, మీ డిజైన్ యొక్క మెమరీ మ్యాప్‌లోని సమాచారం ఆధారంగా పూరించండి: i. పరికరం పేరు: emif_ddr4. గమనిక: మీరు మెమరీ మ్యాప్ నుండి అదే పేరును కాపీ చేశారని నిర్ధారించుకోండి. ii. బేస్ చిరునామా: 0x0 iii. పరిమాణం: 0x40000000
c. కొత్త లింకర్ మెమరీ ప్రాంతాన్ని జోడించడానికి జోడించు క్లిక్ చేయండి:

అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 33

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్‌తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్
726952 | 2025.07.16

పట్టిక 22. లింకర్ మెమరీ ప్రాంతాన్ని జోడించడం

దశలు

వెక్టర్‌ను రీసెట్ చేయండి

emif_ddr4 ద్వారా emif_ddr4

ఇతర జ్ఞాపకాలు

1

రీసెట్ అనే కొత్త లింకర్ మెమరీ ప్రాంతాన్ని జోడించండి. కోసం కొత్త లింకర్ మెమరీ ప్రాంతాన్ని జోడించండి

· ప్రాంతం పేరు: రీసెట్

emif_ddr4 ను నమోదు చేయండి.

· ప్రాంత పరిమాణం: 0x20

· ప్రాంతం పేరు: emif_ddr4

· మెమరీ పరికరం: emif_ddr4

· ప్రాంత పరిమాణం: 0x40000000

· మెమరీ ఆఫ్‌సెట్: 0x0

· మెమరీ పరికరం: emif_ddr4

· మెమరీ ఆఫ్‌సెట్: 0x0

2

కోసం కొత్త లింకర్ మెమరీ ప్రాంతాన్ని జోడించండి

మిగిలిన emif_ddr4.

· ప్రాంతం పేరు: emif_ddr4

· ప్రాంత పరిమాణం: 0x3ffffe0

· మెమరీ పరికరం: emif_ddr4

· మెమరీ ఆఫ్‌సెట్: 0x20

చిత్రం 21. అడ్రస్ స్పాన్ ఎక్స్‌టెండర్ (EMIF)ని రీసెట్ వెక్టర్‌గా నిర్వచించేటప్పుడు లింకర్ ప్రాంతం

చిత్రం 22. ఇతర జ్ఞాపకాలను రీసెట్ వెక్టర్‌గా నిర్వచించేటప్పుడు లింకర్ ప్రాంతం
d. emif_ddr4 ను BSP కి జోడించిన తర్వాత, మీరు దానిని ఏదైనా లింకర్ విభాగం కోసం ఎంచుకోవచ్చు.
చిత్రం 23. అడ్రస్ స్పాన్ ఎక్స్‌టెండర్ (EMIF) విజయవంతంగా జోడించబడింది.

e. SOPC డిజైన్‌లో మెమరీ పరికరం emif_ddr4 కనిపించదు అనే హెచ్చరికను విస్మరించండి.
f. BSPని జనరేట్ చేయడానికి కొనసాగండి.
సంబంధిత సమాచారం పేజీ 51లో నియోస్ V ప్రాసెసర్ బూటింగ్ పద్ధతుల పరిచయం

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 34

అభిప్రాయాన్ని పంపండి

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్ 726952 తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్ | 2025.07.16
2.3.2. అస్థిరత లేని మెమరీ
పవర్ ఆపివేయబడినప్పుడు అస్థిర మెమరీ దాని కంటెంట్‌లను నిలుపుకుంటుంది, ఇది సిస్టమ్ పవర్ సైకిల్ తర్వాత సిస్టమ్ తిరిగి పొందవలసిన సమాచారాన్ని నిల్వ చేయడానికి మంచి ఎంపికగా మారుతుంది. అస్థిర మెమరీ సాధారణంగా ప్రాసెసర్ బూట్-కోడ్, నిరంతర అప్లికేషన్ సెట్టింగ్‌లు మరియు ఆల్టెరా FPGA కాన్ఫిగరేషన్ డేటాను నిల్వ చేస్తుంది. అస్థిర మెమరీకి ప్రయోజనం ఉన్నప్పటికీtage of retaining its data when you remove the power, it is much slower compare to volatile memory, and often has more complex writing and erasing procedures. Non-volatile memory is also usually only guaranteed to be erasable a given number of times, after which it may fail.
Exampఅస్థిర మెమరీలో అన్ని రకాల ఫ్లాష్, EPROM మరియు EEPROM ఉన్నాయి. ఆల్టెరా FPGA బిట్‌స్ట్రీమ్‌లు మరియు నియోస్ V ప్రోగ్రామ్ ఇమేజ్‌లను అస్థిర మెమరీలో నిల్వ చేయాలని మరియు నియోస్ V ప్రాసెసర్‌లకు బూట్ పరికరంగా సీరియల్ ఫ్లాష్‌ను ఉపయోగించాలని ఆల్టెరా మిమ్మల్ని సిఫార్సు చేస్తోంది.
సంబంధిత సమాచారం
· జెనరిక్ సీరియల్ ఫ్లాష్ ఇంటర్‌ఫేస్ ఆల్టెరా FPGA IP యూజర్ గైడ్
· మెయిల్‌బాక్స్ క్లయింట్ ఆల్టెరా FPGA IP యూజర్ గైడ్ · MAX® 10 యూజర్ ఫ్లాష్ మెమరీ యూజర్ గైడ్: ఆన్-చిప్ ఫ్లాష్ ఆల్టెరా FPGA IP కోర్
2.4. గడియారాలు మరియు రీసెట్‌ల ఉత్తమ పద్ధతులు
Nios V ప్రాసెసర్ క్లాక్ మరియు రీసెట్ డొమైన్ అది కనెక్ట్ చేసే ప్రతి పరిధీయ పరికరంతో ఎలా సంకర్షణ చెందుతుందో అర్థం చేసుకోవడం ముఖ్యం. ఒక సాధారణ Nios V ప్రాసెసర్ సిస్టమ్ ఒకే క్లాక్ డొమైన్‌తో ప్రారంభమవుతుంది మరియు వేగవంతమైన క్లాక్ డొమైన్ నెమ్మదిగా ఉండే క్లాక్ డొమైన్‌తో ఢీకొన్నప్పుడు బహుళ-క్లాక్ డొమైన్ సిస్టమ్‌తో ఇది సంక్లిష్టంగా మారవచ్చు. ఈ విభిన్న డొమైన్‌లు రీసెట్ నుండి ఎలా క్రమం అవుతాయో మీరు గమనించాలి మరియు అర్థం చేసుకోవాలి మరియు ఏవైనా సూక్ష్మ సమస్యలు లేవని నిర్ధారించుకోవాలి.
ఉత్తమ సాధన కోసం, ఆల్టెరా నియోస్ V ప్రాసెసర్ మరియు బూట్ మెమరీని ఒకే క్లాక్ డొమైన్‌లో ఉంచమని సిఫార్సు చేస్తోంది. చాలా నెమ్మదిగా ఉండే క్లాక్ డొమైన్‌లో ఉండే మెమరీ నుండి బూట్ అయినప్పుడు నియోస్ V ప్రాసెసర్‌ను రీసెట్ నుండి ఫాస్ట్ క్లాక్ డొమైన్‌లో విడుదల చేయవద్దు, దీని వలన ఇన్‌స్ట్రక్షన్ ఫెచ్ ఎర్రర్ ఏర్పడవచ్చు. ప్లాట్‌ఫామ్ డిజైనర్ డిఫాల్ట్‌గా అందించే దానికంటే మించి మీకు కొంత మాన్యువల్ సీక్వెన్సింగ్ అవసరం కావచ్చు మరియు మీ వినియోగ కేసు ఆధారంగా రీసెట్ విడుదల టోపోలాజీని ప్లాన్ చేయండి. మీ సిస్టమ్ వచ్చి కొంతకాలం నడిచిన తర్వాత మీరు రీసెట్ చేయాలనుకుంటే, సిస్టమ్ రీసెట్ సీక్వెన్సింగ్ మరియు పోస్ట్ రీసెట్ ఇనిషియలైజేషన్ అవసరానికి కూడా అదే పరిగణనలను వర్తింపజేయండి.
2.4.1. సిస్టమ్ JTAG గడియారం
ప్రతి Nios V ప్రాసెసర్ సిస్టమ్‌లో క్లాక్ పరిమితులను పేర్కొనడం అనేది ఒక ముఖ్యమైన సిస్టమ్ డిజైన్ పరిశీలన మరియు ఇది ఖచ్చితత్వం మరియు నిర్ణయాత్మక ప్రవర్తనకు అవసరం. క్వార్టస్ ప్రైమ్ టైమింగ్ అనలైజర్ పరిశ్రమ-ప్రామాణిక పరిమితి, విశ్లేషణ మరియు రిపోర్టింగ్ పద్ధతిని ఉపయోగించి మీ డిజైన్‌లోని అన్ని లాజిక్‌ల సమయ పనితీరును ధృవీకరించడానికి స్టాటిక్ టైమింగ్ విశ్లేషణను నిర్వహిస్తుంది.
Example 1. 50/50 డ్యూటీ సైకిల్ మరియు 16 MHz J తో ప్రాథమిక 100 MHz క్లాక్TAG గడియారం
#************************************************************************ # 100MHz క్లాక్‌ను సృష్టించండి #*************************************************************************************** create_clock -name {clk} -period 10 [get_ports {clk}] #*************************** 16MHz J ను సృష్టించండిTAG గడియారం #***************************

అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 35

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్‌తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్
726952 | 2025.07.16
create_clock -name {altera_reserved_tck} -period 62.500 [get_ports {altera_reserved_tck}] set_clock_groups -asynchronous -group [get_clocks {altera_reserved_tck}] సంబంధిత సమాచారం క్వార్టస్ ప్రైమ్ టైమింగ్ అనలైజర్ కుక్‌బుక్
2.4.2. అభ్యర్థన ఇంటర్‌ఫేస్‌ను రీసెట్ చేయండి
Nios V ప్రాసెసర్ ఐచ్ఛిక రీసెట్ అభ్యర్థన సౌకర్యాన్ని కలిగి ఉంది. రీసెట్ అభ్యర్థన సౌకర్యం reset_req మరియు reset_req_ack సిగ్నల్‌లను కలిగి ఉంటుంది.
ప్లాట్‌ఫామ్ డిజైనర్‌లో రీసెట్ అభ్యర్థనను ప్రారంభించడానికి: 1. నియోస్ V ప్రాసెసర్ IP పారామీటర్ ఎడిటర్‌ను ప్రారంభించండి. 2. యూజ్ రీసెట్ అభ్యర్థన సెట్టింగ్‌లో, యాడ్ రీసెట్ అభ్యర్థన ఇంటర్‌ఫేస్‌ను ఆన్ చేయండి.
ఎంపిక.
చిత్రం 24. నియోస్ V ప్రాసెసర్ రీసెట్ అభ్యర్థనను ప్రారంభించండి
reset_req సిగ్నల్ ఒక అంతరాయం వలె పనిచేస్తుంది. మీరు reset_req ని నొక్కి చెప్పినప్పుడు, మీరు కోర్ కు రీసెట్ చేయమని అభ్యర్థిస్తున్నారు. ఏదైనా బస్ లావాదేవీ దాని ఆపరేషన్ పూర్తి కావడానికి కోర్ వేచి ఉంటుంది. ఉదాహరణకుampఅంటే, పెండింగ్‌లో ఉన్న మెమరీ యాక్సెస్ లావాదేవీ ఉంటే, కోర్ పూర్తి ప్రతిస్పందన కోసం వేచి ఉంటుంది. అదేవిధంగా, కోర్ ఏదైనా పెండింగ్‌లో ఉన్న సూచన ప్రతిస్పందనను అంగీకరిస్తుంది కానీ reset_req సిగ్నల్ అందుకున్న తర్వాత సూచన అభ్యర్థనను జారీ చేయదు.
రీసెట్ ఆపరేషన్ కింది ప్రవాహాన్ని కలిగి ఉంటుంది: 1. పెండింగ్‌లో ఉన్న అన్ని ఆపరేషన్‌లను పూర్తి చేయండి 2. అంతర్గత పైప్‌లైన్‌ను ఫ్లష్ చేయండి 3. ప్రోగ్రామ్ కౌంటర్‌ను రీసెట్ వెక్టర్‌కు సెట్ చేయండి 4. కోర్‌ను రీసెట్ చేయండి మొత్తం రీసెట్ ఆపరేషన్‌కు కొన్ని క్లాక్ సైకిల్స్ పడుతుంది. reset_req_ack ధృవీకరించబడే వరకు reset_req ధృవీకరించబడి ఉండాలి, ఇది కోర్ రీసెట్ ఆపరేషన్ విజయవంతంగా పూర్తయిందని సూచిస్తుంది. అలా చేయడంలో విఫలమైతే కోర్ యొక్క స్థితి నిర్ణయాత్మకం కానిదిగా మారుతుంది.

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 36

అభిప్రాయాన్ని పంపండి

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్ 726952 తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్ | 2025.07.16
2.4.2.1. సాధారణ వినియోగ సందర్భాలు
· సిస్టమ్‌లోని ఇతర FPGA హోస్ట్‌లు Nios V ప్రాసెసర్ బూట్ మెమరీని ప్రారంభించే వరకు Nios V ప్రాసెసర్ కోర్ దాని రీసెట్ వెక్టర్ నుండి ప్రోగ్రామ్ అమలును ప్రారంభించకుండా నిరోధించడానికి మీరు పవర్-ఆన్ నుండి reset_req సిగ్నల్‌ను నొక్కి చెప్పవచ్చు. ఈ సందర్భంలో, మొత్తం సబ్‌సిస్టమ్ క్లీన్ హార్డ్‌వేర్ రీసెట్‌ను అనుభవించగలదు. ఇతర FPGA హోస్ట్‌లు ప్రాసెసర్ బూట్ మెమరీని ప్రారంభించే వరకు Nios V ప్రాసెసర్ రీసెట్ అభ్యర్థన స్థితిలో నిరవధికంగా ఉంచబడుతుంది.
· మీరు మిగిలిన సిస్టమ్‌కు అంతరాయం కలిగించకుండా Nios V ప్రాసెసర్ కోర్‌ను రీసెట్ చేయాల్సిన సిస్టమ్‌లో, మీరు reset_req సిగ్నల్‌ను కోర్ యొక్క ప్రస్తుత ఆపరేషన్‌ను క్లీన్‌గా ఆపివేసి, సిస్టమ్ reset_req_ack సిగ్నల్‌ను విడుదల చేసిన తర్వాత రీసెట్ వెక్టర్ నుండి ప్రాసెసర్‌ను పునఃప్రారంభించవచ్చు.
· కింది పనుల అమలును సులభతరం చేయడానికి బాహ్య హోస్ట్ రీసెట్ అభ్యర్థన ఇంటర్‌ఫేస్‌ను ఉపయోగించవచ్చు:
— ప్రస్తుత Nios V ప్రాసెసర్ ప్రోగ్రామ్‌ను ఆపండి.
— Nios V ప్రాసెసర్ బూట్ మెమరీలోకి కొత్త ప్రోగ్రామ్‌ను లోడ్ చేయండి.
— ప్రాసెసర్ కొత్త ప్రోగ్రామ్‌ను అమలు చేయడం ప్రారంభించడానికి అనుమతించండి.
reset_req_ack సిగ్నల్ స్థితిని పర్యవేక్షించడానికి టైమ్‌అవుట్ మెకానిజమ్‌ను అమలు చేయాలని Altera మిమ్మల్ని సిఫార్సు చేస్తోంది. Nios V ప్రాసెసర్ కోర్ అనంతమైన వేచి ఉండే స్థితిలోకి వెళ్లి తెలియని కారణంతో నిలిచిపోతే, reset_req_ack నిరవధికంగా నిర్ధారించలేదు. టైమ్‌అవుట్ మెకానిజం మిమ్మల్ని వీటిని అనుమతిస్తుంది:
· రికవరీ గడువు ముగిసే వ్యవధిని నిర్వచించండి మరియు సిస్టమ్ స్థాయి రీసెట్‌తో సిస్టమ్ రికవరీని నిర్వహించండి.
· హార్డ్‌వేర్ స్థాయి రీసెట్‌ను అమలు చేయండి.
2.4.3. విడుదల IPని రీసెట్ చేయండి
Altera SDM-ఆధారిత పరికరాలు సమాంతర, సెక్టార్-ఆధారిత నిర్మాణాన్ని ఉపయోగిస్తాయి, ఇది బహుళ రంగాలలో కోర్ ఫాబ్రిక్ లాజిక్‌ను పంపిణీ చేస్తుంది. రీసెట్ సర్క్యూట్‌కు ప్రారంభ ఇన్‌పుట్‌లలో ఒకటిగా Reset Release Altera FPGA IPని ఉపయోగించమని Altera మిమ్మల్ని సిఫార్సు చేస్తుంది. Intel® SDM-ఆధారిత పరికరాల్లో Stratix® 10 మరియు AgilexTM పరికరాలు ఉంటాయి. కంట్రోల్-బ్లాక్ ఆధారిత పరికరాలు ఈ అవసరం ద్వారా ప్రభావితం కావు.
సంబంధిత సమాచారం
AN 891: రీసెట్ రిలీజ్ ఆల్టెరా FPGA IP ని ఉపయోగించడం
2.5. డిఫాల్ట్ ఏజెంట్‌ను కేటాయించడం
ప్లాట్‌ఫామ్ డిజైనర్ ఎర్రర్ రెస్పాన్స్ డిఫాల్ట్ ఏజెంట్‌గా పనిచేసే డిఫాల్ట్ ఏజెంట్‌ను పేర్కొనడానికి మిమ్మల్ని అనుమతిస్తుంది. మీరు నియమించే డిఫాల్ట్ ఏజెంట్ చిరునామా మ్యాప్‌లోకి డీకోడ్ చేయని యాక్సెస్‌లను ప్రయత్నించే హోస్ట్‌లకు ఎర్రర్ రెస్పాన్స్ సర్వీస్‌ను అందిస్తుంది.
కింది దృశ్యాలు డీకోడ్ చేయని ఈవెంట్‌ను ప్రేరేపిస్తాయి:
· బస్సు లావాదేవీ భద్రతా స్థితి ఉల్లంఘన
· నిర్వచించబడని మెమరీ ప్రాంతానికి లావాదేవీ యాక్సెస్
· మినహాయింపు ఈవెంట్ మరియు మొదలైనవి.

అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 37

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్‌తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్
726952 | 2025.07.16

నిర్వచించబడని లావాదేవీని డిఫాల్ట్ ఏజెంట్‌కు తిరిగి మళ్లించి, తదనంతరం Nios V ప్రాసెసర్‌కు దోష ప్రతిస్పందనతో ప్రతిస్పందించే అటువంటి సంఘటనలను నిర్వహించడానికి ఒక డిఫాల్ట్ ఏజెంట్‌ను కేటాయించాలి.
సంబంధిత సమాచారం
· క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ యూజర్ గైడ్: ప్లాట్‌ఫామ్ డిజైనర్. డిఫాల్ట్ ఏజెంట్‌ను నియమించడం
· క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ యూజర్ గైడ్: ప్లాట్‌ఫామ్ డిజైనర్. ఎర్రర్ రెస్పాన్స్ స్లేవ్ ఆల్టెరా FPGA IP
· గితుబ్ – Qsys కోసం అనుబంధ రీసెట్ భాగాలు

2.6. ప్రింటింగ్ కోసం UART ఏజెంట్‌ను కేటాయించడం
సాఫ్ట్‌వేర్ అప్లికేషన్‌ను డీబగ్ చేయడానికి, అలాగే మీ సిస్టమ్ స్థితిని పర్యవేక్షించడానికి ప్రింటింగ్ ఉపయోగపడుతుంది. సాఫ్ట్‌వేర్ అప్లికేషన్ యొక్క స్టార్టప్ సందేశం, దోష సందేశం మరియు అమలు పురోగతి వంటి ప్రాథమిక సమాచారాన్ని ప్రింట్ చేయాలని ఆల్టెరా సిఫార్సు చేస్తుంది.
కింది పరిస్థితులలో printf() లైబ్రరీ ఫంక్షన్‌ను ఉపయోగించకుండా ఉండండి: · ఏ హోస్ట్ అవుట్‌పుట్‌ను చదవకపోతే printf() లైబ్రరీ అప్లికేషన్‌ను నిలిపివేస్తుంది.
ఇది J కి వర్తిస్తుందిTAG UART మాత్రమే. · printf() లైబ్రరీ పెద్ద మొత్తంలో ప్రోగ్రామ్ మెమరీని వినియోగిస్తుంది.

2.6.1. J ద్వారా స్టాల్స్‌ను నివారించడంTAG UART

పట్టిక 23. సాంప్రదాయ UART మరియు J మధ్య తేడాలుTAG UART

UART రకం సాంప్రదాయ UART

వివరణ
బాహ్య హోస్ట్ వింటుందా లేదా అనే దానితో సంబంధం లేకుండా సీరియల్ డేటాను ప్రసారం చేస్తుంది. ఏ హోస్ట్ కూడా సీరియల్ డేటాను చదవకపోతే, డేటా పోతుంది.

JTAG UART

ప్రసారం చేయబడిన డేటాను అవుట్‌పుట్ బఫర్‌కు వ్రాస్తుంది మరియు దానిని ఖాళీ చేయడానికి బఫర్ నుండి చదవడానికి బాహ్య హోస్ట్‌పై ఆధారపడుతుంది.

ది జెTAG అవుట్‌పుట్ బఫర్ నిండిన తర్వాత UART డ్రైవర్ వేచి ఉంటుంది. JTAG UART డ్రైవర్ మరింత ట్రాన్స్మిట్ డేటాను వ్రాయడానికి ముందు అవుట్పుట్ బఫర్ నుండి బాహ్య హోస్ట్ చదవడానికి వేచి ఉంటుంది. ఈ ప్రక్రియ ట్రాన్స్మిట్ డేటా నష్టాన్ని నిరోధిస్తుంది.
అయితే, సిస్టమ్ డీబగ్గింగ్ అవసరం లేనప్పుడు, ఉత్పత్తి సమయంలో వంటివి, ఎంబెడెడ్ సిస్టమ్‌లు J కి కనెక్ట్ చేయబడిన హోస్ట్ PC లేకుండానే అమలు చేయబడతాయి.TAG UART. సిస్టమ్ J ని ఎంచుకుంటేTAG UART ఏజెంట్‌గా UART, బాహ్య హోస్ట్ కనెక్ట్ చేయబడనందున ఇది సిస్టమ్‌ను నిలిపివేస్తుంది.
J ద్వారా ఆగిపోకుండా నిరోధించడానికిTAG UART, ఈ క్రింది ఎంపికలను వర్తింపజేయండి:

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 38

అభిప్రాయాన్ని పంపండి

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్‌తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్
726952 | 2025.07.16

పట్టిక 24. J ద్వారా స్టాలింగ్ నివారణTAG UART

ఎంపికలు
UART ఇంటర్‌ఫేస్ మరియు డ్రైవర్ లేవు.
ఇతర UART ఇంటర్ఫేస్ మరియు డ్రైవర్‌ను ఉపయోగించండి
ప్రిజర్వ్ జెTAG UART ఇంటర్ఫేస్ (డ్రైవర్ లేకుండా)

హార్డ్‌వేర్ అభివృద్ధి సమయంలో (ప్లాట్‌ఫామ్ డిజైనర్‌లో)

సాఫ్ట్‌వేర్ డెవలప్‌మెంట్ సమయంలో (బోర్డ్ సపోర్ట్ ప్యాకేజీ ఎడిటర్‌లో)

J ని తీసివేయండిTAG సిస్టమ్ నుండి UART

hal.stdin, hal.stdout మరియు hal.stderr లను ఏదీ కాదుగా కాన్ఫిగర్ చేయండి.

J ని భర్తీ చేయండిTAG ఇతర సాఫ్ట్ కాన్ఫిగర్లతో UART hal.stdin, hal.stdout మరియు hal.stderr

UART ఐపీ

ఇతర మృదువైన UART IP తో.

ప్రిజర్వ్ జెTAG వ్యవస్థలో UART

· బోర్డ్ సపోర్ట్ ప్యాకేజీ ఎడిటర్‌లో hal.stdin, hal.stdout మరియు hal.stderr లను None గా కాన్ఫిగర్ చేయండి.
· J ని నిలిపివేయండిTAG BSP డ్రైవర్ ట్యాబ్‌లో UART డ్రైవర్.

2.7. జెTAG సంకేతాలు
నియోస్ V ప్రాసెసర్ డీబగ్ మాడ్యూల్ J ని ఉపయోగిస్తుందిTAG సాఫ్ట్‌వేర్ ELF డౌన్‌లోడ్ మరియు సాఫ్ట్‌వేర్ డీబగ్గింగ్ కోసం ఇంటర్‌ఫేస్. మీరు మీ డిజైన్‌ను J తో డీబగ్ చేసినప్పుడుTAG ఇంటర్‌ఫేస్, JTAG డిజైన్‌లో భాగంగా TCK, TMS, TDI, మరియు TDO సిగ్నల్‌లను అమలు చేస్తారు. J ని పేర్కొనడంTAG ప్రతి నియోస్ V ప్రాసెసర్ సిస్టమ్‌లో సిగ్నల్ పరిమితులు ఒక ముఖ్యమైన సిస్టమ్ డిజైన్ పరిశీలన మరియు ఇది సరైనది మరియు నిర్ణయాత్మక ప్రవర్తనకు అవసరం.
ఏదైనా డిజైన్ యొక్క సిస్టమ్ క్లాక్ ఫ్రీక్వెన్సీ J కంటే కనీసం నాలుగు రెట్లు ఉండాలని ఆల్టెరా సిఫార్సు చేస్తోందిTAG ఆన్-చిప్ ఇన్స్ట్రుమెంటేషన్ (OCI) కోర్ సరిగ్గా పనిచేస్తుందని నిర్ధారించుకోవడానికి క్లాక్ ఫ్రీక్వెన్సీని ఉపయోగించండి.
సంబంధిత సమాచారం · క్వార్టస్® ప్రైమ్ టైమింగ్ అనలైజర్ కుక్‌బుక్: జెTAG సంకేతాలు
J గురించి మరింత సమాచారం కోసంTAG సమయ పరిమితుల మార్గదర్శకాలు. · KDB: పైప్‌లైన్ చేయని Nios® V/m ప్రాసెసర్‌తో niosv-డౌన్‌లోడ్ ఎందుకు విఫలమవుతుంది?
JTAG ఫ్రీక్వెన్సీ 24MHz లేదా 16Mhz?
2.8. ప్లాట్‌ఫామ్ డిజైనర్ సిస్టమ్ పనితీరును ఆప్టిమైజ్ చేయడం
ప్లాట్‌ఫామ్ డిజైనర్ ఆల్టెరా FPGA డిజైన్‌ల కోసం సిస్టమ్ ఇంటర్‌కనెక్ట్ పనితీరును ఆప్టిమైజ్ చేయడానికి సాధనాలను అందిస్తుంది.

అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 39

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్‌తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్
726952 | 2025.07.16
చిత్రం 25. ఆప్టిమైజేషన్ ఉదాampలెస్

మాజీampచిత్రంలో చూపిన le కింది దశలను ప్రదర్శిస్తుంది:
1. పైప్‌లైన్ బ్రిడ్జిని ఉంచడం ద్వారా క్లిష్టమైన మార్గాలను తగ్గించడానికి దీనిని జోడిస్తుంది: a. ఇన్‌స్ట్రక్షన్ మేనేజర్ మరియు దాని ఏజెంట్ల మధ్య b. డేటా మేనేజర్ మరియు దాని ఏజెంట్ల మధ్య
2. ట్రూ డ్యూయల్ పోర్ట్ ఆన్-చిప్ RAM ని అప్లై చేయండి, ప్రతి పోర్ట్ వరుసగా ఇన్స్ట్రక్షన్ మేనేజర్ మరియు డేటా మేనేజర్ కు అంకితం చేయబడింది.

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 40

అభిప్రాయాన్ని పంపండి

2. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్ 726952 తో నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్ డిజైన్ | 2025.07.16
అందుబాటులో ఉన్న సాధనాలను ఉపయోగించుకునే పద్ధతులను మరియు ప్రతి అమలు యొక్క ట్రేడ్-ఆఫ్‌లను ప్రదర్శించే క్రింది సంబంధిత లింక్‌లను చూడండి.
సంబంధిత సమాచారం · క్వార్టస్® ప్రైమ్ ప్రో ఎడిషన్ యూజర్ గైడ్: ప్లాట్‌ఫామ్ డిజైనర్
మరిన్ని వివరాల కోసం ప్లాట్‌ఫామ్ డిజైనర్ సిస్టమ్ పనితీరును ఆప్టిమైజ్ చేయడం అనే అంశాన్ని చూడండి. · క్వార్టస్® ప్రైమ్ స్టాండర్డ్ ఎడిషన్ యూజర్ గైడ్: ప్లాట్‌ఫామ్ డిజైనర్ మరిన్ని వివరాల కోసం ప్లాట్‌ఫామ్ డిజైనర్ సిస్టమ్ పనితీరును ఆప్టిమైజ్ చేయడం అనే అంశాన్ని చూడండి.

అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 41

726952 | 2025.07.16 అభిప్రాయాన్ని పంపండి

3. నియోస్ V ప్రాసెసర్ సాఫ్ట్‌వేర్ సిస్టమ్ డిజైన్
ఈ అధ్యాయం నియోస్ V ప్రాసెసర్ సాఫ్ట్‌వేర్ డెవలప్‌మెంట్ ఫ్లో మరియు మీ ఎంబెడెడ్ డిజైన్ సిస్టమ్‌ను అభివృద్ధి చేయడంలో మీరు ఉపయోగించగల సాఫ్ట్‌వేర్ సాధనాలను వివరిస్తుంది. కంటెంట్ ఓవర్‌గా పనిచేస్తుందిview నియోస్ V ప్రాసెసర్ సాఫ్ట్‌వేర్ సిస్టమ్‌ను అభివృద్ధి చేయడానికి ముందు.
చిత్రం 26. సాఫ్ట్‌వేర్ డిజైన్ ఫ్లో
ప్రారంభించండి

BSP ఎడిటర్‌ని ఉపయోగించి ప్లాట్‌ఫామ్ డిజైనర్‌లో BSPని రూపొందించండి.

నియోస్ V కమాండ్ షెల్ ఉపయోగించి BSP ని ఉత్పత్తి చేయండి
అప్లికేషన్ CMake బిల్డ్‌ను రూపొందించండి File నియోస్ V కమాండ్ షెల్ ఉపయోగించడం

గమనిక:

BSP మరియు అప్లికేషన్ CMake బిల్డ్‌ను దిగుమతి చేసుకోండి File
నియోస్ V ప్రాసెసర్ అప్లికేషన్‌ను ఉపయోగించి నిర్మించండి
ఇంటెల్ FPGA కోసం రిస్క్‌ఫ్రీ IDE

ఏదైనా ఉపయోగించి నియోస్ V ప్రాసెసర్ అప్లికేషన్‌ను నిర్మించండి
కమాండ్-లైన్ సోర్స్ కోడ్ ఎడిటర్, CMake, మరియు Make
ఆదేశాలు
ముగింపు

సాఫ్ట్‌వేర్ డెవలప్‌మెంట్ మరియు డీబగ్గింగ్ కోసం మీరు ఆల్టెరా FPGA డెవలప్‌మెంట్ కిట్ లేదా కస్టమ్ ప్రోటోటైప్ బోర్డ్‌ను ఉపయోగించాలని ఆల్టెరా సిఫార్సు చేస్తోంది. మీ సాఫ్ట్‌వేర్ వాస్తవ బోర్డులో నడుస్తున్నప్పుడు మాత్రమే అనేక పెరిఫెరల్స్ మరియు సిస్టమ్-స్థాయి ఫీచర్లు అందుబాటులో ఉంటాయి.

© ఆల్టెరా కార్పొరేషన్. ఆల్టెరా, ఆల్టెరా లోగో, `a' లోగో మరియు ఇతర ఆల్టెరా గుర్తులు ఆల్టెరా కార్పొరేషన్ యొక్క ట్రేడ్‌మార్క్‌లు. ఏ సమయంలోనైనా నోటీసు లేకుండా ఏవైనా ఉత్పత్తులు మరియు సేవలకు మార్పులు చేసే హక్కు ఆల్టెరాకు ఉంది. ఆల్టెరా ద్వారా స్పష్టంగా వ్రాతపూర్వకంగా అంగీకరించబడినది తప్ప, ఇక్కడ వివరించిన ఏదైనా సమాచారం, ఉత్పత్తి లేదా సేవ యొక్క అప్లికేషన్ లేదా ఉపయోగం నుండి ఉత్పన్నమయ్యే ఎటువంటి బాధ్యత లేదా బాధ్యతను ఆల్టెరా స్వీకరించదు. ఆల్టెరా కస్టమర్‌లు ఏదైనా ప్రచురించబడిన సమాచారంపై ఆధారపడే ముందు మరియు ఉత్పత్తులు లేదా సేవల కోసం ఆర్డర్‌లు ఇచ్చే ముందు పరికర నిర్దేశాల యొక్క తాజా వెర్షన్‌ను పొందాలని సూచించారు. *ఇతర పేర్లు మరియు బ్రాండ్‌లను ఇతరుల ఆస్తిగా క్లెయిమ్ చేయవచ్చు.

3. నియోస్ V ప్రాసెసర్ సాఫ్ట్‌వేర్ సిస్టమ్ డిజైన్ 726952 | 2025.07.16
3.1. నియోస్ V ప్రాసెసర్ సాఫ్ట్‌వేర్ డెవలప్‌మెంట్ ఫ్లో
3.1.1. బోర్డు సపోర్ట్ ప్యాకేజీ ప్రాజెక్ట్
నియోస్ V బోర్డ్ సపోర్ట్ ప్యాకేజీ (BSP) ప్రాజెక్ట్ అనేది సిస్టమ్-నిర్దిష్ట సపోర్ట్ కోడ్‌ను కలిగి ఉన్న ఒక ప్రత్యేక లైబ్రరీ. నియోస్ V ప్రాసెసర్ హార్డ్‌వేర్ సిస్టమ్‌లోని ఒక ప్రాసెసర్ కోసం అనుకూలీకరించిన సాఫ్ట్‌వేర్ రన్‌టైమ్ వాతావరణాన్ని BSP అందిస్తుంది.
క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ BSP యొక్క ప్రవర్తనను నియంత్రించే సెట్టింగ్‌లను సవరించడానికి Nios V బోర్డ్ సపోర్ట్ ప్యాకేజీ ఎడిటర్ మరియు niosv-bsp యుటిలిటీ సాధనాలను అందిస్తుంది.
BSP కింది అంశాలను కలిగి ఉంటుంది: · హార్డ్‌వేర్ అబ్‌స్ట్రాక్షన్ లేయర్ · పరికర డ్రైవర్లు · ఐచ్ఛిక సాఫ్ట్‌వేర్ ప్యాకేజీలు · ఐచ్ఛిక రియల్-టైమ్ ఆపరేటింగ్ సిస్టమ్
3.1.2. అప్లికేషన్ ప్రాజెక్ట్
Nios VC/C++ అప్లికేషన్ ప్రాజెక్ట్ కింది లక్షణాలను కలిగి ఉంటుంది: · సోర్స్ కోడ్ సేకరణ మరియు CMakeLists.txtను కలిగి ఉంటుంది.
— CMakeLists.txt సోర్స్ కోడ్‌ను కంపైల్ చేసి, దానిని BSP మరియు ఒకటి లేదా అంతకంటే ఎక్కువ ఐచ్ఛిక లైబ్రరీలతో లింక్ చేసి, ఒక .elfని సృష్టిస్తుంది. file
· మూలాలలో ఒకటి files లో main() ఫంక్షన్ ఉంటుంది. · లైబ్రరీలు మరియు BSPలలో ఫంక్షన్లను పిలిచే కోడ్ ఉంటుంది.
Altera అప్లికేషన్ CMakeLists.txt ను సృష్టించడానికి Quartus Prime సాఫ్ట్‌వేర్ యుటిలిటీ టూల్స్‌లో niosv-యాప్ యుటిలిటీ టూల్‌ను అందిస్తుంది మరియు ఎక్లిప్స్-ఆధారిత వాతావరణంలో సోర్స్ కోడ్‌ను సవరించడానికి Altera FPGA ల కోసం RiscFree IDE ని అందిస్తుంది.
3.2. ఆల్టెరా FPGA ఎంబెడెడ్ డెవలప్‌మెంట్ టూల్స్
Nios V ప్రాసెసర్ సాఫ్ట్‌వేర్ అభివృద్ధి కోసం కింది సాధనాలకు మద్దతు ఇస్తుంది: · గ్రాఫికల్ యూజర్ ఇంటర్‌ఫేస్ (GUI) - అందుబాటులో ఉన్న గ్రాఫికల్ అభివృద్ధి సాధనాలు
విండోస్* మరియు లైనక్స్* ఆపరేటింగ్ సిస్టమ్స్ (OS) రెండూ. — Nios V బోర్డ్ సపోర్ట్ ప్యాకేజీ ఎడిటర్ (Nios V BSP ఎడిటర్) — Altera FPGAల కోసం Ashling RiscFree IDE · కమాండ్-లైన్ టూల్స్ (CLI) – Nios V కమాండ్ షెల్ నుండి ప్రారంభించబడిన అభివృద్ధి సాధనాలు. ప్రతి సాధనం కమాండ్ లైన్ నుండి యాక్సెస్ చేయగల సహాయం రూపంలో దాని స్వంత డాక్యుమెంటేషన్‌ను అందిస్తుంది. Nios V కమాండ్ షెల్‌ను తెరిచి కింది ఆదేశాన్ని టైప్ చేయండి: –సహాయం చేయండి view సహాయ మెను. — Nios V యుటిలిటీస్ టూల్స్ — File ఫార్మాట్ కన్వర్షన్ టూల్స్ — ఇతర యుటిలిటీస్ టూల్స్

అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 43

3. నియోస్ V ప్రాసెసర్ సాఫ్ట్‌వేర్ సిస్టమ్ డిజైన్ 726952 | 2025.07.16

పట్టిక 25. GUI సాధనాలు మరియు కమాండ్-లైన్ సాధనాల విధుల సారాంశం

టాస్క్

GUI సాధనం

కమాండ్-లైన్ సాధనం

బిఎస్పిని సృష్టించడం

నియోస్ V BSP ఎడిటర్

· క్వార్టస్ ప్రైమ్ ప్రో ఎడిషన్ సాఫ్ట్‌వేర్‌లో: niosv-bsp -c -s=<.qsys file> -t= [ఐచ్ఛికాలు] సెట్టింగులు.bsp
· క్వార్టస్ ప్రైమ్ స్టాండర్డ్ ఎడిషన్ సాఫ్ట్‌వేర్‌లో: niosv-bsp -c -s=<.sopcinfo file> -t= [ఐచ్ఛికాలు] సెట్టింగులు.bsp

ఇప్పటికే ఉన్న .bsp ఉపయోగించి BSPని రూపొందించడం file
BSP ని అప్‌డేట్ చేస్తోంది

నియోస్ వి బిఎస్పి ఎడిటర్ నియోస్ వి బిఎస్పి ఎడిటర్

niosv-bsp -g [ఐచ్ఛికాలు] settings.bsp niosv-bsp -u [ఐచ్ఛికాలు] settings.bsp

BSPని పరిశీలించడం

నియోస్ V BSP ఎడిటర్

నియోస్వి-బిఎస్పి -క్యూ -ఇ= [ఐచ్ఛికాలు] సెట్టింగులు.bsp

ఒక అప్లికేషన్‌ను సృష్టించడం

నియోస్వ్-యాప్ -ఎ= -బి= -లు= files డైరెక్టరీ> [ఐచ్ఛికాలు]

వినియోగదారు లైబ్రరీని సృష్టిస్తోంది

నియోస్వ్-యాప్ -l= -లు= files డైరెక్టరీ> -p= [ఎంపికలు]

అప్లికేషన్‌ను సవరించడం వినియోగదారు లైబ్రరీని సవరించడం అప్లికేషన్‌ను నిర్మించడం

Altera FPGAల కోసం RiscFree IDE
Altera FPGAల కోసం RiscFree IDE
Altera FPGAల కోసం RiscFree IDE

ఏదైనా కమాండ్-లైన్ సోర్స్ ఎడిటర్
ఏదైనా కమాండ్-లైన్ సోర్స్ ఎడిటర్
· తయారు · cmake

వినియోగదారు లైబ్రరీని నిర్మించడం

Altera FPGAల కోసం RiscFree IDE

· తయారు · cmake

ELF అప్లికేషన్‌ను డౌన్‌లోడ్ చేస్తోంది
.elf ని మారుస్తోంది file

Altera FPGAల కోసం RiscFree IDE

niosv-డౌన్‌లోడ్
· ఎల్ఫ్2ఫ్లాష్ · ఎల్ఫ్2హెక్స్

సంబంధిత సమాచారం
Altera FPGAs యూజర్ గైడ్ కోసం Ashling RiscFree ఇంటిగ్రేటెడ్ డెవలప్‌మెంట్ ఎన్విరాన్‌మెంట్ (IDE)

3.2.1. నియోస్ V ప్రాసెసర్ బోర్డ్ సపోర్ట్ ప్యాకేజీ ఎడిటర్
మీరు ఈ క్రింది పనులను నిర్వహించడానికి Nios V ప్రాసెసర్ BSP ఎడిటర్‌ను ఉపయోగించవచ్చు: · Nios V ప్రాసెసర్ BSP ప్రాజెక్ట్‌ను సృష్టించండి లేదా సవరించండి · సెట్టింగ్‌లు, లింకర్ ప్రాంతాలు మరియు విభాగం మ్యాపింగ్‌లను సవరించండి · సాఫ్ట్‌వేర్ ప్యాకేజీలు మరియు పరికర డ్రైవర్‌లను ఎంచుకోండి.
BSP ఎడిటర్ యొక్క సామర్థ్యాలలో niosv-bsp యుటిలిటీల సామర్థ్యాలు కూడా ఉన్నాయి. BSP ఎడిటర్‌లో సృష్టించబడిన ఏదైనా ప్రాజెక్ట్‌ను కమాండ్-లైన్ యుటిలిటీలను ఉపయోగించి కూడా సృష్టించవచ్చు.

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 44

అభిప్రాయాన్ని పంపండి

3. నియోస్ V ప్రాసెసర్ సాఫ్ట్‌వేర్ సిస్టమ్ డిజైన్ 726952 | 2025.07.16

గమనిక:

క్వార్టస్ ప్రైమ్ స్టాండర్డ్ ఎడిషన్ సాఫ్ట్‌వేర్ కోసం, BSP ఎడిటర్ GUI ని అమలు చేయడానికి దశల కోసం AN 980: నియోస్ V ప్రాసెసర్ క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ సపోర్ట్‌ను చూడండి.

BSP ఎడిటర్‌ను ప్రారంభించడానికి, ఈ దశలను అనుసరించండి: 1. ప్లాట్‌ఫామ్ డిజైనర్‌ను తెరిచి, నావిగేట్ చేయండి File మెను.
a. ఇప్పటికే ఉన్న BSP సెట్టింగ్‌ను తెరవడానికి file, ఓపెన్ క్లిక్ చేయండి… b. కొత్త BSPని సృష్టించడానికి, కొత్త BSPని క్లిక్ చేయండి… 2. BSP ఎడిటర్ ట్యాబ్‌ను ఎంచుకుని తగిన వివరాలను అందించండి.

చిత్రం 27. BSP ఎడిటర్‌ను ప్రారంభించండి

సంబంధిత సమాచారం AN 980: నియోస్ V ప్రాసెసర్ క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ సపోర్ట్
3.2.2. ఆల్టెరా FPGA ల కోసం రిస్క్‌ఫ్రీ IDE
Altera FPGA ల కోసం RiscFree IDE అనేది Nios V ప్రాసెసర్ కోసం ఎక్లిప్స్-ఆధారిత IDE. ఈ క్రింది కారణాల వల్ల ఈ IDE లో Nios V ప్రాసెసర్ సాఫ్ట్‌వేర్‌ను అభివృద్ధి చేయాలని Altera సిఫార్సు చేస్తుంది: · లక్షణాలు Nios V తో అనుకూలంగా ఉండేలా అభివృద్ధి చేయబడ్డాయి మరియు ధృవీకరించబడ్డాయి.
ప్రాసెసర్ బిల్డ్ ఫ్లో. · మీకు అవసరమైన అన్ని టూల్‌చైన్‌లు మరియు సపోర్టింగ్ టూల్స్‌తో అమర్చబడి ఉంటుంది, ఇది
నియోస్ V ప్రాసెసర్ అభివృద్ధిని సులభంగా ప్రారంభించడానికి.
సంబంధిత సమాచారం ఆల్టెరా FPGAs కోసం Ashling RiscFree ఇంటిగ్రేటెడ్ డెవలప్‌మెంట్ ఎన్విరాన్‌మెంట్ (IDE) యూజర్ గైడ్
3.2.3. నియోస్ V యుటిలిటీస్ టూల్స్
మీరు కమాండ్ లైన్‌లో టైప్ చేసిన లేదా స్క్రిప్ట్‌లో పొందుపరిచిన ఆదేశాలతో Nios V ప్రోగ్రామ్‌లను సృష్టించవచ్చు, సవరించవచ్చు మరియు నిర్మించవచ్చు. ఈ విభాగంలో వివరించిన Nios V కమాండ్-లైన్ సాధనాలు /niosv/bin డైరెక్టరీ.

అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 45

3. నియోస్ V ప్రాసెసర్ సాఫ్ట్‌వేర్ సిస్టమ్ డిజైన్ 726952 | 2025.07.16

పట్టిక 26. నియోస్ V యుటిలిటీస్ టూల్స్

కమాండ్-లైన్ సాధనాలు

సారాంశం

niosv-యాప్ niosv-bsp niosv-డౌన్‌లోడ్ niosv-షెల్ niosv-స్టాక్-రిపోర్ట్

అప్లికేషన్ ప్రాజెక్ట్‌ను రూపొందించడానికి మరియు కాన్ఫిగర్ చేయడానికి.
BSP సెట్టింగ్‌లను సృష్టించడానికి లేదా నవీకరించడానికి file మరియు BSP ని సృష్టించండి files. ELF ని డౌన్‌లోడ్ చేసుకోవడానికి file Nios® V ప్రాసెసర్‌కి.
నియోస్ V కమాండ్ షెల్‌ను తెరవడానికి. మీ అప్లికేషన్ .elf కు స్టాక్ లేదా హీప్ వాడకం కోసం అందుబాటులో ఉన్న మిగిలిపోయిన మెమరీ స్థలాన్ని మీకు తెలియజేయడానికి.

3.2.4 File ఫార్మాట్ మార్పిడి సాధనాలు

File ఒక యుటిలిటీ నుండి మరొక యుటిలిటీకి డేటాను పంపేటప్పుడు ఫార్మాట్ మార్పిడి కొన్నిసార్లు అవసరం. file ఫార్మాట్ మార్పిడి సాధనాలు ఉన్నాయి
సాఫ్ట్‌వేర్ ఇన్‌స్టాలేషన్ డైరెక్టరీ>/niosv/bin డైరెక్టరీ.

పట్టిక 27. File ఫార్మాట్ మార్పిడి సాధనాలు

కమాండ్-లైన్ టూల్స్ elf2flash elf2hex

సారాంశం .elf అనువదించడానికి file ఫ్లాష్ మెమరీ ప్రోగ్రామింగ్ కోసం .srec ఫార్మాట్‌కి. .elfని అనువదించడానికి file మెమరీ ఇనిషియలైజేషన్ కోసం .hex ఫార్మాట్‌కి.

3.2.5. ఇతర యుటిలిటీస్ సాధనాలు

Nios V ప్రాసెసర్ ఆధారిత వ్యవస్థను నిర్మించేటప్పుడు మీకు ఈ క్రింది కమాండ్-లైన్ సాధనాలు అవసరం కావచ్చు. ఈ కమాండ్-లైన్ సాధనాలను ఇంటెల్ అందించింది /క్వార్టస్/బిన్ లేదా నుండి పొందినది
ఓపెన్-సోర్స్ సాధనాలు.

పట్టిక 28. ఇతర కమాండ్-లైన్ సాధనాలు

కమాండ్-లైన్ సాధనాలు

టైప్ చేయండి

సారాంశం

జుఆర్ట్-టెర్మినల్

ఇంటెల్ అందించినది

stdout మరియు stderr లను పర్యవేక్షించడానికి మరియు Nios® V ప్రాసెసర్‌కు ఇన్‌పుట్ అందించడానికి
stdin ద్వారా ఉపవ్యవస్థ. ఈ సాధనం J కి మాత్రమే వర్తిస్తుందిTAG Nios® V ప్రాసెసర్‌కి కనెక్ట్ చేయబడినప్పుడు UART IP.

ఓపెన్‌ఓసిడి

OpenOCDని అమలు చేయడానికి ఇంటెల్ అందించింది.

openocd-cfg-gen ద్వారా మరిన్ని

ఇంటెల్ అందించిన · OpenOCD కాన్ఫిగరేషన్‌ను రూపొందించడానికి file. · J ప్రదర్శించడానికిTAG గొలుసు పరికర సూచిక.

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 46

అభిప్రాయాన్ని పంపండి

726952 | 2025.07.16 అభిప్రాయాన్ని పంపండి
4. నియోస్ V ప్రాసెసర్ కాన్ఫిగరేషన్ మరియు బూటింగ్ సొల్యూషన్స్
మీరు Nios V ప్రాసెసర్‌ను వివిధ మెమరీ స్థానాల నుండి సాఫ్ట్‌వేర్‌ను బూట్ చేయడానికి మరియు అమలు చేయడానికి కాన్ఫిగర్ చేయవచ్చు. బూట్ మెమరీ అనేది క్వాడ్ సీరియల్ పెరిఫెరల్ ఇంటర్‌ఫేస్ (QSPI) ఫ్లాష్, ఆన్-చిప్ మెమరీ (OCRAM) లేదా టైట్లీ కపుల్డ్ మెమరీ (TCM).
సంబంధిత సమాచారం · పేజీ 193లో పవర్-అప్ ట్రిగ్గర్ పరిస్థితులు · పవర్-అప్ ట్రిగ్గర్లు
పవర్-అప్ ట్రిగ్గర్‌ల గురించి మరింత సమాచారం కోసం.
4.1. పరిచయం
Nios V ప్రాసెసర్ రెండు రకాల బూట్ ప్రాసెస్‌లకు మద్దతు ఇస్తుంది: · alt_load() ఫంక్షన్‌ని ఉపయోగించి ఎగ్జిక్యూట్-ఇన్-ప్లేస్ (XIP) · బూట్ కాపీయర్‌ని ఉపయోగించి ప్రోగ్రామ్ RAMకి కాపీ చేయబడింది. Nios V ఎంబెడెడ్ ప్రోగ్రామ్‌ల అభివృద్ధి హార్డ్‌వేర్ అబ్‌స్ట్రాక్షన్ లేయర్ (HAL)పై ఆధారపడి ఉంటుంది. HAL ఒక చిన్న బూట్ లోడర్ ప్రోగ్రామ్‌ను (బూట్ కాపీయర్ అని కూడా పిలుస్తారు) అందిస్తుంది, ఇది సంబంధిత లింకర్ విభాగాలను బూట్ మెమరీ నుండి బూట్ సమయంలో వాటి రన్ టైమ్ స్థానానికి కాపీ చేస్తుంది. మీరు బోర్డ్ సపోర్ట్ ప్యాకేజీ (BSP) ఎడిటర్ సెట్టింగ్‌లను మార్చడం ద్వారా ప్రోగ్రామ్ మరియు డేటా మెమరీ రన్ టైమ్ స్థానాలను పేర్కొనవచ్చు. ఈ విభాగం ఇలా వివరిస్తుంది: · మీ Nios V ప్రాసెసర్ సిస్టమ్‌ను బూట్ చేసే Nios V ప్రాసెసర్ బూట్ కాపీయర్
బూట్ మెమరీ ఎంపిక · నియోస్ V ప్రాసెసర్ బూటింగ్ ఎంపికలు మరియు సాధారణ ప్రవాహం · ఎంచుకున్న బూట్ మెమరీ కోసం నియోస్ V ప్రోగ్రామింగ్ పరిష్కారాలు
4.2. అప్లికేషన్లను లింక్ చేయడం
మీరు Nios V ప్రాసెసర్ ప్రాజెక్ట్‌ను రూపొందించినప్పుడు, BSP ఎడిటర్ రెండు లింకర్ సంబంధితాలను ఉత్పత్తి చేస్తుంది files: · linker.x: లింకర్ కమాండ్ file రూపొందించబడిన అప్లికేషన్ యొక్క తయారీfile ఉపయోగిస్తుంది
.elf బైనరీని సృష్టించడానికి file. · linker.h: లింకర్ మెమరీ లేఅవుట్ గురించి సమాచారాన్ని కలిగి ఉంటుంది. మీరు BSP ప్రాజెక్ట్‌కు చేసే అన్ని లింకర్ సెట్టింగ్ మార్పులు ఈ రెండు లింకర్‌ల కంటెంట్‌లను ప్రభావితం చేస్తాయి. fileప్రతి Nios V ప్రాసెసర్ అప్లికేషన్ కింది లింకర్ విభాగాలను కలిగి ఉంటుంది:
© ఆల్టెరా కార్పొరేషన్. ఆల్టెరా, ఆల్టెరా లోగో, `a' లోగో మరియు ఇతర ఆల్టెరా గుర్తులు ఆల్టెరా కార్పొరేషన్ యొక్క ట్రేడ్‌మార్క్‌లు. ఏ సమయంలోనైనా నోటీసు లేకుండా ఏవైనా ఉత్పత్తులు మరియు సేవలకు మార్పులు చేసే హక్కు ఆల్టెరాకు ఉంది. ఆల్టెరా ద్వారా స్పష్టంగా వ్రాతపూర్వకంగా అంగీకరించబడినది తప్ప, ఇక్కడ వివరించిన ఏదైనా సమాచారం, ఉత్పత్తి లేదా సేవ యొక్క అప్లికేషన్ లేదా ఉపయోగం నుండి ఉత్పన్నమయ్యే ఎటువంటి బాధ్యత లేదా బాధ్యతను ఆల్టెరా స్వీకరించదు. ఆల్టెరా కస్టమర్‌లు ఏదైనా ప్రచురించబడిన సమాచారంపై ఆధారపడే ముందు మరియు ఉత్పత్తులు లేదా సేవల కోసం ఆర్డర్‌లు ఇచ్చే ముందు పరికర నిర్దేశాల యొక్క తాజా వెర్షన్‌ను పొందాలని సూచించారు. *ఇతర పేర్లు మరియు బ్రాండ్‌లను ఇతరుల ఆస్తిగా క్లెయిమ్ చేయవచ్చు.

4. నియోస్ V ప్రాసెసర్ కాన్ఫిగరేషన్ మరియు బూటింగ్ సొల్యూషన్స్ 726952 | 2025.07.16

పట్టిక 29. లింకర్ విభాగాలు

.వచనం

లింకర్ విభాగాలు

.రోడాటా

.rwdata

.బిఎస్ఎస్

.కుప్ప

.స్టాక్

వివరణలు అమలు చేయగల కోడ్. ప్రోగ్రామ్ అమలులో ఉపయోగించే ఏదైనా చదవడానికి మాత్రమే డేటా. ప్రోగ్రామ్ అమలులో ఉపయోగించే చదవడానికి-వ్రాయడానికి డేటాను నిల్వ చేస్తుంది. ప్రారంభించబడని స్టాటిక్ డేటాను కలిగి ఉంటుంది. డైనమిక్‌గా కేటాయించిన మెమరీని కలిగి ఉంటుంది. ఫంక్షన్-కాల్ పారామితులు మరియు ఇతర తాత్కాలిక డేటాను నిల్వ చేస్తుంది.

మీరు .elf కి అదనపు లింకర్ విభాగాలను జోడించవచ్చు file కస్టమ్ కోడ్ మరియు డేటాను ఉంచడానికి. ఈ లింకర్ విభాగాలు పేరున్న మెమరీ ప్రాంతాలలో ఉంచబడ్డాయి, భౌతిక మెమరీ పరికరాలు మరియు చిరునామాలకు అనుగుణంగా నిర్వచించబడ్డాయి. డిఫాల్ట్‌గా, BSP ఎడిటర్ ఈ లింకర్ విభాగాలను స్వయంచాలకంగా ఉత్పత్తి చేస్తుంది. అయితే, మీరు ఒక నిర్దిష్ట అప్లికేషన్ కోసం లింకర్ విభాగాలను నియంత్రించవచ్చు.

4.2.1. లింకింగ్ బిహేవియర్
ఈ విభాగం BSP ఎడిటర్ డిఫాల్ట్ లింకింగ్ ప్రవర్తనను మరియు లింకింగ్ ప్రవర్తనను ఎలా నియంత్రించాలో వివరిస్తుంది.

4.2.1.1. డిఫాల్ట్ BSP లింకింగ్
BSP కాన్ఫిగరేషన్ సమయంలో, సాధనాలు ఈ క్రింది దశలను స్వయంచాలకంగా నిర్వహిస్తాయి:
1. మెమరీ ప్రాంత పేర్లను కేటాయించండి: ప్రతి సిస్టమ్ మెమరీ పరికరానికి ఒక పేరును కేటాయించండి మరియు ప్రతి పేరును లింకర్‌కు జోడించండి file జ్ఞాపక ప్రాంతంగా.
2. అతిపెద్ద మెమరీని కనుగొనండి: లింకర్‌లో అతిపెద్ద రీడ్-అండ్-రైట్ మెమరీ ప్రాంతాన్ని గుర్తించండి. file.
3. లింకర్ విభాగాలను కేటాయించండి: మునుపటి దశలో గుర్తించిన మెమరీ ప్రాంతంలో డిఫాల్ట్ లింకర్ విభాగాలను (.text, .rodata, .rwdata, .bss, .heap, మరియు .stack) ఉంచండి.
4. వ్రాయండి files: linker.x మరియు linker.h లను వ్రాయండి. files.
సాధారణంగా, లింకర్ సెక్షన్ కేటాయింపు పథకం సాఫ్ట్‌వేర్ డెవలప్‌మెంట్ ప్రక్రియలో పనిచేస్తుంది ఎందుకంటే మెమరీ తగినంత పెద్దదిగా ఉంటే అప్లికేషన్ పనిచేస్తుందని హామీ ఇవ్వబడుతుంది.
డిఫాల్ట్ లింకింగ్ ప్రవర్తనకు సంబంధించిన నియమాలు Altera-జనరేటెడ్ Tcl స్క్రిప్ట్‌లు bsp-set-defaults.tcl మరియు bsp-linker-utils.tcl లలో ఉన్నాయి. /niosv/scripts/bsp-defaults డైరెక్టరీ. niosv-bsp కమాండ్ ఈ స్క్రిప్ట్‌లను ప్రేరేపిస్తుంది. ఈ స్క్రిప్ట్‌లను నేరుగా సవరించవద్దు.

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 48

అభిప్రాయాన్ని పంపండి

4. నియోస్ V ప్రాసెసర్ కాన్ఫిగరేషన్ మరియు బూటింగ్ సొల్యూషన్స్ 726952 | 2025.07.16

4.2.1.2. కాన్ఫిగర్ చేయగల BSP లింకింగ్
మీరు BSP ఎడిటర్ యొక్క లింకర్ స్క్రిప్ట్ ట్యాబ్‌లో డిఫాల్ట్ లింకింగ్ ప్రవర్తనను నిర్వహించవచ్చు. కింది పద్ధతులను ఉపయోగించి లింకర్ స్క్రిప్ట్‌ను మార్చండి: · మెమరీ ప్రాంతాన్ని జోడించండి: మెమరీ ప్రాంత పేరును భౌతిక మెమరీ పరికరానికి మ్యాప్ చేయండి. · విభాగం మ్యాపింగ్‌ను జోడించండి: విభాగం పేరును మెమరీ ప్రాంతానికి మ్యాప్ చేయండి. BSP
ఎడిటర్ మిమ్మల్ని అనుమతిస్తుంది view మార్పులు చేయడానికి ముందు మరియు తరువాత మెమరీ మ్యాప్.

4.3. నియోస్ V ప్రాసెసర్ బూటింగ్ పద్ధతులు

Altera FPGA పరికరాల్లో Nios V ప్రాసెసర్‌ను బూట్ చేయడానికి కొన్ని పద్ధతులు ఉన్నాయి. Nios V ప్రాసెసర్‌ను బూట్ చేయడానికి పద్ధతులు ఫ్లాష్ మెమరీ ఎంపిక మరియు పరికర కుటుంబాలను బట్టి మారుతూ ఉంటాయి.

పట్టిక 30. సంబంధిత బూట్ ఐచ్ఛికాలతో మద్దతు ఉన్న ఫ్లాష్ మెమరీలు

మద్దతు ఉన్న బూట్ మెమరీలు

పరికరం

ఆన్-చిప్ ఫ్లాష్ (అంతర్గత కాన్ఫిగరేషన్ కోసం)

గరిష్టంగా 10 పరికరాలు మాత్రమే (ఆన్-చిప్ ఫ్లాష్ IP తో)

సాధారణ ప్రయోజన QSPI ఫ్లాష్ (యూజర్ డేటా కోసం మాత్రమే)

అన్ని మద్దతు ఉన్న FPGA పరికరాలు (జెనరిక్ సీరియల్ ఫ్లాష్ ఇంటర్‌ఫేస్ FPGA IP తో)

కాన్ఫిగరేషన్ QSPI ఫ్లాష్ (యాక్టివ్ సీరియల్ కాన్ఫిగరేషన్ కోసం)

బ్లాక్ ఆధారిత నియంత్రణ
పరికరాలు (జనరిక్ తో
సీరియల్ ఫ్లాష్ ఇంటర్‌ఫేస్ ఇంటెల్ FPGA IP)(2)

నియోస్ V ప్రాసెసర్ బూటింగ్ పద్ధతులు

అప్లికేషన్ రన్‌టైమ్ స్థానం

బూట్ కాపీయర్

ఆన్-చిప్ ఫ్లాష్ నుండి అమలు చేయబడిన నియోస్ V ప్రాసెసర్ అప్లికేషన్

ఆన్-చిప్ ఫ్లాష్ (XIP) + OCRAM/ బాహ్య RAM (రైటబుల్ డేటా విభాగాల కోసం)

alt_load() ఫంక్షన్

బూట్ కాపీయర్ ఉపయోగించి ఆన్-చిప్ ఫ్లాష్ నుండి RAM కి కాపీ చేయబడిన నియోస్ V ప్రాసెసర్ అప్లికేషన్.

OCRAM/బాహ్య RAM

GSFI ద్వారా బూట్‌లోడర్‌ను తిరిగి ఉపయోగించడం

సాధారణ ప్రయోజన QSPI ఫ్లాష్ నుండి అమలు చేయబడిన Nios V ప్రాసెసర్ అప్లికేషన్.

సాధారణ ప్రయోజన QSPI ఫ్లాష్ (XIP) + OCRAM/ బాహ్య RAM (రైటబుల్ డేటా విభాగాల కోసం)

alt_load() ఫంక్షన్

బూట్ కాపీయర్ ఉపయోగించి సాధారణ ప్రయోజన QSPI ఫ్లాష్ నుండి RAM కి కాపీ చేయబడిన Nios V ప్రాసెసర్ అప్లికేషన్.

OCRAM/బాహ్య RAM

GSFI ద్వారా బూట్‌లోడర్

QSPI ఫ్లాష్ కాన్ఫిగరేషన్ నుండి Nios V ప్రాసెసర్ అప్లికేషన్ అమలులో ఉంది.

కాన్ఫిగరేషన్ QSPI ఫ్లాష్ (XIP) + OCRAM/ బాహ్య RAM (రైటబుల్ డేటా విభాగాల కోసం)

alt_load() ఫంక్షన్

బూట్ కాపీయర్ ఉపయోగించి కాన్ఫిగరేషన్ QSPI ఫ్లాష్ నుండి RAM కి కాపీ చేయబడిన Nios V ప్రాసెసర్ అప్లికేషన్.

GSFI ద్వారా OCRAM/ బాహ్య RAM బూట్‌లోడర్ కొనసాగింది...

(2) పరికర జాబితా కోసం AN 980: Nios V ప్రాసెసర్ క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ సపోర్ట్‌ను చూడండి.

అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 49

4. నియోస్ V ప్రాసెసర్ కాన్ఫిగరేషన్ మరియు బూటింగ్ సొల్యూషన్స్ 726952 | 2025.07.16

మద్దతు ఉన్న బూట్ మెమరీలు
ఆన్-చిప్ మెమరీ (OCRAM) టైట్లీ కపుల్డ్ మెమరీ (TCM)

పరికరం
SDM-ఆధారిత పరికరాలు (మెయిల్‌బాక్స్ క్లయింట్ ఇంటెల్ FPGA IPతో). (2)
అన్ని మద్దతు ఉన్న Altera FPGA పరికరాలు (2)
అన్ని మద్దతు ఉన్న Altera FPGA పరికరాలు(2)

నియోస్ V ప్రాసెసర్ బూటింగ్ పద్ధతులు
బూట్ కాపీయర్ ఉపయోగించి కాన్ఫిగరేషన్ QSPI ఫ్లాష్ నుండి RAM కి కాపీ చేయబడిన Nios V ప్రాసెసర్ అప్లికేషన్.
OCRAM నుండి అమలులోకి వచ్చే Nios V ప్రాసెసర్ అప్లికేషన్.
TCM నుండి Nios V ప్రాసెసర్ అప్లికేషన్ అమలులో ఉంది.

అప్లికేషన్ రన్‌టైమ్ స్థానం

బూట్ కాపీయర్

SDM ద్వారా OCRAM/ బాహ్య RAM బూట్‌లోడర్

OCRAM

alt_load() ఫంక్షన్

ఇన్స్ట్రక్షన్ TCM (XIP) ఏదీ కాదు + డేటా TCM (రైటబుల్ డేటా విభాగాల కోసం)

చిత్రం 28. నియోస్ V ప్రాసెసర్ బూట్ ఫ్లో

రీసెట్ చేయండి

వెక్టర్‌ను రీసెట్ చేయడానికి ప్రాసెసర్ దూకుతుంది (బూట్ కోడ్ ప్రారంభం)

అప్లికేషన్ కోడ్ మరొక మెమరీ స్థానానికి కాపీ చేయబడవచ్చు (బూట్ ఎంపికలను బట్టి)
బూట్ కోడ్ ప్రాసెసర్‌ను ప్రారంభిస్తుంది

బూట్ ఎంపికలను బట్టి, బూట్ కోడ్ డేటా/కోడ్ కోసం ప్రారంభ విలువలను మరొక మెమరీ స్థలానికి (alt_load) కాపీ చేయవచ్చు.
బూట్ కోడ్ అప్లికేషన్ కోడ్ మరియు డేటా మెమరీ స్థలాన్ని ప్రారంభిస్తుంది.
బూట్ కోడ్ అన్ని సిస్టమ్ పెరిఫెరల్స్‌ను HAL డ్రైవర్లతో (alt_main) ప్రారంభిస్తుంది.
ప్రధాన ప్రవేశం
సంబంధిత సమాచారం · జెనరిక్ సీరియల్ ఫ్లాష్ ఇంటర్‌ఫేస్ ఆల్టెరా FPGA IP యూజర్ గైడ్
Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 50

అభిప్రాయాన్ని పంపండి

4. నియోస్ V ప్రాసెసర్ కాన్ఫిగరేషన్ మరియు బూటింగ్ సొల్యూషన్స్ 726952 | 2025.07.16
· మెయిల్‌బాక్స్ క్లయింట్ ఆల్టెరా FPGA IP యూజర్ గైడ్ · AN 980: నియోస్ V ప్రాసెసర్ క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ సపోర్ట్
4.4. నియోస్ V ప్రాసెసర్ బూటింగ్ పద్ధతులకు పరిచయం
ప్రాసెసర్ అప్లికేషన్ ప్రోగ్రామ్‌ను అమలు చేయడం ప్రారంభించే ముందు Nios V ప్రాసెసర్ సిస్టమ్‌లకు సాఫ్ట్‌వేర్ చిత్రాలను సిస్టమ్ మెమరీలో కాన్ఫిగర్ చేయవలసి ఉంటుంది. డిఫాల్ట్ లింకర్ విభాగాల కోసం లింకర్ విభాగాలను చూడండి.
BSP ఎడిటర్ కింది విధులను నిర్వర్తించే లింకర్ స్క్రిప్ట్‌ను రూపొందిస్తుంది: · ప్రాసెసర్ సాఫ్ట్‌వేర్ లింకర్ సెట్టింగ్‌లకు అనుగుణంగా లింక్ చేయబడిందని నిర్ధారిస్తుంది.
BSP ఎడిటర్ యొక్క డేటాను అందిస్తుంది మరియు సాఫ్ట్‌వేర్ మెమరీలో ఎక్కడ ఉందో నిర్ణయిస్తుంది. · ప్రాసెసర్ కోడ్ ప్రాంతాన్ని మెమరీ కాంపోనెంట్‌లో ఉంచుతుంది.
కేటాయించిన మెమరీ భాగాలు.
కింది విభాగం అందుబాటులో ఉన్న నియోస్ V ప్రాసెసర్ బూటింగ్ పద్ధతులను క్లుప్తంగా వివరిస్తుంది.
4.4.1. బూట్ ఫ్లాష్ నుండి నియోస్ V ప్రాసెసర్ అప్లికేషన్ ఎగ్జిక్యూట్-ఇన్-ప్లేస్
ఆల్టెరా ఫ్లాష్ కంట్రోలర్‌లను రూపొందించింది, తద్వారా బూట్ ఫ్లాష్ అడ్రస్ స్పేస్ సిస్టమ్ రీసెట్ అయిన తర్వాత నియోస్ V ప్రాసెసర్‌కు వెంటనే అందుబాటులో ఉంటుంది, మెమరీ కంట్రోలర్ లేదా మెమరీ పరికరాలను ప్రారంభించాల్సిన అవసరం లేదు. ఇది నియోస్ V ప్రాసెసర్ బూట్ పరికరాల్లో నిల్వ చేయబడిన అప్లికేషన్ కోడ్‌ను బూట్ కాపీయర్‌ను ఉపయోగించకుండా నేరుగా అమలు చేయడానికి వీలు కల్పిస్తుంది, కోడ్‌ను మరొక మెమరీ రకానికి కాపీ చేస్తుంది. ఫ్లాష్ కంట్రోలర్‌లు: · ఆన్-చిప్ ఫ్లాష్ IPతో ఆన్-చిప్ ఫ్లాష్ (MAX® 10 పరికరంలో మాత్రమే) · జెనరిక్ సీరియల్ ఫ్లాష్ ఇంటర్‌ఫేస్ IPతో సాధారణ ప్రయోజన QSPI ఫ్లాష్ · కాన్ఫిగరేషన్ జెనరిక్ సీరియల్ ఫ్లాష్ ఇంటర్‌ఫేస్ IPతో QSPI ఫ్లాష్ (MAX 10 తప్ప).
పరికరాలు)
బూట్ ఫ్లాష్ నుండి Nios V ప్రాసెసర్ అప్లికేషన్ అమలులోకి వచ్చినప్పుడు, BSP ఎడిటర్ ఈ క్రింది విధులను నిర్వహిస్తుంది: · .text లింకర్ విభాగాలను బూట్ ఫ్లాష్ మెమరీ ప్రాంతానికి సెట్ చేస్తుంది. · .bss,.rodata, .rwdata, .stack మరియు .heap లింకర్ విభాగాలను RAMకి సెట్ చేస్తుంది.
మెమరీ ప్రాంతం. సిస్టమ్ రీసెట్ చేసిన తర్వాత డేటా విభాగాలను (.rodata, .rwdata,, .exceptions) RAMకి కాపీ చేయడానికి మీరు BSP సెట్టింగ్‌లలో alt_load() ఫంక్షన్‌ను ప్రారంభించాలి. కోడ్ విభాగం (.text) బూట్ ఫ్లాష్ మెమరీ ప్రాంతంలోనే ఉంటుంది.
సంబంధిత సమాచారం · జెనరిక్ సీరియల్ ఫ్లాష్ ఇంటర్‌ఫేస్ ఆల్టెరా FPGA IP యూజర్ గైడ్ · ఆల్టెరా MAX 10 యూజర్ ఫ్లాష్ మెమరీ యూజర్ గైడ్
4.4.1.1. ఆల్ట్_లోడ్()
మీరు BSP ఎడిటర్‌ని ఉపయోగించి HAL కోడ్‌లో alt_load() ఫంక్షన్‌ను ప్రారంభించవచ్చు.
ఎగ్జిక్యూట్-ఇన్-ప్లేస్ బూట్ ఫ్లోలో ఉపయోగించినప్పుడు, alt_load() ఫంక్షన్ ఈ క్రింది పనులను చేస్తుంది:

అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 51

4. నియోస్ V ప్రాసెసర్ కాన్ఫిగరేషన్ మరియు బూటింగ్ సొల్యూషన్స్ 726952 | 2025.07.16

· BSP సెట్టింగ్‌ల ఆధారంగా మెమరీ విభాగాలను RAMకి కాపీ చేసే మినీ బూట్ కాపీయర్‌గా పనిచేస్తుంది.
· డేటా విభాగాలను (.rodata, .rwdata, .exceptions) RAM కి కాపీ చేస్తుంది కానీ కోడ్ విభాగాలను (.text) కాదు. కోడ్ విభాగం (.text) విభాగం చదవడానికి మాత్రమే విభాగం మరియు బూటింగ్ ఫ్లాష్ మెమరీ ప్రాంతంలోనే ఉంటుంది. ఈ విభజన RAM వినియోగాన్ని తగ్గించడానికి సహాయపడుతుంది కానీ కోడ్ అమలు పనితీరును పరిమితం చేయవచ్చు ఎందుకంటే ఫ్లాష్ మెమరీకి యాక్సెస్‌లు ఆన్-చిప్ RAMకి యాక్సెస్‌ల కంటే నెమ్మదిగా ఉంటాయి.

కింది పట్టిక BSP ఎడిటర్ సెట్టింగులు మరియు విధులను జాబితా చేస్తుంది:

పట్టిక 31. BSP ఎడిటర్ సెట్టింగులు
BSP ఎడిటర్ సెట్టింగ్ hal.linker.enable_alt_load hal.linker.enable_alt_load_copy_rodata hal.linker.enable_alt_load_copy_rwdata hal.linker.enable_alt_load_copy_exceptions

ఫంక్షన్ alt_load() ఫంక్షన్‌ను ప్రారంభిస్తుంది. alt_load() .rodata విభాగాన్ని RAMకి కాపీ చేస్తుంది. alt_load() .rwdata విభాగాన్ని RAMకి కాపీ చేస్తుంది. alt_load() .exceptions విభాగాన్ని RAMకి కాపీ చేస్తుంది.

4.4.2. బూట్ కాపీయర్ ఉపయోగించి బూట్ ఫ్లాష్ నుండి RAM కి కాపీ చేయబడిన నియోస్ V ప్రాసెసర్ అప్లికేషన్.
Nios V ప్రాసెసర్ మరియు HAL లలో బూట్ కాపీయర్ ఉంటుంది, ఇది చాలా Nios V ప్రాసెసర్ అప్లికేషన్లకు తగినంత కార్యాచరణను అందిస్తుంది మరియు Nios V సాఫ్ట్‌వేర్ డెవలప్‌మెంట్ ఫ్లోతో అమలు చేయడానికి సౌకర్యంగా ఉంటుంది.
అప్లికేషన్ బూట్ కాపీయర్‌ను ఉపయోగించినప్పుడు, అది అన్ని లింకర్ విభాగాలను (.text, .heap, .rwdata, .rodata, .bss, .stack) అంతర్గత లేదా బాహ్య RAMకి సెట్ చేస్తుంది. బూట్ కాపీయర్‌ని ఉపయోగించి Nios V ప్రాసెసర్ అప్లికేషన్‌ను బూట్ ఫ్లాష్ నుండి అంతర్గత లేదా బాహ్య RAMకి అమలు కోసం కాపీ చేయడం వలన అమలు పనితీరు మెరుగుపడుతుంది.
ఈ బూట్ ఆప్షన్ కోసం, సిస్టమ్ రీసెట్ చేసిన తర్వాత నియోస్ V ప్రాసెసర్ బూట్ కాపీయర్ సాఫ్ట్‌వేర్‌ను అమలు చేయడం ప్రారంభిస్తుంది. సాఫ్ట్‌వేర్ బూట్ ఫ్లాష్ నుండి అప్లికేషన్‌ను అంతర్గత లేదా బాహ్య RAMకి కాపీ చేస్తుంది. ప్రక్రియ పూర్తయిన తర్వాత, నియోస్ V ప్రాసెసర్ ప్రోగ్రామ్ నియంత్రణను అప్లికేషన్‌కు బదిలీ చేస్తుంది.

గమనిక:

బూట్ కాపీయర్ ఫ్లాష్‌లో ఉంటే, alt_load() ఫంక్షన్‌ను పిలవవలసిన అవసరం లేదు ఎందుకంటే అవి రెండూ ఒకే ప్రయోజనాన్ని అందిస్తాయి.

4.4.2.1. జనరిక్ సీరియల్ ఫ్లాష్ ఇంటర్‌ఫేస్ ద్వారా నియోస్ V ప్రాసెసర్ బూట్‌లోడర్
GSFI ద్వారా బూట్‌లోడర్ అనేది Nios V ప్రాసెసర్ బూట్ కాపీయర్, ఇది కంట్రోల్ బ్లాక్-ఆధారిత పరికరాల్లో QSPI ఫ్లాష్ మెమరీకి మద్దతు ఇస్తుంది. GSFI ద్వారా బూట్‌లోడర్ ఈ క్రింది లక్షణాలను కలిగి ఉంటుంది:
· అస్థిరత లేని మెమరీలో సాఫ్ట్‌వేర్ అప్లికేషన్‌ను గుర్తిస్తుంది.
· సాఫ్ట్‌వేర్ అప్లికేషన్ ఇమేజ్‌ను అన్‌ప్యాక్ చేసి RAMకి కాపీ చేస్తుంది.
· కాపీ పూర్తయిన తర్వాత ప్రాసెసర్ అమలును RAM లోని అప్లికేషన్ కోడ్‌కు స్వయంచాలకంగా మారుస్తుంది.

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 52

అభిప్రాయాన్ని పంపండి

4. నియోస్ V ప్రాసెసర్ కాన్ఫిగరేషన్ మరియు బూటింగ్ సొల్యూషన్స్ 726952 | 2025.07.16

బూట్ ఇమేజ్ బూట్ కాపీయర్ తర్వాత వెంటనే ఉంది. మీరు Nios V ప్రాసెసర్ రీసెట్ ఆఫ్‌సెట్ బూట్ కాపీయర్ ప్రారంభానికి పాయింట్లు ఉండేలా చూసుకోవాలి. చిత్రం: GSFI ద్వారా బూట్‌లోడర్‌తో QSPI ఫ్లాష్ కోసం మెమరీ మ్యాప్ GSFI ద్వారా బూట్‌లోడర్‌తో QSPI ఫ్లాష్ కోసం మెమరీ మ్యాప్ బూట్ కాపీయర్‌ను ఉపయోగిస్తున్నప్పుడు QSPI ఫ్లాష్ కోసం ఫ్లాష్ మెమరీ మ్యాప్‌ను చూపుతుంది. ఈ మెమరీ మ్యాప్ ఫ్లాష్ మెమరీ మెమరీ FPGA ఇమేజ్ మరియు అప్లికేషన్ సాఫ్ట్‌వేర్‌ను నిల్వ చేస్తుందని ఊహిస్తుంది.

పట్టిక 32. నియోస్ V ప్రాసెసర్ కోర్ కోసం GSFI ద్వారా బూట్‌లోడర్

నియోస్ V ప్రాసెసర్ కోర్
నియోస్ V/m ప్రాసెసర్

GSFI ద్వారా బూట్‌లోడర్ File స్థానం
/niosv/components/bootloader/ niosv_m_bootloader.srec

నియోస్ V/g ప్రాసెసర్

/niosv/components/bootloader/ niosv_g_bootloader.srec

చిత్రం 29. GSFI ద్వారా బూట్‌లోడర్‌తో QSPI ఫ్లాష్ కోసం మెమరీ మ్యాప్

కస్టమర్ డేటా (*.హెక్స్)

అప్లికేషన్ కోడ్

గమనిక:

వెక్టర్ ఆఫ్‌సెట్‌ను రీసెట్ చేయండి

బూట్ కాపీయర్

0x01E00000

FPGA చిత్రం (*.sof)

0x00000000

1. మెమరీ మ్యాప్ ప్రారంభంలో FPGA ఇమేజ్ ఉంటుంది, దాని తర్వాత మీ డేటా ఉంటుంది, ఇందులో బూట్ కాపీయర్ మరియు అప్లికేషన్ కోడ్ ఉంటాయి.
2. మీరు ప్లాట్‌ఫామ్ డిజైనర్‌లో నియోస్ V ప్రాసెసర్ రీసెట్ ఆఫ్‌సెట్‌ను సెట్ చేయాలి మరియు దానిని బూట్ కాపీయర్ ప్రారంభానికి సూచించాలి.
3. FPGA ఇమేజ్ పరిమాణం తెలియదు. క్వార్టస్ ప్రైమ్ ప్రాజెక్ట్ కంపైలేషన్ తర్వాత మాత్రమే మీరు ఖచ్చితమైన పరిమాణాన్ని తెలుసుకోగలరు. మీరు Altera FPGA ఇమేజ్ పరిమాణానికి ఎగువ బౌండ్‌ను నిర్ణయించాలి. ఉదాహరణకుampఅయితే, FPGA ఇమేజ్ పరిమాణం 0x01E00000 కంటే తక్కువగా అంచనా వేయబడితే, ప్లాట్‌ఫామ్ డిజైనర్‌లో రీసెట్ ఆఫ్‌సెట్‌ను 0x01E00000 కు సెట్ చేయండి, ఇది బూట్ కాపీయర్ యొక్క ప్రారంభం కూడా.
4. సాఫ్ట్‌వేర్ అప్లికేషన్ నవీకరించబడినట్లయితే FPGA ఇమేజ్ యొక్క పాక్షిక చెరిపివేత జరగకుండా చూసుకోవడానికి రీసెట్ వెక్టర్ ఆఫ్‌సెట్‌ను ఫ్లాష్ సెక్టార్ సరిహద్దు వద్ద సెట్ చేయడం మంచి డిజైన్ పద్ధతిలో ఉంటుంది.

అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 53

4. నియోస్ V ప్రాసెసర్ కాన్ఫిగరేషన్ మరియు బూటింగ్ సొల్యూషన్స్ 726952 | 2025.07.16

4.4.2.2. సెక్యూర్ డివైస్ మేనేజర్ ద్వారా నియోస్ V ప్రాసెసర్ బూట్‌లోడర్
బూట్‌లోడర్ వయా సెక్యూర్ డివైస్ మేనేజర్ (SDM) అనేది ప్రాసెసర్ బూటింగ్ కోసం మెయిల్‌బాక్స్ క్లయింట్ ఆల్టెరా FPGA IP HAL డ్రైవర్‌ను ఉపయోగించే HAL అప్లికేషన్ కోడ్. Nios V ప్రాసెసర్‌ను బూట్ చేయడానికి SDM-ఆధారిత పరికరాల్లో కాన్ఫిగరేషన్ QSPI ఫ్లాష్‌ను ఉపయోగిస్తున్నప్పుడు ఆల్టెరా ఈ బూట్‌లోడర్ అప్లికేషన్‌ను సిఫార్సు చేస్తుంది.
సిస్టమ్ రీసెట్ చేసిన తర్వాత, Nios V ప్రాసెసర్ ముందుగా ఒక చిన్న ఆన్-చిప్ మెమరీ నుండి SDM ద్వారా బూట్‌లోడర్‌ను బూట్ చేస్తుంది మరియు మెయిల్‌బాక్స్ క్లయింట్ IPని ఉపయోగించి కాన్ఫిగరేషన్ QSPI ఫ్లాష్‌తో కమ్యూనికేట్ చేయడానికి SDM ద్వారా బూట్‌లోడర్‌ను అమలు చేస్తుంది.
SDM ద్వారా బూట్‌లోడర్ ఈ క్రింది పనులను నిర్వహిస్తుంది: · QSPI ఫ్లాష్ కాన్ఫిగరేషన్‌లో Nios V సాఫ్ట్‌వేర్‌ను గుర్తిస్తుంది. · Nios V సాఫ్ట్‌వేర్‌ను ఆన్-చిప్ RAM లేదా బాహ్య RAMలోకి కాపీ చేస్తుంది. · ప్రాసెసర్ అమలును ఆన్-చిప్ RAMలోని Nios V సాఫ్ట్‌వేర్‌కు మారుస్తుంది లేదా
బాహ్య RAM.
ప్రక్రియ పూర్తయిన తర్వాత, SDM ద్వారా బూట్‌లోడర్ ప్రోగ్రామ్ నియంత్రణను వినియోగదారు అప్లికేషన్‌కు బదిలీ చేస్తుంది. SDM ద్వారా మెమరీ ఆర్గనైజేషన్ ఫర్ బూట్‌లోడర్‌లో వివరించిన విధంగా ఆల్టెరా మెమరీ ఆర్గనైజేషన్‌ను సిఫార్సు చేస్తుంది.
చిత్రం 30. SDM ప్రాసెస్ ఫ్లో ద్వారా బూట్‌లోడర్

ఆకృతీకరణ

ఫ్లాష్

2

నియోస్ వి సాఫ్ట్‌వేర్

SDM

SDM-ఆధారిత FPGA పరికరం

మెయిల్‌బాక్స్ క్లయింట్ IP

FPGA లాజిక్ నియోస్ V

4 బాహ్య RAM
నియోస్ వి సాఫ్ట్‌వేర్

ఆన్-చిప్ 4

EMIF

RAM

ఆన్-చిప్ మెమరీ

IP

నియోస్ వి

1

సాఫ్ట్‌వేర్

SDM ద్వారా బూట్‌లోడర్

3

3

1. నియోస్ V ప్రాసెసర్ ఆన్-చిప్ మెమరీ నుండి SDM ద్వారా బూట్‌లోడర్‌ను నడుపుతుంది.
2. SDM ద్వారా బూట్‌లోడర్ కాన్ఫిగరేషన్ ఫ్లాష్‌తో కమ్యూనికేట్ చేస్తుంది మరియు Nios V సాఫ్ట్‌వేర్‌ను గుర్తిస్తుంది.
3. SDM ద్వారా బూట్‌లోడర్ Nios V సాఫ్ట్‌వేర్‌ను కాన్ఫిగరేషన్ ఫ్లాష్ నుండి ఆన్-చిప్ RAM / బాహ్య RAM లోకి కాపీ చేస్తుంది.
4. SDM ద్వారా బూట్‌లోడర్ Nios V ప్రాసెసర్ ఎగ్జిక్యూషన్‌ను ఆన్-చిప్ RAM / బాహ్య RAMలోని Nios V సాఫ్ట్‌వేర్‌కు మారుస్తుంది.

4.4.3. OCRAM నుండి Nios V ప్రాసెసర్ అప్లికేషన్ ఎగ్జిక్యూట్-ఇన్-ప్లేస్
ఈ పద్ధతిలో, Nios V ప్రాసెసర్ రీసెట్ చిరునామా ఆన్-చిప్ మెమరీ (OCRAM) యొక్క బేస్ చిరునామాకు సెట్ చేయబడుతుంది. అప్లికేషన్ బైనరీ (.hex) file క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్‌లో హార్డ్‌వేర్ డిజైన్ కంపైల్ చేయబడిన తర్వాత, FPGA కాన్ఫిగర్ చేయబడినప్పుడు OCRAMలోకి లోడ్ అవుతుంది. Nios V ప్రాసెసర్ రీసెట్ అయిన తర్వాత, అప్లికేషన్ అమలు చేయడం ప్రారంభించి ఎంట్రీ పాయింట్‌కు బ్రాంచ్ అవుతుంది.

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 54

అభిప్రాయాన్ని పంపండి

4. నియోస్ V ప్రాసెసర్ కాన్ఫిగరేషన్ మరియు బూటింగ్ సొల్యూషన్స్ 726952 | 2025.07.16

గమనిక:

· OCRAM నుండి ఎగ్జిక్యూట్-ఇన్-ప్లేస్‌కు బూట్ కాపీయర్ అవసరం లేదు ఎందుకంటే సిస్టమ్ రీసెట్ సమయంలో Nios V ప్రాసెసర్ అప్లికేషన్ ఇప్పటికే అమలులో ఉంది.
· ఈ బూటింగ్ పద్ధతికి alt_load()ని ప్రారంభించమని Altera సిఫార్సు చేస్తోంది, తద్వారా FPGA పరికర చిత్రాన్ని తిరిగి కాన్ఫిగర్ చేయకుండా రీసెట్ చేసినప్పుడు ఎంబెడెడ్ సాఫ్ట్‌వేర్ ఒకేలా ప్రవర్తిస్తుంది.
· సిస్టమ్ రీసెట్ చేసినప్పుడు .rwdata విభాగాన్ని కాపీ చేయడానికి మీరు BSP సెట్టింగ్‌లలో alt_load() ఫంక్షన్‌ను ప్రారంభించాలి. ఈ పద్ధతిలో, ప్రోగ్రామ్ అమలులో ఓవర్‌రైట్ కాకుండా ఉండటానికి ఇనిషియలైజ్డ్ వేరియబుల్స్ కోసం ప్రారంభ విలువలు సంబంధిత వేరియబుల్స్ నుండి విడిగా నిల్వ చేయబడతాయి.

4.4.4. TCM నుండి Nios V ప్రాసెసర్ అప్లికేషన్ ఎగ్జిక్యూట్-ఇన్-ప్లేస్
ఎగ్జిక్యూట్-ఇన్-ప్లేస్ పద్ధతి నియోస్ V ప్రాసెసర్ రీసెట్ చిరునామాను టైట్లీ కపుల్డ్ మెమరీ (TCM) యొక్క బేస్ చిరునామాకు సెట్ చేస్తుంది. అప్లికేషన్ బైనరీ (.hex) file క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్‌లో హార్డ్‌వేర్ డిజైన్‌ను కంపైల్ చేసిన తర్వాత మీరు FPGAని కాన్ఫిగర్ చేసినప్పుడు TCMలోకి లోడ్ అవుతుంది. Nios V ప్రాసెసర్ రీసెట్ అయిన తర్వాత, అప్లికేషన్ అమలు చేయడం ప్రారంభించి ఎంట్రీ పాయింట్‌కు బ్రాంచ్ అవుతుంది.

గమనిక:

TCM నుండి ఎగ్జిక్యూట్-ఇన్-ప్లేస్‌కు బూట్ కాపీయర్ అవసరం లేదు ఎందుకంటే సిస్టమ్ రీసెట్ సమయంలో Nios V ప్రాసెసర్ అప్లికేషన్ ఇప్పటికే అమలులో ఉంది.

4.5. నియోస్ V ప్రాసెసర్ ఆన్-చిప్ ఫ్లాష్ (UFM) నుండి బూట్ అవుతోంది

Nios V ప్రాసెసర్ బూటింగ్ మరియు ఆన్-చిప్ ఫ్లాష్ (UFM) నుండి సాఫ్ట్‌వేర్‌ను అమలు చేయడం MAX 10 FPGA పరికరాల్లో అందుబాటులో ఉంది. Nios V ప్రాసెసర్ ఇంటర్నల్ కాన్ఫిగరేషన్ మోడ్ కింద ఆన్-చిప్ ఫ్లాష్‌ని ఉపయోగించి కింది రెండు బూట్ ఎంపికలకు మద్దతు ఇస్తుంది:
· నియోస్ V ప్రాసెసర్ అప్లికేషన్ ఆన్-చిప్ ఫ్లాష్ నుండి ఇన్-ప్లేస్‌లో అమలు అవుతుంది.
· నియోస్ V ప్రాసెసర్ అప్లికేషన్ బూట్ కాపీయర్ ఉపయోగించి ఆన్-చిప్ ఫ్లాష్ నుండి RAM కి కాపీ చేయబడుతుంది.

పట్టిక 33. సంబంధిత బూట్ ఐచ్ఛికాలతో మద్దతు ఉన్న ఫ్లాష్ మెమరీలు

మద్దతు ఉన్న బూట్ మెమరీలు

నియోస్ V బూటింగ్ పద్ధతులు

అప్లికేషన్ రన్‌టైమ్ స్థానం

బూట్ కాపీయర్

గరిష్టంగా 10 పరికరాలు మాత్రమే (OnChip ఫ్లాష్ IP తో)

ఆన్-చిప్ ఫ్లాష్ నుండి అమలు చేయబడిన నియోస్ V ప్రాసెసర్ అప్లికేషన్
బూట్ కాపీయర్ ఉపయోగించి ఆన్-చిప్ ఫ్లాష్ నుండి RAM కి కాపీ చేయబడిన నియోస్ V ప్రాసెసర్ అప్లికేషన్.

ఆన్-చిప్ ఫ్లాష్ (XIP) + OCRAM/ బాహ్య RAM (రైటబుల్ డేటా విభాగాల కోసం)

alt_load() ఫంక్షన్

OCRAM/ బాహ్య RAM

GSFI ద్వారా బూట్‌లోడర్‌ను తిరిగి ఉపయోగించడం

అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 55

4. నియోస్ V ప్రాసెసర్ కాన్ఫిగరేషన్ మరియు బూటింగ్ సొల్యూషన్స్ 726952 | 2025.07.16

చిత్రం 31.

డిజైన్, కాన్ఫిగరేషన్ మరియు బూటింగ్ ఫ్లో
డిజైన్ · ప్లాట్‌ఫామ్ డిజైనర్‌ని ఉపయోగించి మీ నియోస్ V ప్రాసెసర్ ఆధారిత ప్రాజెక్ట్‌ను సృష్టించండి. · సిస్టమ్ డిజైన్‌లో బాహ్య RAM లేదా ఆన్-చిప్ RAM ఉందని నిర్ధారించుకోండి.

FPGA కాన్ఫిగరేషన్ మరియు కంపైలేషన్
· ప్లాట్‌ఫామ్ డిజైనర్ మరియు క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్‌లలో ఆన్-చిప్ ఫ్లాష్ IPలో అదే అంతర్గత కాన్ఫిగరేషన్ మోడ్‌ను సెట్ చేయండి. · నియోస్ V ప్రాసెసర్ రీసెట్ ఏజెంట్‌ను ఆన్-చిప్ ఫ్లాష్‌కు సెట్ చేయండి. · మీకు ఇష్టమైన UFM ప్రారంభ పద్ధతిని ఎంచుకోండి. · ప్లాట్‌ఫామ్ డిజైనర్‌లో మీ డిజైన్‌ను రూపొందించండి. · క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్‌లో మీ ప్రాజెక్ట్‌ను కంపైల్ చేయండి.

యూజర్ అప్లికేషన్ BSP ప్రాజెక్ట్ · .sopcinfo ఆధారంగా Nios V ప్రాసెసర్ HAL BSPని సృష్టించండి file ప్లాట్‌ఫామ్ డిజైనర్ ద్వారా సృష్టించబడింది. · BSP ఎడిటర్‌లో Nios V ప్రాసెసర్ BSP సెట్టింగ్‌లు మరియు లింకర్ స్క్రిప్ట్‌ను సవరించండి. · BSP ప్రాజెక్ట్‌ను రూపొందించండి.
యూజర్ అప్లికేషన్ APP ప్రాజెక్ట్ · Nios V ప్రాసెసర్ అప్లికేషన్ కోడ్‌ను అభివృద్ధి చేయండి. · Nios V ప్రాసెసర్ అప్లికేషన్‌ను కంపైల్ చేయండి మరియు Nios V ప్రాసెసర్ అప్లికేషన్‌ను రూపొందించండి (.hex) file· మీరు Intel FPGA ఆన్-చిప్ ఫ్లాష్ IP లో Initialize memory content ఎంపికను తనిఖీ చేస్తే, మీ ప్రాజెక్ట్‌ను Quartus Prime సాఫ్ట్‌వేర్‌లో తిరిగి కంపైల్ చేయండి.

ప్రోగ్రామింగ్ Files కన్వర్షన్, డౌన్‌లోడ్ మరియు రన్ · ఆన్-చిప్ ఫ్లాష్‌ను రూపొందించండి .pof file కన్వర్ట్ ప్రోగ్రామింగ్ ఉపయోగించి Fileక్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్‌లో s ఫీచర్.
· .pof ని ప్రోగ్రామ్ చేయండి file మీ MAX 10 పరికరంలోకి. · మీ హార్డ్‌వేర్‌ను పవర్ సైకిల్ చేయండి.
4.5.1. MAX 10 FPGA ఆన్-చిప్ ఫ్లాష్ వివరణ
MAX 10 FPGA పరికరాలు రెండు భాగాలుగా విభజించబడిన ఆన్-చిప్ ఫ్లాష్‌ను కలిగి ఉంటాయి: · కాన్ఫిగరేషన్ ఫ్లాష్ మెమరీ (CFM) — హార్డ్‌వేర్ కాన్ఫిగరేషన్ డేటాను నిల్వ చేస్తుంది
గరిష్టంగా 10 FPGAలు. · యూజర్ ఫ్లాష్ మెమరీ (UFM) — యూజర్ డేటా లేదా సాఫ్ట్‌వేర్ అప్లికేషన్‌లను నిల్వ చేస్తుంది.
MAX 10 పరికరం యొక్క UFM ఆర్కిటెక్చర్ సాఫ్ట్ మరియు హార్డ్ IP ల కలయిక. మీరు క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్‌లోని ఆన్-చిప్ ఫ్లాష్ IP కోర్ ఉపయోగించి మాత్రమే UFM ని యాక్సెస్ చేయగలరు.
ఆన్-చిప్ ఫ్లాష్ IP కోర్ ఈ క్రింది లక్షణాలను సపోర్ట్ చేస్తుంది: · UFM మరియు CFM (ప్లాట్‌ఫామ్ డిజైనర్‌లో ఎనేబుల్ చేయబడితే) సెక్టార్‌లకు చదవడం లేదా వ్రాయడం యాక్సెస్‌లు.
Avalon MM డేటా మరియు కంట్రోల్ స్లేవ్ ఇంటర్‌ఫేస్‌ని ఉపయోగించడం. · పేజీ ఎరేస్, సెక్టార్ ఎరేస్ మరియు సెక్టార్ రైట్‌లను సపోర్ట్ చేస్తుంది. · వివిధ EDA సిమ్యులేషన్ టూల్స్ ఉపయోగించి UFM రీడ్/రైట్ యాక్సెస్‌ల కోసం సిమ్యులేషన్ మోడల్.

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 56

అభిప్రాయాన్ని పంపండి

4. నియోస్ V ప్రాసెసర్ కాన్ఫిగరేషన్ మరియు బూటింగ్ సొల్యూషన్స్ 726952 | 2025.07.16

పట్టిక 34. MAX 10 FPGA పరికరాల్లో ఆన్-చిప్ ఫ్లాష్ ప్రాంతాలు

ఫ్లాష్ ప్రాంతాలు

కార్యాచరణ

కాన్ఫిగరేషన్ ఫ్లాష్ మెమరీ (సెక్టార్లు CFM0-2)

FPGA కాన్ఫిగరేషన్ file నిల్వ

యూజర్ ఫ్లాష్ మెమరీ (సెక్టార్లు UFM0-1)

నియోస్ V ప్రాసెసర్ అప్లికేషన్ మరియు యూజర్ డేటా

MAX 10 FPGA పరికరాలు అనేక కాన్ఫిగరేషన్ మోడ్‌లకు మద్దతు ఇస్తాయి మరియు ఈ మోడ్‌లలో కొన్ని CFM1 మరియు CFM2 లను అదనపు UFM ప్రాంతంగా ఉపయోగించడానికి అనుమతిస్తాయి. కింది పట్టిక MAX 10 FPGA యొక్క కాన్ఫిగరేషన్ మోడ్‌ల ఆధారంగా FPGA కాన్ఫిగరేషన్ చిత్రాల నిల్వ స్థానాన్ని చూపుతుంది.

పట్టిక 35. FPGA కాన్ఫిగరేషన్ చిత్రాల నిల్వ స్థానం

కాన్ఫిగరేషన్ మోడ్ డ్యూయల్ కంప్రెస్డ్ ఇమేజ్‌లు

CFM2 కంప్రెస్డ్ ఇమేజ్ 2

CFM1

CFM0 కంప్రెస్డ్ ఇమేజ్ 1

ఒకే కంప్రెస్ చేయని చిత్రం

వర్చువల్ UFM

కుదించబడని చిత్రం

మెమరీ ఇనిషియలైజేషన్‌తో సింగిల్ కంప్రెస్ చేయని చిత్రం

కంప్రెస్ చేయని చిత్రం (ప్రీ-ఇనిషియలైజ్డ్ ఆన్-చిప్ మెమరీ కంటెంట్‌తో)

మెమరీ ఇనిషియలైజేషన్‌తో సింగిల్ కంప్రెస్డ్ ఇమేజ్ కంప్రెస్డ్ ఇమేజ్ (ప్రీ-ఇనిషియలైజ్డ్ ఆన్-చిప్ మెమరీ కంటెంట్‌తో)

సింగిల్ కంప్రెస్డ్ ఇమేజ్

వర్చువల్ UFM

కుదించబడిన చిత్రం

MAX 10 FPGAలలో ఫ్లాష్ మెమరీని యాక్సెస్ చేయడానికి మీరు ఆన్-చిప్ ఫ్లాష్ IP కోర్‌ని ఉపయోగించాలి. మీరు ఆన్-చిప్ ఫ్లాష్ IPని క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్‌కు ఇన్‌స్టాంటియేట్ చేసి కనెక్ట్ చేయవచ్చు. నియోస్ V సాఫ్ట్ కోర్ ప్రాసెసర్ ఆన్-చిప్ ఫ్లాష్ IPతో కమ్యూనికేట్ చేయడానికి ప్లాట్‌ఫామ్ డిజైనర్ ఇంటర్‌కనెక్ట్‌లను ఉపయోగిస్తుంది.
చిత్రం 32. ఆన్-చిప్ ఫ్లాష్ IP మరియు Nios V ప్రాసెసర్ మధ్య కనెక్షన్

గమనిక:

ప్రాసెసర్ రైట్ మరియు ఎరేజ్ ఆపరేషన్లను నియంత్రించడానికి వీలుగా ఆన్-చిప్ ఫ్లాష్ csr పోర్ట్ Nios V ప్రాసెసర్ data_managerకి కనెక్ట్ చేయబడిందని నిర్ధారించుకోండి.
ఆన్-చిప్ ఫ్లాష్ IP కోర్ ఐదు ఫ్లాష్ సెక్టార్లకు యాక్సెస్‌ను అందించగలదు - UFM0, UFM1, CFM0, CFM1, మరియు CFM2.
UFM మరియు CFM రంగాల గురించి ముఖ్యమైన సమాచారం.: · CFM రంగాలు కాన్ఫిగరేషన్ (బిట్‌స్ట్రీమ్) డేటా (*.pof) నిల్వ కోసం ఉద్దేశించబడ్డాయి.
· ప్లాట్‌ఫామ్ డిజైనర్ సాధనంలో సరైన సెట్టింగ్‌లను ఎంచుకుంటే, వినియోగదారు డేటాను UFM రంగాలలో నిల్వ చేయవచ్చు మరియు దాచవచ్చు.
· కొన్ని పరికరాలకు UFM1 సెక్టార్ ఉండదు. మీరు పట్టికను చూడవచ్చు: ప్రతి వ్యక్తి MAX 10 FPGA పరికరంలో అందుబాటులో ఉన్న సెక్టార్‌ల కోసం UFM మరియు CFM సెక్టార్ సైజు.

అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 57

4. నియోస్ V ప్రాసెసర్ కాన్ఫిగరేషన్ మరియు బూటింగ్ సొల్యూషన్స్ 726952 | 2025.07.16

· సింగిల్ అన్‌కంప్రెస్డ్ ఇమేజ్ కాన్ఫిగరేషన్ మోడ్‌ను ఎంచుకోవడం ద్వారా మీరు CFM2ని వర్చువల్ UFMగా కాన్ఫిగర్ చేయవచ్చు.
· మీరు సింగిల్ అన్‌కంప్రెస్డ్ ఇమేజ్ కాన్ఫిగరేషన్ మోడ్‌ను ఎంచుకోవడం ద్వారా CFM2 మరియు CFM1 లను వర్చువల్ UFM గా కాన్ఫిగర్ చేయవచ్చు.
· ఎంచుకున్న MAX 10 FPGA పరికరాలను బట్టి ప్రతి సెక్టార్ పరిమాణం మారుతుంది.

పట్టిక 36.

UFM మరియు CFM రంగ పరిమాణం
ఈ పట్టిక UFM మరియు CFM శ్రేణుల కొలతలు జాబితా చేస్తుంది.

పరికరం

సెక్టార్ వారీగా పేజీలు

UFM1 UFM0 CFM2 CFM1 CFM0

పేజీ పరిమాణం (Kbit)

గరిష్ట వినియోగదారు
ఫ్లాష్ మెమరీ పరిమాణం (Kbit) (3)

మొత్తం కాన్ఫిగరేషన్ మెమరీ పరిమాణం (Kbit)

10M02 3

3

0

0

34 16

96

544

10M04 0

8

41 29 70 16

1248

2240

10M08 8

8

41 29 70 16

1376

2240

10M16 4

4

38 28 66 32

2368

4224

10M25 4

4

52 40 92 32

3200

5888

10M40 4

4

48 36 84 64

5888

10752

10M50 4

4

48 36 84 64

5888

10752

OCRAM పరిమాణం (Kbit)
108 189 378 549 675 1260 1638

సంబంధిత సమాచారం · MAX 10 FPGA కాన్ఫిగరేషన్ యూజర్ గైడ్ · Altera MAX 10 యూజర్ ఫ్లాష్ మెమరీ యూజర్ గైడ్

4.5.2. UFM నుండి నియోస్ V ప్రాసెసర్ అప్లికేషన్ ఎగ్జిక్యూట్-ఇన్-ప్లేస్

పరిమిత ఆన్-చిప్ మెమరీ వినియోగం అవసరమయ్యే Nios V ప్రాసెసర్ అప్లికేషన్‌లకు UFM సొల్యూషన్ నుండి ఎగ్జిక్యూట్-ఇన్-ప్లేస్ అనుకూలంగా ఉంటుంది. alt_load() ఫంక్షన్ BSP సెట్టింగ్‌ల ఆధారంగా బూట్ మెమరీ నుండి డేటా విభాగాలను (.rodata, .rwdata, లేదా .exceptions) బూట్ మెమరీ నుండి RAMకి కాపీ చేసే మినీ బూట్ కాపీయర్‌గా పనిచేస్తుంది. కోడ్ విభాగం (.text),
చదవడానికి మాత్రమే విభాగం అయిన ఇది MAX 10 ఆన్-చిప్ ఫ్లాష్ మెమరీ ప్రాంతంలోనే ఉంటుంది. ఈ సెటప్ RAM వినియోగాన్ని తగ్గిస్తుంది కానీ ఫ్లాష్ మెమరీకి యాక్సెస్ ఆన్-చిప్ RAM కంటే నెమ్మదిగా ఉండటం వలన కోడ్ అమలు పనితీరును పరిమితం చేయవచ్చు.

Nios V ప్రాసెసర్ అప్లికేషన్ UFM సెక్టార్‌లోకి ప్రోగ్రామ్ చేయబడింది. సిస్టమ్ రీసెట్ అయిన తర్వాత UFM నుండి కోడ్‌ను అమలు చేయడానికి Nios V ప్రాసెసర్ యొక్క రీసెట్ వెక్టర్ UFM బేస్ చిరునామాకు పాయింట్ చేస్తుంది.

మీరు మీ అప్లికేషన్‌ను డీబగ్ చేయడానికి సోర్స్-లెవల్ డీబగ్గర్‌ను ఉపయోగిస్తుంటే, మీరు తప్పనిసరిగా హార్డ్‌వేర్ బ్రేక్‌పాయింట్‌ను ఉపయోగించాలి. ఎందుకంటే UFM యాదృచ్ఛిక మెమరీ యాక్సెస్‌కు మద్దతు ఇవ్వదు, ఇది సాఫ్ట్ బ్రేక్‌పాయింట్ డీబగ్గింగ్‌కు అవసరం.

గమనిక:

MAX 10 లో ఎగ్జిక్యూట్-ఇన్-ప్లేస్ చేస్తున్నప్పుడు మీరు UFM ను ఎరేజ్ చేయలేరు లేదా వ్రాయలేరు. మీరు UFM ను ఎరేజ్ చేయవలసి వస్తే లేదా వ్రాయవలసి వస్తే బూట్ కాపీయర్ విధానానికి మారండి.

(3) మీరు ఎంచుకున్న కాన్ఫిగరేషన్ మోడ్‌పై ఆధారపడి ఉండే గరిష్ట సాధ్యమైన విలువ.

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 58

అభిప్రాయాన్ని పంపండి

4. నియోస్ V ప్రాసెసర్ కాన్ఫిగరేషన్ మరియు బూటింగ్ సొల్యూషన్స్ 726952 | 2025.07.16

చిత్రం 33. UFM నుండి నియోస్ V ప్రాసెసర్ అప్లికేషన్ XIP

గరిష్టంగా 10 పరికరం

.పిఓఎఫ్
నియోస్ V హార్డ్‌వేర్ .SOF
నియోస్ V సాఫ్ట్‌వేర్ .HEX

క్వార్టస్ ప్రోగ్రామర్

ఆన్-చిప్ ఫ్లాష్

CFM

నియోస్ వి హార్డ్‌వేర్

UFM

నియోస్ వి సాఫ్ట్‌వేర్

అంతర్గత కాన్ఫిగరేషన్

ఆన్-చిప్ ఫ్లాష్ IP

FPGA లాజిక్
నియోస్ V ప్రాసెసర్

ఆన్-చిప్ RAM

బాహ్య

RAM

EMIF

IP

4.5.2.1. హార్డ్‌వేర్ డిజైన్ ఫ్లో
కింది విభాగం ఆన్-చిప్ ఫ్లాష్ నుండి నియోస్ V ప్రాసెసర్ అప్లికేషన్ కోసం బూటబుల్ సిస్టమ్‌ను నిర్మించడానికి దశలవారీ పద్ధతిని వివరిస్తుంది.ampక్రింద ఉన్న le MAX 10 పరికరాన్ని ఉపయోగించి నిర్మించబడింది.
IP కాంపోనెంట్ సెట్టింగ్‌లు
1. క్వార్టస్ ప్రైమ్ మరియు ప్లాట్‌ఫామ్ డిజైనర్‌ని ఉపయోగించి మీ నియోస్ V ప్రాసెసర్ ప్రాజెక్ట్‌ను సృష్టించండి. 2. మీ ప్లాట్‌ఫామ్‌కు బాహ్య RAM లేదా ఆన్-చిప్ మెమరీ (OCRAM) జోడించబడిందని నిర్ధారించుకోండి.
డిజైనర్ వ్యవస్థ.

అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 59

4. నియోస్ V ప్రాసెసర్ కాన్ఫిగరేషన్ మరియు బూటింగ్ సొల్యూషన్స్ 726952 | 2025.07.16
మూర్తి 34. ఉదాample OnChip Flash (UFM) నుండి Nios V ని బూట్ చేయడానికి ప్లాట్‌ఫామ్ డిజైనర్‌లో IP కనెక్షన్లు

3. ఆన్-చిప్ ఫ్లాష్ IP పారామీటర్ ఎడిటర్‌లో, మీ డిజైన్ ప్రాధాన్యత ప్రకారం, కాన్ఫిగరేషన్ మోడ్‌ను కింది వాటిలో ఒకదానికి సెట్ చేయండి: · సింగిల్ అన్‌కంప్రెస్డ్ ఇమేజ్ · సింగిల్ కంప్రెస్డ్ ఇమేజ్ · సింగిల్ అన్‌కంప్రెస్డ్ ఇమేజ్ విత్ మెమరీ ఇనిషియలైజేషన్ · సింగిల్ కంప్రెస్డ్ ఇమేజ్ విత్ మెమరీ ఇనిషియలైజేషన్
డ్యూయల్ కంప్రెస్డ్ ఇమేజెస్ గురించి మరింత సమాచారం కోసం, MAX 10 FPGA కాన్ఫిగరేషన్ యూజర్ గైడ్ – రిమోట్ సిస్టమ్ అప్‌గ్రేడ్ చూడండి.

గమనిక:

మీరు ఆన్-చిప్ ఫ్లాష్ IPలోని ప్రతి CFM ప్రాంతాలకు హిడెన్ యాక్సెస్‌ను కేటాయించాలి.

చిత్రం 35. ఆన్-చిప్ ఫ్లాష్ పారామీటర్ ఎడిటర్‌లో కాన్ఫిగరేషన్ మోడ్ ఎంపిక

ఆన్-చిప్ ఫ్లాష్ IP సెట్టింగ్‌లు – UFM ప్రారంభించడం మీ ప్రాధాన్యత ప్రకారం మీరు ఈ క్రింది పద్ధతుల్లో ఒకదాన్ని ఎంచుకోవచ్చు:

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 60

అభిప్రాయాన్ని పంపండి

4. నియోస్ V ప్రాసెసర్ కాన్ఫిగరేషన్ మరియు బూటింగ్ సొల్యూషన్స్ 726952 | 2025.07.16

గమనిక:

తదుపరి ఉపఅధ్యాయాలలో (సాఫ్ట్‌వేర్ డిజైన్ ఫ్లో మరియు ప్రోగ్రామింగ్) దశలు మీరు ఇక్కడ చేసే ఎంపికపై ఆధారపడి ఉంటాయి.

· విధానం 1: సంకలనం సమయంలో SOFలో UFM డేటాను ప్రారంభించండి
క్వార్టస్ ప్రైమ్ కంపైలేషన్ సమయంలో SOFలో UFM ఇనిషియలైజేషన్ డేటాను చేర్చుతుంది. UFM డేటాలో మార్పులు ఉంటే SOF రీకంపైలేషన్ అవసరం.
1. ఫ్లాష్ కంటెంట్‌ను ప్రారంభించు తనిఖీ చేయండి మరియు డిఫాల్ట్ కాని ప్రారంభాన్ని ప్రారంభించండి file.

Figure 36. Flash Contents ని ప్రారంభించు మరియు డిఫాల్ట్ కాని ఇనిషియలైజేషన్ ని ప్రారంభించు File

2. జనరేట్ చేయబడిన .hex యొక్క మార్గాన్ని పేర్కొనండి file (elf2hex కమాండ్ నుండి) యూజర్ సృష్టించిన హెక్స్ లేదా మిఫ్‌లో file.
చిత్రం 37. .hex ని జోడించడం File మార్గం

· విధానం 2: POF ఉత్పత్తి సమయంలో UFM డేటాను సంకలనం చేసిన SOF తో కలపండి
ప్రోగ్రామింగ్‌ను మార్చేటప్పుడు UFM డేటా సంకలనం చేయబడిన SOFతో కలుపుతారు. files. UFM డేటా మారినప్పటికీ, మీరు SOFని తిరిగి కంపైల్ చేయవలసిన అవసరం లేదు. అభివృద్ధి సమయంలో, మీరు SOFని తిరిగి కంపైల్ చేయవలసిన అవసరం లేదు. fileఅప్లికేషన్‌లో మార్పులకు లు. అప్లికేషన్ డెవలపర్‌లకు ఆల్టెరే ఈ పద్ధతిని సిఫార్సు చేస్తుంది.
1. ఫ్లాష్ కంటెంట్‌ను ప్రారంభించు ఎంపికను తీసివేయండి..
మూర్తి 38. డిఫాల్ట్ కాని ఇనిషియలైజేషన్‌తో ఫ్లాష్ కంటెంట్‌ను ప్రారంభించండి File

నియోస్ V ప్రాసెసర్ ఎగ్జిక్యూట్-ఇన్-ప్లేస్ పద్ధతి కోసం ఏజెంట్ సెట్టింగ్‌లను రీసెట్ చేయండి
1. Nios V ప్రాసెసర్ పారామీటర్ ఎడిటర్‌లో, రీసెట్ ఏజెంట్‌ను ఆన్-చిప్ ఫ్లాష్‌కు సెట్ చేయండి.
చిత్రం 39. రీసెట్ ఏజెంట్‌తో నియోస్ V ప్రాసెసర్ పారామీటర్ ఎడిటర్ సెట్టింగ్‌లు ఆన్-చిప్ ఫ్లాష్‌కు సెట్ చేయబడ్డాయి

2. జనరేషన్ డైలాగ్ బాక్స్ కనిపించినప్పుడు Generate HDL పై క్లిక్ చేయండి. 3. అవుట్‌పుట్‌ను పేర్కొనండి. file జనరేషన్ ఆప్షన్లను ఎంచుకుని, జనరేట్ పై క్లిక్ చేయండి.

అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 61

4. నియోస్ V ప్రాసెసర్ కాన్ఫిగరేషన్ మరియు బూటింగ్ సొల్యూషన్స్ 726952 | 2025.07.16
క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ సెట్టింగ్‌లు 1. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్‌లో, అసైన్‌మెంట్స్ డివైస్ డివైస్ మరియు పిన్ పై క్లిక్ చేయండి.
ఐచ్ఛికాల ఆకృతీకరణ. ఆన్-చిప్ ఫ్లాష్ IP లోని సెట్టింగ్ ప్రకారం ఆకృతీకరణ మోడ్‌ను సెట్ చేయండి. మూర్తి 40. క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్‌లో ఆకృతీకరణ మోడ్ ఎంపిక

2. పరికరం మరియు పిన్ ఎంపికల విండో నుండి నిష్క్రమించడానికి సరే క్లిక్ చేయండి,
3. పరికర విండో నుండి నిష్క్రమించడానికి సరే క్లిక్ చేయండి.
4. మీ ప్రాజెక్ట్‌ను కంపైల్ చేయడానికి మరియు .sofని రూపొందించడానికి ప్రాసెసింగ్ స్టార్ట్ కంపైలేషన్‌పై క్లిక్ చేయండి. file.

గమనిక:

క్వార్టస్ ప్రైమ్ సాఫ్ట్‌వేర్ మరియు ప్లాట్‌ఫామ్ డిజైనర్ పారామీటర్ ఎడిటర్‌లో కాన్ఫిగరేషన్ మోడ్ సెట్టింగ్ భిన్నంగా ఉంటే, కింది దోష సందేశంతో క్వార్టస్ ప్రైమ్ ప్రాజెక్ట్ విఫలమవుతుంది.

చిత్రం 41.

విభిన్న కాన్ఫిగరేషన్ మోడ్ సెట్టింగ్ కోసం ఎర్రర్ మెసేజ్ ఎర్రర్ (14740): “q_sys:q_sys_inst| altera_onchip_flash:onchip_flash_1|altera_onchip_flash_block: altera_onchip_flash_block|ufm_block” అనే అణులోని కాన్ఫిగరేషన్ మోడ్ ప్రాజెక్ట్ సెట్టింగ్‌తో సరిపోలడం లేదు. ప్రాజెక్ట్ సెట్టింగ్‌కు సరిపోయేలా Qsys సిస్టమ్‌ను అప్‌డేట్ చేసి, తిరిగి జనరేట్ చేయండి.

సంబంధిత సమాచారం MAX 10 FPGA కాన్ఫిగరేషన్ యూజర్ గైడ్

4.5.2.2. సాఫ్ట్‌వేర్ డిజైన్ ఫ్లో
ఈ విభాగం Nios V ప్రాసెసర్ సాఫ్ట్‌వేర్ ప్రాజెక్ట్‌ను రూపొందించడానికి మరియు నిర్మించడానికి డిజైన్ ఫ్లోను అందిస్తుంది. క్రమబద్ధీకరించబడిన నిర్మాణ ప్రవాహాన్ని నిర్ధారించడానికి, మీ డిజైన్ ప్రాజెక్ట్‌లో ఇలాంటి డైరెక్టరీ ట్రీని సృష్టించమని మిమ్మల్ని ప్రోత్సహిస్తారు. కింది సాఫ్ట్‌వేర్ డిజైన్ ఫ్లో ఈ డైరెక్టరీ ట్రీపై ఆధారపడి ఉంటుంది.
సాఫ్ట్‌వేర్ ప్రాజెక్ట్ డైరెక్టరీ ట్రీని సృష్టించడానికి, ఈ దశలను అనుసరించండి: 1. మీ డిజైన్ ప్రాజెక్ట్ ఫోల్డర్‌లో, సాఫ్ట్‌వేర్ అనే ఫోల్డర్‌ను సృష్టించండి. 2. సాఫ్ట్‌వేర్ ఫోల్డర్‌లో, hal_app మరియు hal_bsp అనే రెండు ఫోల్డర్‌లను సృష్టించండి.
చిత్రం 42. సాఫ్ట్‌వేర్ ప్రాజెక్ట్ డైరెక్టరీ ట్రీ

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 62

అభిప్రాయాన్ని పంపండి

4. నియోస్ V ప్రాసెసర్ కాన్ఫిగరేషన్ మరియు బూటింగ్ సొల్యూషన్స్ 726952 | 2025.07.16
అప్లికేషన్ BSP ప్రాజెక్ట్‌ను సృష్టించడం
BSP ఎడిటర్‌ను ప్రారంభించడానికి, ఈ దశలను అనుసరించండి: 1. Nios V కమాండ్ షెల్‌ను నమోదు చేయండి. 2. niosv-bsp-editor కమాండ్‌తో BSP ఎడిటర్‌ను ప్రారంభించండి. 3. BSP ఎడిటర్‌లో, క్లిక్ చేయండి File మీ BSP ప్రాజెక్ట్‌ను ప్రారంభించడానికి కొత్త BSP. 4. కింది సెట్టింగ్‌లను కాన్ఫిగర్ చేయండి:
· SOPC సమాచారం File పేరు: SOPCINFO ని అందించండి file (.sopcinfo). · CPU పేరు: Nios V ప్రాసెసర్‌ను ఎంచుకోండి. · ఆపరేటింగ్ సిస్టమ్: Nios V ప్రాసెసర్ యొక్క ఆపరేటింగ్ సిస్టమ్‌ను ఎంచుకోండి. · వెర్షన్: డిఫాల్ట్‌గా వదిలివేయండి. · BSP లక్ష్య డైరెక్టరీ: BSP ప్రాజెక్ట్ యొక్క డైరెక్టరీ పాత్‌ను ఎంచుకోండి. మీరు
దీన్ని ముందే సెట్ చేయండి /software/hal_bsp డిఫాల్ట్ స్థానాలను ఉపయోగించు ఎనేబుల్ చేయడం ద్వారా. · BSP సెట్టింగ్‌లు File పేరు: BSP సెట్టింగుల పేరును టైప్ చేయండి File. · అదనపు Tcl స్క్రిప్ట్‌లు: అదనపు Tcl స్క్రిప్ట్‌ను ప్రారంభించడం ద్వారా BSP Tcl స్క్రిప్ట్‌ను అందించండి. 5. సరే క్లిక్ చేయండి.
చిత్రం 43. కొత్త BSPని కాన్ఫిగర్ చేయండి

BSP ఎడిటర్‌ను కాన్ఫిగర్ చేయడం మరియు BSP ప్రాజెక్ట్‌ను రూపొందించడం
మీ డిజైన్ ప్రాధాన్యత ఆధారంగా మీరు ప్రాసెసర్ యొక్క మినహాయింపు వెక్టర్‌ను ఆన్-చిప్ మెమరీ (OCRAM) లేదా ఆన్-చిప్ ఫ్లాష్‌లో నిర్వచించవచ్చు. అంతరాయ ప్రాసెసింగ్‌ను వేగవంతం చేయడానికి మినహాయింపు వెక్టర్ మెమరీని OCRAM/బాహ్య RAMకి సెట్ చేయడం సిఫార్సు చేయబడింది. 1. ప్రధాన సెట్టింగ్‌లు అధునాతన hal.linkerకి వెళ్లండి. 2. మీరు ఆన్-చిప్ ఫ్లాష్‌ను మినహాయింపు వెక్టర్‌గా ఎంచుకుంటే,
a. కింది సెట్టింగ్‌లను ప్రారంభించండి:

అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 63

4. నియోస్ V ప్రాసెసర్ కాన్ఫిగరేషన్ మరియు బూటింగ్ సొల్యూషన్స్ 726952 | 2025.07.16
· allow_code_at_reset · enable_alt_load · enable_alt_load_copy_rodata · enable_alt_load_copy_rwdata మూర్తి 44. అధునాతన.హాల్.లింకర్ సెట్టింగ్‌లు

బి. BSP ఎడిటర్‌లోని లింకర్ స్క్రిప్ట్ ట్యాబ్‌పై క్లిక్ చేయండి. సి. లింకర్ విభాగం పేరులోని .exceptions మరియు .text ప్రాంతాలను ఇలా సెట్ చేయండి
ఆన్-చిప్ ఫ్లాష్. d. లింకర్ విభాగం పేరు జాబితాలోని మిగిలిన ప్రాంతాలను ఆన్-చిప్‌కు సెట్ చేయండి.
మెమరీ (OCRAM) లేదా బాహ్య RAM.
చిత్రం 45. లింకర్ రీజియన్ సెట్టింగ్‌లు (ఎక్సెప్షన్ వెక్టర్ మెమరీ: ఆన్-చిప్ ఫ్లాష్)

3. మీరు OCRAM/బాహ్య RAMను మినహాయింపు వెక్టార్‌గా ఎంచుకుంటే, a. కింది సెట్టింగ్‌లను ప్రారంభించండి: · allow_code_at_reset · enable_alt_load · enable_alt_load_copy_rodata · enable_alt_load_copy_rwdata · enable_alt_load_copy_exception
చిత్రం 46. లింకర్ రీజియన్ సెట్టింగ్‌లు (మినహాయింపు వెక్టర్ మెమరీ: OCRAM/బాహ్య RAM)

బి. BSP ఎడిటర్‌లోని లింకర్ స్క్రిప్ట్ ట్యాబ్‌పై క్లిక్ చేయండి.
c. లింకర్ విభాగం పేరులోని .text ప్రాంతాలను ఆన్-చిప్ ఫ్లాష్‌కు సెట్ చేయండి.
d. లింకర్ సెక్షన్ నేమ్ జాబితాలోని మిగిలిన ప్రాంతాలను ఆన్-చిప్ మెమరీ (OCRAM) లేదా బాహ్య RAMకి సెట్ చేయండి.

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 64

అభిప్రాయాన్ని పంపండి

4. నియోస్ V ప్రాసెసర్ కాన్ఫిగరేషన్ మరియు బూటింగ్ సొల్యూషన్స్ 726952 | 2025.07.16
చిత్రం 47. లింకర్ రీజియన్ సెట్టింగ్‌లు (మినహాయింపు వెక్టర్ మెమరీ: OCRAM)
4. BSP ప్రాజెక్ట్‌ను రూపొందించడానికి జనరేట్ పై క్లిక్ చేయండి. యూజర్ అప్లికేషన్ ప్రాజెక్ట్‌ను రూపొందిస్తోంది File 1. software/hal_app ఫోల్డర్‌కి నావిగేట్ చేసి, మీ అప్లికేషన్ సోర్స్‌ని సృష్టించండి.
కోడ్. 2. Nios V కమాండ్ షెల్‌ను ప్రారంభించండి. 3. CMakeLists.txt అప్లికేషన్‌ను రూపొందించడానికి క్రింది ఆదేశాన్ని అమలు చేయండి.
niosv-యాప్ –యాప్-డిఆర్=సాఫ్ట్‌వేర్/హాల్_యాప్ –బిఎస్పి-డిఆర్=సాఫ్ట్‌వేర్/హాల్_బిఎస్పి –ఎస్ఆర్సిఎస్=సాఫ్ట్‌వేర్/హాల్_యాప్/
యూజర్ అప్లికేషన్ ప్రాజెక్ట్‌ను నిర్మించడం మీరు Altera FPGAల కోసం Ashling RiscFree IDEని ఉపయోగించి లేదా కమాండ్ లైన్ ఇంటర్‌ఫేస్ (CLI) ద్వారా యూజర్ అప్లికేషన్ ప్రాజెక్ట్‌ను నిర్మించడాన్ని ఎంచుకోవచ్చు. మీరు CLIని ఉపయోగించాలనుకుంటే, మీరు ఈ క్రింది కమాండ్‌ని ఉపయోగించి యూజర్ అప్లికేషన్‌ను నిర్మించవచ్చు: cmake -G “Unix Makefiles” -B సాఫ్ట్‌వేర్/హాల్_యాప్/బిల్డ్ -S సాఫ్ట్‌వేర్/హాల్_యాప్ మేక్ -C సాఫ్ట్‌వేర్/హాల్_యాప్/బిల్డ్
అప్లికేషన్ (.elf) file software/hal_app/build ఫోల్డర్‌లో సృష్టించబడింది. HEXని రూపొందిస్తోంది. File మీరు ఒక .hex ని జనరేట్ చేయాలి file మీ అప్లికేషన్ నుండి .elf file, కాబట్టి మీరు .pof ని సృష్టించవచ్చు file పరికరాలను ప్రోగ్రామింగ్ చేయడానికి అనువైనది. 1. Nios V కమాండ్ షెల్‌ను ప్రారంభించండి. 2. ఆన్-చిప్ ఫ్లాష్ నుండి Nios V ప్రాసెసర్ అప్లికేషన్ బూట్ కోసం, కింది వాటిని ఉపయోగించండి
మీ అప్లికేషన్ కోసం ELF ని HEX గా మార్చడానికి కమాండ్ లైన్. ఈ ఆదేశం యూజర్ అప్లికేషన్ (onchip_flash.hex) ను సృష్టిస్తుంది. file. elf2hex సాఫ్ట్‌వేర్/hal_app/build/ .elf -o ఆన్‌చిప్_ఫ్లాష్.హెక్స్
-బి -w 8 -e 3. మీరు ఆన్-చిప్ ఫ్లాష్ IP (పద్ధతి 1) లో మెమరీ కంటెంట్‌ను ప్రారంభించు ఎంపికను తనిఖీ చేస్తే హార్డ్‌వేర్ డిజైన్‌ను తిరిగి కంపైల్ చేయండి. ఇది SOF లో సాఫ్ట్‌వేర్ డేటాను (.HEX) చేర్చడానికి. file.

అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 65

4. నియోస్ V ప్రాసెసర్ కాన్ఫిగరేషన్ మరియు బూటింగ్ సొల్యూషన్స్ 726952 | 2025.07.16
4.5.2.3. ప్రోగ్రామింగ్ 1. క్వార్టస్ ప్రైమ్‌లో, క్లిక్ చేయండి File ప్రోగ్రామింగ్‌ని మార్చండి Fileసె. 2. అవుట్‌పుట్ ప్రోగ్రామింగ్ కింద file, ప్రోగ్రామర్ ఆబ్జెక్ట్‌ను ఎంచుకోండి File (.pof) ప్రోగ్రామింగ్‌గా file రకం. 3. మోడ్‌ను అంతర్గత కాన్ఫిగరేషన్‌కు సెట్ చేయండి.
మూర్తి 48. ప్రోగ్రామింగ్‌ను మార్చండి File సెట్టింగ్‌లు
4. ఆప్షన్స్/బూట్ సమాచారం... పై క్లిక్ చేయండి, MAX 10 డివైస్ ఆప్షన్స్ విండో కనిపిస్తుంది. 5. ఆన్-చిప్ ఫ్లాష్ ఐపీలో ఫ్లాష్ కంటెంట్ సెట్టింగ్‌లను ప్రారంభించు ఆధారంగా,
కింది దశల్లో ఒకటి: · ఫ్లాష్ కంటెంట్‌ను ప్రారంభించు తనిఖీ చేయబడితే (పద్ధతి 1), UFM ప్రారంభ డేటా
క్వార్టస్ ప్రైమ్ కంపైలేషన్ సమయంలో SOFలో చేర్చబడింది. — UFM సోర్స్: ఎంపిక కోసం Page_0ని ఎంచుకోండి. సరే క్లిక్ చేసి,
తదుపరి. చిత్రం 49. ఫ్లాష్ కంటెంట్‌ను ప్రారంభించు తనిఖీ చేయబడి ఉంటే UFM మూలానికి Page_0ని సెట్ చేయడం

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 66

అభిప్రాయాన్ని పంపండి

4. నియోస్ V ప్రాసెసర్ కాన్ఫిగరేషన్ మరియు బూటింగ్ సొల్యూషన్స్ 726952 | 2025.07.16
· ఫ్లాష్ కంటెంట్‌ను ప్రారంభించు తనిఖీ చేయకపోతే (పద్ధతి 2), లోడ్ మెమరీని ఎంచుకోండి file UFM సోర్స్ ఎంపిక కోసం. జనరేట్ చేయబడిన ఆన్-చిప్ ఫ్లాష్ HEX కి బ్రౌజ్ చేయండి. file (onchip_flash.hex) లో File path: మరియు OK పై క్లిక్ చేయండి. ఈ దశ SOF కు విడిగా UFM డేటాను జోడిస్తుంది. file ప్రోగ్రామింగ్ సమయంలో file మార్పిడి.
చిత్రం 50. లోడ్ మెమరీని సెట్ చేస్తోంది File ఫ్లాష్ కంటెంట్‌ను ప్రారంభించు తనిఖీ చేయకపోతే UFM మూలానికి

6. కన్వర్ట్ ప్రోగ్రామింగ్‌లో File ఇన్‌పుట్ వద్ద డైలాగ్ బాక్స్ files విభాగాన్ని మార్చడానికి, జోడించు క్లిక్ చేయండి File… మరియు జనరేట్ చేయబడిన క్వార్టస్ ప్రైమ్ .sof ని సూచించండి file.
మూర్తి 51. ఇన్‌పుట్ Fileకన్వర్ట్ ప్రోగ్రామింగ్‌లో కన్వర్ట్ చేయడానికి s Fileసింగిల్ ఇమేజ్ మోడ్ కోసం s

7. .pof ని సృష్టించడానికి జనరేట్ పై క్లిక్ చేయండి. file. 8. .pof ని ప్రోగ్రామ్ చేయండి file మీ హార్డ్‌వేర్‌ను పవర్ సైకిల్ చేయండి.

4.5.3. బూట్ కాపీయర్ ఉపయోగించి UFM నుండి RAM కి కాపీ చేయబడిన Nios V ప్రాసెసర్ అప్లికేషన్.

అప్లికేషన్ సాఫ్ట్‌వేర్ అభివృద్ధి మరియు అధిక సిస్టమ్ పనితీరు యొక్క బహుళ పునరావృత్తులు అవసరమయ్యే MAX 10 FPGA Nios V ప్రాసెసర్ సిస్టమ్ డిజైన్‌ల కోసం Altera ఈ పరిష్కారాన్ని సిఫార్సు చేస్తోంది. బూట్ కాపీయర్ UFM లోపల రీసెట్ వెక్టర్ వలె అదే చిరునామాలో ఆఫ్‌సెట్‌లో ఉంది. Nios V అప్లికేషన్ బూట్ కాపీయర్ పక్కన ఉంది.

ఈ బూట్ ఆప్షన్ కోసం, UFM సెక్టార్ నుండి OCRAM లేదా బాహ్య RAM కి అప్లికేషన్‌ను కాపీ చేయడానికి సిస్టమ్ రీసెట్ చేసిన తర్వాత Nios V ప్రాసెసర్ బూట్ కాపీయర్‌ను అమలు చేయడం ప్రారంభిస్తుంది. కాపీ చేయడం పూర్తయిన తర్వాత, Nios V ప్రాసెసర్ ప్రోగ్రామ్ నియంత్రణను అప్లికేషన్‌కు బదిలీ చేస్తుంది.

గమనిక:

అనువర్తిత బూట్ కాపీయర్ GSFI ద్వారా బూట్‌లోడర్ లాగానే ఉంటుంది.

అభిప్రాయాన్ని పంపండి

Nios® V ఎంబెడెడ్ ప్రాసెసర్ డిజైన్ హ్యాండ్‌బుక్ 67

4. నియోస్ V ప్రాసెసర్ కాన్ఫిగరేషన్ మరియు బూటింగ్ సొల్యూషన్స్ 726952 | 2025.07.16

చిత్రం 52. బూట్ కాపీయర్ ఉపయోగించి UFM నుండి RAM కి కాపీ చేయబడిన Nios V అప్లికేషన్.

గరిష్టంగా 10 పరికరం

.పిఓఎఫ్
నియోస్ V హార్డ్‌వేర్ .SOF
నియోస్ V సాఫ్ట్‌వేర్ .HEX
బూట్‌లోడర్ .SREC

క్వార్టస్ ప్రోగ్రామర్

బాహ్య RAM
నియోస్ వి సాఫ్ట్‌వేర్

ఆన్-చిప్ ఫ్లాష్

CFM

నియోస్ వి హార్డ్వా

పత్రాలు / వనరులు

ఇతర నియోస్ V ఎంబెడెడ్ ప్రాసెసర్ [pdf] యూజర్ గైడ్
నియోస్ V, నియోస్ Vm, నియోస్ Vg, నియోస్ Vc, నియోస్ V ఎంబెడెడ్ ప్రాసెసర్, నియోస్ V, ఎంబెడెడ్ ప్రాసెసర్, ప్రాసెసర్

సూచనలు

వ్యాఖ్యానించండి

మీ ఇమెయిల్ చిరునామా ప్రచురించబడదు. అవసరమైన ఫీల్డ్‌లు గుర్తించబడ్డాయి *