Próiseálaí Leabaithe Altera Nios V
Sonraíochtaí
- Ainm Táirge: Próiseálaí Nios V
- Software Compatibility: Quartus Prime Software and Platform Designer
- Cineál Próiseálaí: Altera FPGA
- Córas Cuimhne: Cuimhne So-ghalaithe agus Neamh-Sho-ghalaithe
- Comhéadan Cumarsáide: Gníomhaire UART
Nios V Processor Hardware System Design
To design the Nios V Processor hardware system, follow these steps:
- Create Nios V Processor system design using Platform Designer.
- Comhtháthaigh an córas i dtionscadal Quartus Prime.
- Design memory system including volatile and non-volatile memory.
- Cuir cloig i bhfeidhm agus athshocraíonn na cleachtais is fearr.
- Sannadh gníomhairí réamhshocraithe agus UART le haghaidh oibriú éifeachtach.
Nios V Processor Software System Design
Chun an córas bogearraí a dhearadh do Phróiseálaí Nios V:
- Lean an sreabhadh forbartha bogearraí do Phróiseálaí Nios V.
- Create Board Support Package Project and Application Project.
Nios V Processor Configuration and Booting Solutions
Chun Próiseálaí Nios V a chumrú agus a thosú:
- Understand the introduction to configuration and booting solutions.
- Nasc feidhmchláir le haghaidh oibriú gan uaim.
About the Nios® V Embedded Processor
1.1. Altera® FPGA agus Próiseálaithe Leabaithe Tharview
Is féidir le gléasanna FPGA Altera loighic a chur i bhfeidhm a fheidhmíonn mar mhicreaphróiseálaí iomlán agus go leor roghanna á soláthar acu ag an am céanna.
Difríocht thábhachtach idir micreaphróiseálaithe scoite agus Altera FPGA ná nach bhfuil aon loighic i bhfabraic Altera FPGA nuair a chasann sé ar an chumhacht. Is próiseálaí bog maoine intleachtúla (IP) é an próiseálaí Nios® V atá bunaithe ar shonraíocht RISC-V. Sula ritheann tú bogearraí ar chóras atá bunaithe ar phróiseálaí Nios V, ní mór duit an gléas Altera FPGA a chumrú le dearadh crua-earraí ina bhfuil próiseálaí Nios V. Is féidir leat an próiseálaí Nios V a chur in áit ar bith ar an Altera FPGA, ag brath ar riachtanais an dearaidh.
Chun go mbeidh do chóras leabaithe Altera® FPGA atá bunaithe ar IP in ann gníomhú mar chóras scoite atá bunaithe ar mhicreaphróiseálaí, ba cheart go mbeadh na nithe seo a leanas san áireamh i do chóras: · AJTAG comhéadan chun tacú le cumraíocht, crua-earraí agus bogearraí Altera FPGA
dífhabhtú · Sásra cumraíochta Altera FPGA cumhachtaithe
Má tá na cumais seo ag do chóras, is féidir leat tosú ag scagadh do dhearadh ó dhearadh crua-earraí réamhthástáilte atá lódáilte san Altera FPGA. Trí Altera FPGA a úsáid, is féidir leat do dhearadh a mhodhnú go tapa chun aghaidh a thabhairt ar fhadhbanna nó chun feidhmiúlacht nua a chur leis. Is féidir leat na dearaí crua-earraí nua seo a thástáil go héasca tríd an Altera FPGA a athchumrú ag baint úsáide as J do chórais.TAG comhéadan.
An J.TAG Tacaíonn an comhéadan le forbairt crua-earraí agus bogearraí. Is féidir leat na tascanna seo a leanas a dhéanamh ag baint úsáide as an JTAG comhéadan: · Cumraigh an Altera FPGA · Íoslódáil agus dífhabhtaigh bogearraí · Cumarsáid leis an Altera FPGA trí chomhéadan cosúil le UART (JTAG UART
críochfort) · Dífhabhtaigh crua-earraí (leis an anailíseoir loighce leabaithe Signal Tap) · Cuimhne flash a chlárú
Tar éis duit an Altera FPGA a chumrú le dearadh bunaithe ar phróiseálaí Nios V, beidh an sreabhadh forbartha bogearraí cosúil leis an sreabhadh le haghaidh dearaí micrea-rialaitheoirí scoite.
Faisnéis Ghaolmhar · AN 985: Rang Teagaisc Próiseálaí Nios V
Treoir thosaithe thapa maidir le córas próiseálaí Nios V simplí a chruthú agus an feidhmchlár Hello World a rith.
© Altera Corporation. Is trádmharcanna de chuid Altera Corporation iad Altera, lógó Altera, an lógó `a', agus marcanna Altera eile. Forchoimeádann Altera an ceart athruithe a dhéanamh ar aon táirgí agus seirbhísí tráth ar bith gan fógra. Ní ghlacann Altera aon fhreagracht ná dliteanas a eascraíonn as cur i bhfeidhm nó úsáid aon fhaisnéise, táirge nó seirbhíse a thuairiscítear anseo ach amháin mar a chomhaontaigh Altera go sainráite i scríbhinn. Moltar do chustaiméirí Altera an leagan is déanaí de shonraíochtaí na ngléasanna a fháil sula mbraitheann siad ar aon fhaisnéis fhoilsithe agus sula gcuireann siad orduithe isteach le haghaidh táirgí nó seirbhísí. *Féadfar éileamh a dhéanamh gur maoin de chuid daoine eile iad ainmneacha agus brandaí eile.
1. Maidir leis an Próiseálaí Leabaithe Nios® V 726952 | 2025.07.16
· Lámhleabhar Tagartha Próiseálaí Nios V Soláthraíonn sé faisnéis faoi thagarmharcanna feidhmíochta próiseálaí Nios V, ailtireacht an phróiseálaí, an tsamhail ríomhchlárúcháin, agus an cur i bhfeidhm lárnach.
· Treoir Úsáideora Forimeallaigh Leabaithe IP · Lámhleabhar Forbróra Bogearraí Próiseálaí Nios V
Déantar cur síos ar thimpeallacht forbartha bogearraí an phróiseálaí Nios V, na huirlisí atá ar fáil, agus an próiseas chun bogearraí a thógáil le rith ar phróiseálaí Nios V. · Treoir Úsáideora Ashling* Timpeallacht Forbartha Comhtháite (IDE) RiscFree* do Altera FPGAanna Déantar cur síos ar an timpeallacht forbartha comhtháite (IDE) RiscFree* do Altera FPGAanna HPS bunaithe ar Arm* agus próiseálaí croí Nios V. · Nótaí Eisiúna IP Altera FPGA Próiseálaí Nios V
1.2. Tacaíocht Quartus® Prime Software
Tá sreabhadh tógála próiseálaí Nios V difriúil do bhogearraí Quartus® Prime Pro Edition agus bogearraí Quartus Prime Standard Edition. Féach AN 980: Tacaíocht Bogearraí Quartus Prime do Phróiseálaí Nios V le haghaidh tuilleadh eolais faoi na difríochtaí.
Faisnéis Ghaolmhar AN 980: Próiseálaí Nios V Tacaíocht Bogearraí Quartus Prime
1.3. Ceadúnú Próiseálaí Nios V
Tá eochair cheadúnais ag gabháil le gach cineál próiseálaí Nios V. Nuair a gheobhaidh tú an eochair cheadúnais, is féidir leat an eochair cheadúnais chéanna a úsáid do gach tionscadal próiseálaí Nios V go dtí an dáta éaga. Is féidir leat ceadúnais IP Altera FPGA Próiseálaí Nios V a fháil ar chostas nialasach.
Tá liosta eochracha ceadúnais an phróiseálaí Nios V ar fáil in Ionad Ceadúnais Féinseirbhíse Altera FPGA. Cliceáil ar an táb Cláraigh le haghaidh Meastóireachta nó Ceadúnas Saor in Aisce, agus roghnaigh na roghanna comhfhreagracha chun an t-iarratas a dhéanamh.
Fíor 1. Ionad Ceadúnaithe Féinseirbhíse Altera FPGA
Leis na heochracha ceadúnais, is féidir leat:
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 7
1. Maidir leis an Próiseálaí Leabaithe Nios® V 726952 | 2025.07.16
· Cuir próiseálaí Nios V i bhfeidhm laistigh de do chóras. · Insamhladh a dhéanamh ar iompar córais phróiseálaí Nios V. · Fíoraigh feidhmiúlacht an dearaidh, amhail méid agus luas. · Giniúint cláir gléasanna files. · Gléas a chlárú agus an dearadh a fhíorú sa chrua-earraí.
Ní gá ceadúnas a bheith agat chun bogearraí a fhorbairt san Ashling* RiscFree* IDE do Altera FPGAanna.
Faisnéis Ghaolmhar · Ionad Ceadúnúcháin Féinseirbhíse Altera FPGA
Le haghaidh tuilleadh eolais faoi eochracha ceadúnais IP Próiseálaí Nios V Altera FPGA a fháil. · Suiteáil agus Ceadúnú Bogearraí Altera FPGA Le haghaidh tuilleadh eolais faoi cheadúnú bogearraí Altera FPGA agus ceadúnas seasta agus freastalaí ceadúnais líonra a bhunú.
1.4. Dearadh Córais Leabaithe
Léiríonn an figiúr seo a leanas sreabhadh dearaidh córais simplithe bunaithe ar phróiseálaí Nios V, lena n-áirítear forbairt crua-earraí agus bogearraí araon.
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 8
Seol Aiseolas
1. Maidir leis an Próiseálaí Leabaithe Nios® V 726952 | 2025.07.16
Fíor 2 .
Sreabhadh Dearaidh Córais Próiseálaí Nios V
Coincheap an Chórais
Anailís a dhéanamh ar Riachtanais an Chórais
Nios® V
Croíleacáin Próiseálaí agus Comhpháirteanna Caighdeánacha
Sainmhínigh agus Gin Córas i
Dearthóir Ardáin
Sreabhadh Crua-earraí: Tionscadal Intel Quartus Prime a Chomhtháthú agus a Thiomsú
Sreabhadh Bogearraí: Bogearraí Togra Nios V a Fhorbairt agus a Thógáil
Sreabhadh Crua-earraí: Íoslódáil Dearadh FPGA
chuig Bord Sprioc
Sreabhadh Bogearraí: Tástáil agus Dífhabhtú Bogearraí Próiseálaí Nios V
An bhfuil an Bogearraí ag teacht leis na Sonraí?
Tá
Crua-earraí Níl Comhlíonann siad an tSonraíocht? Tá
Córas Críochnaithe
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 9
726952 | 2025.07.16 Seol Aiseolas
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí agus Dearthóir Ardáin Quartus Prime
Fíor 3 .
Léiríonn an léaráid seo a leanas dearadh crua-earraí tipiciúil próiseálaí Nios V. Sreabhadh Dearaidh Crua-earraí Córas Próiseálaí Nios V
Tosaigh
Croíleacáin agus Comhpháirteanna Caighdeánacha Nios V
Úsáid Dearthóir Ardáin chun Córas Bunaithe ar Nios V a Dhearadh
Dearadh Dearthóra Ardáin a Ghiniúint
Córas Dearthóra Ardáin a Chomhtháthú le Tionscadal Intel Quartus Prime
Sannadh Suíomhanna Bioráin, Riachtanais Ama, agus Srianta Dearaidh eile
Crua-earraí a thiomsú le haghaidh Gléas Sprioc in Intel Quartus Prime
Réidh le hÍoslódáil
2.1. Dearadh Córais Próiseálaí Nios V a Chruthú le Dearthóir Ardáin
Áirítear leis an mbogearra Quartus Prime an uirlis chomhtháthaithe córais Platform Designer a shimplíonn an tasc maidir le croí IP próiseálaí Nios V agus IPanna eile a shainiú agus a chomhtháthú i ndearadh córais Altera FPGA. Cruthaíonn an Platform Designer loighic idirnasctha go huathoibríoch ón nascacht ardleibhéil shonraithe. Cuireann an t-uathoibriú idirnasctha deireadh leis an tasc am-íditheach maidir le naisc HDL ar leibhéal an chórais a shonrú.
© Altera Corporation. Is trádmharcanna de chuid Altera Corporation iad Altera, lógó Altera, an lógó `a', agus marcanna Altera eile. Forchoimeádann Altera an ceart athruithe a dhéanamh ar aon táirgí agus seirbhísí tráth ar bith gan fógra. Ní ghlacann Altera aon fhreagracht ná dliteanas a eascraíonn as cur i bhfeidhm nó úsáid aon fhaisnéise, táirge nó seirbhíse a thuairiscítear anseo ach amháin mar a chomhaontaigh Altera go sainráite i scríbhinn. Moltar do chustaiméirí Altera an leagan is déanaí de shonraíochtaí na ngléasanna a fháil sula mbraitheann siad ar aon fhaisnéis fhoilsithe agus sula gcuireann siad orduithe isteach le haghaidh táirgí nó seirbhísí. *Féadfar éileamh a dhéanamh gur maoin de chuid daoine eile iad ainmneacha agus brandaí eile.
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí agus Dearthóir Ardáin Quartus Prime
726952 | 2025.07.16
Tar éis duit riachtanais chrua-earraí an chórais a anailísiú, úsáideann tú Quartus Prime chun croílár próiseálaí Nios V, cuimhne, agus comhpháirteanna eile a theastaíonn ó do chóras a shonrú. Gineann an Dearthóir Ardáin an loighic idirnasctha go huathoibríoch chun na comhpháirteanna a chomhtháthú sa chóras crua-earraí.
2.1.1. IP FPGA Altera Próiseálaí Nios V a Thosú
You can instantiate any of the processor IP cores in Platform Designer IP Catalog Processors and Peripherals Embedded Processors.
Tacaíonn croí IP gach próiseálaí le roghanna cumraíochta éagsúla bunaithe ar a ailtireacht uathúil. Is féidir leat na cumraíochtaí seo a shainiú chun freastal níos fearr ar do riachtanais dearaidh.
Tábla 1 .
Roghanna Cumraíochta Trasna na gCroí-Mhathracha
Roghanna Cumraíochta
Próiseálaí Nios V/c
Próiseálaí Nios V/m
Iarratas Athshocraithe Úsáide Dífhabhtaithe
—
Gaistí, Eisceachtaí, agus Idirbhriseadh
Ailtireacht LAP
ECC
Taisceáin, Réigiúin Fhorimeallacha agus TCManna
—
—
Treoracha Saincheaptha
—
—
Glaschéim
—
—
Próiseálaí Nios V/g
2.1.1.1. Ag cruthú Micrialaitheoir Dlúth Nios V/c Altera FPGA IP Fíor 4. Micrialaitheoir Dlúth Nios V/c Altera FPGA IP
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 11
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí agus Dearthóir Ardáin Quartus Prime
726952 | 2025.07.16
2.1.1.1.1. Táb Ailtireacht LAP
Tábla 2 .
Tab Ailtireacht LAP
Gné
Cur síos
Cumasaigh Comhéadan Avalon® Cumasaíonn sé Comhéadan Avalon don bhainisteoir treoracha agus don bhainisteoir sonraí. Má tá sé díchumasaithe, úsáideann an córas comhéadan AXI4-Lite.
Luach CSR mhartid
· Rogha IP neamhbhailí. · Ná húsáid luach CSR mhartid i bpróiseálaí Nios V/c.
2.1.1.1.2. Úsáid an cluaisín Iarratas Athshocraithe
Tábla 3 .
Úsáid Paraiméadar an Chluaisín Athshocraigh Iarratas
Úsáid an cluaisín Iarratas Athshocraithe
Cur síos
Cuir Comhéadan Iarratas Athshocraithe leis
· Cumasaigh an rogha seo chun calafoirt athshocraithe áitiúla a nochtadh inar féidir le máistir áitiúil é a úsáid chun an próiseálaí Nios V a athshocrú gan cur isteach ar chomhpháirteanna eile i gcóras próiseálaí Nios V.
· Tá comhartha athshocraithe ionchuir agus comhartha dearbhaithe aschuir sa chomhéadan athshocraithe.
· Is féidir leat athshocrú a iarraidh ar chroílár próiseálaí Nios V tríd an gcomhartha resestreq a dhearbhú.
· Caithfidh an comhartha resestreq fanacht dearbhaithe go dtí go ndearbhaíonn an próiseálaí comhartha dearbhaithe. Má theipeann ar an gcomhartha fanacht dearbhaithe, d’fhéadfadh sé go mbeadh an próiseálaí i riocht neamh-chinntitheach.
· Freagraíonn próiseálaí Nios V go bhfuil an t-athshocrú rathúil tríd an gcomhartha admhála a dhearbhú.
· Tar éis an próiseálaí a athshocrú go rathúil, is féidir dearbhú an chomhartha admhála a tharlú arís agus arís eile go tréimhsiúil go dtí go ndídhearbhaítear an comhartha athshocraithe.
2.1.1.1.3. Táb Gaistí, Eisceachtaí, agus Idirbhriseadh
Tábla 4 .
Paraiméadair an chluaisín Gaistí, Eisceachtaí, agus Idirbhriseadh
Gaistí, Eisceachtaí, agus Idirbhriseadh
Cur síos
Athshocraigh an Gníomhaire
· An chuimhne ina bhfuil an veicteoir athshocraithe (seoladh athshocraithe an phróiseálaí Nios V) ina bhfuil an cód athshocraithe.
· Is féidir leat aon mhodúl cuimhne atá ceangailte le máistir treoracha an phróiseálaí Nios V agus a bhfuil sreabhadh tosaithe próiseálaí Nios V ag tacú leis a roghnú mar ghníomhaire athshocraithe.
Athshocraigh Fritháireamh
· Sonraíonn sé seo an fhritháireamh den veicteoir athshocraithe i gcoibhneas le seoladh bonn an ghníomhaire athshocraithe roghnaithe. · Soláthraíonn Dearthóir Ardáin luach réamhshocraithe go huathoibríoch don fhritháireamh athshocraithe.
Nóta:
Soláthraíonn Dearthóir Ardáin rogha Absalóideach, rud a ligeann duit seoladh absalóideach a shonrú in Athshocraigh Fritháireamh. Bain úsáid as an rogha seo nuair a bhíonn an chuimhne ina stóráiltear an veicteoir athshocraithe suite lasmuigh den chóras próiseálaí agus na bhfochórais.
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 12
Seol Aiseolas
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí agus Dearthóir Ardáin Quartus Prime
726952 | 2025.07.16
2.1.1.1.4. Táb ECC
Tábla 5 .
Táb ECC
ECC
Cumasaigh Brath Earráide agus Tuairisciú Stádais
Cur síos
· Cumasaigh an rogha seo chun gné ECC a chur i bhfeidhm ar bhloic RAM inmheánacha próiseálaí Nios V. · Braitheann gnéithe ECC earráidí suas le 2 ghiotán agus imoibríonn siad bunaithe ar an iompar seo a leanas:
— Más earráid incheartaithe 1-giotán atá ann, leanann an próiseálaí ag feidhmiú tar éis an earráid a cheartú i bpíblíne an phróiseálaí. Mar sin féin, ní léirítear an ceartú sna cuimhní foinse.
— Mura féidir an earráid a cheartú, leanann an próiseálaí ag oibriú gan í a cheartú i bpíblíne an phróiseálaí agus sna cuimhní foinse, rud a d’fhéadfadh a bheith ina chúis leis an bpróiseálaí dul i riocht neamhchinntitheach.
2.1.1.2. Ag cruthú Micrialaitheoir Nios V/m Altera FPGA IP Fíor 5. Micrialaitheoir Nios V/m Altera FPGA IP
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 13
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí agus Dearthóir Ardáin Quartus Prime
726952 | 2025.07.16
2.1.1.2.1. An cluaisín Dífhabhtaithe
Tábla 6 .
Paraiméadair an Chluaisín Dífhabhtaithe
Táb Dífhabhtaithe
Cur síos
Cumasaigh Dífhabhtú
Cumasaigh Athshocrú ón Modúl Dífhabhtaithe
· Cumasaigh an rogha seo chun an J a chur leisTAG modúl nasc sprice leis an bpróiseálaí Nios V. · An JTAG Ceadaíonn an modúl nasc sprice ceangal leis an bpróiseálaí Nios V tríd an
JTAG bioráin chomhéadain an FPGA. · Soláthraíonn an nasc na cumais bhunúsacha seo a leanas:
— Tosaigh agus stop an próiseálaí Nios V — Scrúdaigh agus cuir in eagar cláir agus cuimhne. — Íoslódáil an feidhmchlár Nios V .elf file chuig cuimhne an phróiseálaí ag am rithe trí
niosv-download. — Dífhabhtaigh an feidhmchlár atá ag rith ar phróiseálaí Nios V · Ceangail port dm_agent leis an mbus treoracha agus sonraí próiseálaí. Cinntigh go bhfuil an seoladh bonn idir an dá bhus mar an gcéanna.
· Cumasaigh an rogha seo chun calafoirt dbg_reset_out agus ndm_reset_in a nochtadh. · JTAG spreagann an dífhabhtóir nó an t-ordú niosv-download -r an dbg_reset_out, rud a
ligeann sé don phróiseálaí Nios V forimeallaigh chórais a nascann leis an gcalafort seo a athshocrú. · Ní mór duit an comhéadan dbg_reset_out a nascadh le ndm_reset_in in ionad reset
comhéadan chun athshocrú a spreagadh chuig croílár an phróiseálaí agus an modúl lasc ama. Ní mór duit comhéadan dbg_reset_out a nascadh leis an gcomhéadan athshocraithe chun iompar neamhchinnte a chosc.
2.1.1.2.2. Úsáid an cluaisín Iarratas Athshocraithe
Tábla 7 .
Úsáid Paraiméadar an Chluaisín Athshocraigh Iarratas
Úsáid an cluaisín Iarratas Athshocraithe
Cur síos
Cuir Comhéadan Iarratas Athshocraithe leis
· Cumasaigh an rogha seo chun calafoirt athshocraithe áitiúla a nochtadh inar féidir le máistir áitiúil é a úsáid chun an próiseálaí Nios V a athshocrú gan cur isteach ar chomhpháirteanna eile i gcóras próiseálaí Nios V.
· Tá comhartha athshocraithe ionchuir agus comhartha dearbhaithe aschuir sa chomhéadan athshocraithe.
· Is féidir leat athshocrú a iarraidh ar chroílár próiseálaí Nios V tríd an gcomhartha resestreq a dhearbhú.
· Caithfidh an comhartha resestreq fanacht dearbhaithe go dtí go ndearbhaíonn an próiseálaí comhartha dearbhaithe. Má theipeann ar an gcomhartha fanacht dearbhaithe, d’fhéadfadh sé go mbeadh an próiseálaí i riocht neamh-chinntitheach.
· Níl aon tionchar ag dearbhú an chomhartha resestreq i mód dífhabhtaithe ar staid an phróiseálaí.
· Freagraíonn próiseálaí Nios V go bhfuil an t-athshocrú rathúil tríd an gcomhartha admhála a dhearbhú.
· Tar éis an próiseálaí a athshocrú go rathúil, is féidir dearbhú an chomhartha admhála a tharlú arís agus arís eile go tréimhsiúil go dtí go ndídhearbhaítear an comhartha athshocraithe.
2.1.1.2.3. Táb Gaistí, Eisceachtaí, agus Idirbhriseadh
Tábla 8 .
Tab Gaistí, Eisceachtaí, agus Idirbhriseadh
Tab Gaistí, Eisceachtaí, agus Idirbhriseadh
Cur síos
Athshocraigh an Gníomhaire
· An chuimhne ina bhfuil an veicteoir athshocraithe (seoladh athshocraithe an phróiseálaí Nios V) ina bhfuil an cód athshocraithe.
· Is féidir leat aon mhodúl cuimhne atá ceangailte le máistir treoracha an phróiseálaí Nios V agus a bhfuil sreabhadh tosaithe próiseálaí Nios V ag tacú leis a roghnú mar ghníomhaire athshocraithe.
Athshocraigh Mód Idirbhriseadh Fritháireamh
· Sonraíonn sé seo an fhritháireamh den veicteoir athshocraithe i gcoibhneas le seoladh bonn an ghníomhaire athshocraithe roghnaithe. · Soláthraíonn Dearthóir Ardáin luach réamhshocraithe go huathoibríoch don fhritháireamh athshocraithe.
Sonraigh an cineál rialaitheora idirbhrise, bíodh sé Díreach nó Veicteoirithe. Tabhair faoi deara: Ní thacaíonn an próiseálaí neamh-phíblíne Nios V/m le hidirbhriseadh Veicteoirithe.
Dá bhrí sin, seachain an modh cur isteach veicteoirithe a úsáid nuair a bhíonn an próiseálaí i mód Neamhphíopáilte.
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 14
Seol Aiseolas
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí agus Dearthóir Ardáin Quartus Prime
726952 | 2025.07.16
Nóta:
Soláthraíonn Dearthóir Ardáin rogha Absalóideach, rud a ligeann duit seoladh absalóideach a shonrú in Athshocraigh Fritháireamh. Bain úsáid as an rogha seo nuair a bhíonn an chuimhne ina stóráiltear an veicteoir athshocraithe suite lasmuigh den chóras próiseálaí agus na bhfochórais.
2.1.1.2.4. Ailtireacht LAP
Tábla 9 .
Paraiméadair an Táb Ailtireacht LAP
Ailtireacht LAP
Cur síos
Cumasaigh Píblíneáil sa LAP
· Cumasaigh an rogha seo chun próiseálaí Nios V/m píblíne a thosú. — Tá IPC níos airde ar chostas achar loighce níos airde agus minicíocht Fmax níos ísle.
· Díchumasaigh an rogha seo chun próiseálaí Nios V/m neamhphíblíne a thosú. — Tá feidhmíocht chroí cosúil aige leis an bpróiseálaí Nios V/c. — Tacaíonn sé le cumas dífhabhtaithe agus cur isteach — Achar loighce níos ísle agus minicíocht Fmax níos airde ar chostas IPC níos ísle.
Cumasaigh Comhéadan Avalon
Cumasaíonn sé Comhéadan Avalon don bhainisteoir treoracha agus don bhainisteoir sonraí. Má tá sé díchumasaithe, úsáideann an córas comhéadan AXI4-Lite.
Luach CSR mhartid
· Is é 0 luach réamhshocraithe chlár aitheantais Hart (mhartid). · Sannadh luach idir 0 agus 4094. · Ag luí le Altera FPGA Avalon Mutex Core HAL API.
Faisnéis Ghaolmhar Treoir Úsáideora um Fhoirmeacha Leabaithe IP – Intel FPGA Avalon® Mutex Core
2.1.1.2.5. Táb ECC
Tábla 10. Táb ECC
Cumasaigh Brath Earráide agus Tuairisciú Stádais ECC
Cur síos
· Cumasaigh an rogha seo chun gné ECC a chur i bhfeidhm ar bhloic RAM inmheánacha próiseálaí Nios V. · Braitheann gnéithe ECC earráidí suas le 2 ghiotán agus imoibríonn siad bunaithe ar an iompar seo a leanas:
— Más earráid incheartaithe 1-giotán atá ann, leanann an próiseálaí ag feidhmiú tar éis an earráid a cheartú i bpíblíne an phróiseálaí. Mar sin féin, ní léirítear an ceartú sna cuimhní foinse.
— Mura féidir an earráid a cheartú, leanann an próiseálaí ag oibriú gan í a cheartú i bpíblíne an phróiseálaí agus sna cuimhní foinse, rud a d’fhéadfadh a bheith ina chúis leis an bpróiseálaí dul i riocht neamhchinntitheach.
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 15
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí agus Dearthóir Ardáin Quartus Prime
726952 | 2025.07.16
2.1.1.3. Próiseálaí Ilchuspóireach Nios V/g Altera FPGA IP a Thosú
Fíor 6. Próiseálaí Ilchuspóireach Nios V/g Altera FPGA IP – Cuid 1
Fíor 7 .
Próiseálaí Ilchuspóireach Nios V/g Altera FPGA IP – Cuid 2 (Múch Cumasaigh Rialaitheoir Idirbhriseadh Leibhéal Croí)
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 16
Seol Aiseolas
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí agus Dearthóir Ardáin Quartus Prime
726952 | 2025.07.16
Fíor 8 .
Próiseálaí Ilchuspóireach Nios V/g Altera FPGA IP – Cuid 2 (Cas ar Rialaitheoir Idirbhriseadh Leibhéal Croí)
Fíor 9. Próiseálaí Ilchuspóireach Nios V/g Altera FPGA IP – Cuid 3
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 17
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí agus Dearthóir Ardáin Quartus Prime
726952 | 2025.07.16
Fíor 10. Próiseálaí Ilchuspóireach Nios V/g Altera FPGA IP – Cuid 4
2.1.1.3.1. Ailtireacht LAP
Tábla 11. Paraiméadair Ailtireachta LAP
Tab Ailtireacht LAP Cumasaigh Aonad Snámhphointe
Cur síos Cumasaigh an rogha seo chun an t-aonad snámhphointe (“síneadh”) a chur i gcroílár an phróiseálaí.
Cumasaigh Réamhaisnéis Brainse
Cumasaigh tuar brainse statach (Glactar Ar Ais agus Gan Glacadh Ar Aghaidh) le haghaidh treoracha brainse.
Luach CSR mhartid
· Is é 0 luach réamhshocraithe chlár aitheantais Hart (mhartid). · Sannadh luach idir 0 agus 4094. · Ag luí le Altera FPGA Avalon Mutex Core HAL API.
Díchumasaigh treoracha FSQRT & FDIV le haghaidh FPU
· Bain oibríochtaí fréimhe cearnógach snámhphointe (FSQRT) agus roinnte snámhphointe (FDIV) in FPU.
· Cuir aithris bogearraí i bhfeidhm ar an dá threoir le linn am rite.
Faisnéis Ghaolmhar Treoir Úsáideora um Fhoirmeacha Leabaithe IP – Intel FPGA Avalon® Mutex Core
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 18
Seol Aiseolas
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí agus Dearthóir Ardáin Quartus Prime
726952 | 2025.07.16
2.1.1.3.2. An cluaisín Dífhabhtaithe
Tábla 12. Paraiméadair an Chluaisín Dífhabhtaithe
Táb Dífhabhtaithe
Cur síos
Cumasaigh Dífhabhtú
Cumasaigh Athshocrú ón Modúl Dífhabhtaithe
· Cumasaigh an rogha seo chun an J a chur leisTAG modúl nasc sprice leis an bpróiseálaí Nios V. · An JTAG Ceadaíonn an modúl nasc sprice ceangal leis an bpróiseálaí Nios V tríd an
JTAG bioráin chomhéadain an FPGA. · Soláthraíonn an nasc na cumais bhunúsacha seo a leanas:
— Tosaigh agus stop an próiseálaí Nios V — Scrúdaigh agus cuir in eagar cláir agus cuimhne. — Íoslódáil an feidhmchlár Nios V .elf file chuig cuimhne an phróiseálaí ag am rithe trí
niosv-download. — Dífhabhtaigh an feidhmchlár atá ag rith ar phróiseálaí Nios V · Ceangail port dm_agent leis an mbus treoracha agus sonraí próiseálaí. Cinntigh go bhfuil an seoladh bonn idir an dá bhus mar an gcéanna.
· Cumasaigh an rogha seo chun calafoirt dbg_reset_out agus ndm_reset_in a nochtadh. · JTAG spreagann an dífhabhtóir nó an t-ordú niosv-download -r an dbg_reset_out, rud a
ligeann sé don phróiseálaí Nios V forimeallaigh chórais a nascann leis an gcalafort seo a athshocrú. · Ní mór duit an comhéadan dbg_reset_out a nascadh le ndm_reset_in in ionad reset
comhéadan chun athshocrú a spreagadh chuig croílár an phróiseálaí agus an modúl lasc ama. Ní mór duit comhéadan dbg_reset_out a nascadh leis an gcomhéadan athshocraithe chun iompar neamhchinnte a chosc.
2.1.1.3.3. Táb Glaschéime Tábla 13. Táb Glaschéime
Paraiméadair Cumasaigh Tréimhse Ama Réamhshocraithe Lockstep Cumasaigh Comhéadan Athshocraithe Sínte
Cur Síos · Cumasaigh an córas Lockstep dé-chroí. · Luach réamhshocraithe an ama scoir in-ríomhchláraithe ar scor athshocraithe (idir 0 agus 255). · Cumasaigh an Comhéadan Athshocraithe Leathnaithe roghnach le haghaidh Rialú Athshocraithe Leathnaithe. · Nuair a bhíonn sé díchumasaithe, cuireann an fRSmartComp Rialú Athshocraithe Bunúsach i bhfeidhm.
2.1.1.3.4. Úsáid an cluaisín Iarratas Athshocraithe
Tábla 14. Úsáid Paraiméadar an Chluaisín Iarratas Athshocraithe
Úsáid an cluaisín Iarratas Athshocraithe
Cur síos
Cuir Comhéadan Iarratas Athshocraithe leis
· Cumasaigh an rogha seo chun calafoirt athshocraithe áitiúla a nochtadh inar féidir le máistir áitiúil é a úsáid chun an próiseálaí Nios V a athshocrú gan cur isteach ar chomhpháirteanna eile i gcóras próiseálaí Nios V.
· Tá comhartha athshocraithe ionchuir agus comhartha dearbhaithe aschuir sa chomhéadan athshocraithe.
· Is féidir leat athshocrú a iarraidh ar chroílár próiseálaí Nios V tríd an gcomhartha resestreq a dhearbhú.
· Caithfidh an comhartha resestreq fanacht dearbhaithe go dtí go ndearbhaíonn an próiseálaí comhartha dearbhaithe. Má theipeann ar an gcomhartha fanacht dearbhaithe, d’fhéadfadh sé go mbeadh an próiseálaí i riocht neamh-chinntitheach.
· Níl aon tionchar ag dearbhú an chomhartha resestreq i mód dífhabhtaithe ar staid an phróiseálaí.
· Freagraíonn próiseálaí Nios V go bhfuil an t-athshocrú rathúil tríd an gcomhartha admhála a dhearbhú.
· Tar éis an próiseálaí a athshocrú go rathúil, is féidir dearbhú an chomhartha admhála a tharlú arís agus arís eile go tréimhsiúil go dtí go ndídhearbhaítear an comhartha athshocraithe.
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 19
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí agus Dearthóir Ardáin Quartus Prime
726952 | 2025.07.16
2.1.1.3.5. Táb Gaistí, Eisceachtaí, agus Idirbhriseadh
Tábla 15 .
An cluaisín Gaistí, Eisceachtaí, agus Idirbhriseadh nuair a bhíonn Cumasaigh Rialaitheoir Idirbhriseadh Leibhéal Croí múchta
Tab Gaistí, Eisceachtaí, agus Idirbhriseadh
Athshocraigh an Gníomhaire
Cur síos
· An chuimhne ina bhfuil an veicteoir athshocraithe (seoladh athshocraithe an phróiseálaí Nios V) ina bhfuil an cód athshocraithe.
· Is féidir leat aon mhodúl cuimhne atá ceangailte le máistir treoracha an phróiseálaí Nios V agus a bhfuil sreabhadh tosaithe próiseálaí Nios V ag tacú leis a roghnú mar ghníomhaire athshocraithe.
Athshocraigh Fritháireamh
· Sonraíonn sé seo an fhritháireamh den veicteoir athshocraithe i gcoibhneas le seoladh bonn an ghníomhaire athshocraithe roghnaithe. · Soláthraíonn Dearthóir Ardáin luach réamhshocraithe go huathoibríoch don fhritháireamh athshocraithe.
Cumasaigh Rialaitheoir Idirbhriseadh Leibhéal Croí (CLIC)
· Cumasaigh CLIC chun tacú le cur isteach réamhghníomhach agus coinníoll inchumraithe spreagtha cur isteach.
· Nuair a bhíonn sé cumasaithe, is féidir leat líon na mbriseadh ardáin a chumrú, coinníollacha spreagtha a shocrú, agus cuid de na briseadh a ainmniú mar réamhghníomhacha.
Clár Scáth Mód Idirbhriseadh Files
Sonraigh na cineálacha cur isteach mar Dhíreach, nó Veicteoirithe Cumasaigh clár scátha chun athrú comhthéacs a laghdú nuair a chuirtear isteach.
Tábla 16 .
Gaistí, Eisceachtaí agus Idirbhriseadh nuair a bhíonn Cumasaigh Rialaitheoir Idirbhriseadh Leibhéal Croí casta air
Gaistí, Eisceachtaí, agus Idirbhriseadh
Cur síos
Athshocraigh an Gníomhaire
Athshocraigh Fritháireamh
Cumasaigh Rialaitheoir Idirbhriseadh Leibhéal Croí (CLIC)
· An chuimhne ina bhfuil an veicteoir athshocraithe (seoladh athshocraithe an phróiseálaí Nios V) ina bhfuil an cód athshocraithe.
· Is féidir leat aon mhodúl cuimhne atá ceangailte le máistir treoracha an phróiseálaí Nios V agus a bhfuil sreabhadh tosaithe próiseálaí Nios V ag tacú leis a roghnú mar ghníomhaire athshocraithe.
· Sonraíonn sé seo an fhritháireamh den veicteoir athshocraithe i gcoibhneas le seoladh bonn an ghníomhaire athshocraithe roghnaithe. · Soláthraíonn Dearthóir Ardáin luach réamhshocraithe go huathoibríoch don fhritháireamh athshocraithe.
· Cumasaigh CLIC chun tacú le cur isteach réamhghníomhach agus coinníoll inchumraithe spreagtha cur isteach. · Nuair a bhíonn sé cumasaithe, is féidir leat líon na gcur isteach ardáin a chumrú, coinníollacha spreagtha a shocrú,
agus cuid de na cur isteach a ainmniú mar réamhghníomhacha.
Mód Idirbhriseadh
· Sonraigh na cineálacha idirbhriseadh mar Dhíreach, Veicteoirithe, nó CLIC.
Clár Scáth Files
· Cumasaigh clár scáth chun athrú comhthéacs a laghdú nuair a chuirtear isteach.
· Cuireann sé dhá chur chuige ar fáil:
— Líon na leibhéil idirbhriseadh CLIC
— Líon na leibhéal idirbhriseadh CLIC – 1: Tá an rogha seo úsáideach nuair is mian leat líon na gclár file cóipeanna chun go n-oirfidh siad i líon beacht bloic M20K nó M9K.
· Cumasaigh don phróiseálaí Nios V clár scáth a úsáid files a laghdaíonn forchostais athrú comhthéacs nuair a chuirtear isteach.
Le haghaidh tuilleadh eolais faoi chlár scáth files, féach ar Lámhleabhar Tagartha Próiseálaí Nios V.
Líon na bhfoinsí cur isteach ar an Ardán
· Sonraíonn sé líon na mbriseadh ardáin idir 16 agus 2048.
Nóta: Tacaíonn CLIC le suas le 2064 ionchur cur isteach, agus tá na chéad 16 ionchur cur isteach ceangailte leis an rialtóir cur isteach bunúsach freisin.
Ailíniú Tábla Veicteoir CLIC
· Cinntear go huathoibríoch é bunaithe ar líon na bhfoinsí cur isteach ar an ardán. · Má úsáideann tú ailíniú atá faoi bhun an luacha molta, méadaíonn an CLIC an loighic
castacht trí shuimitheoir breise a chur leis chun ríomhanna veicteoireachta a dhéanamh. · Má úsáideann tú ailíniú atá faoi bhun an luacha molta, méadaítear an
castacht loighce sa CLIC.
ar lean…
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 20
Seol Aiseolas
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí agus Dearthóir Ardáin Quartus Prime
726952 | 2025.07.16
Gaistí, Eisceachtaí, agus Idirbhriseadh
Líon na Leibhéil Idirbhriseadh
Líon na dTosaíochtaí Idirbhriseadh in aghaidh an leibhéil
Polaíocht idirbhriseadh inchumraithe Tacaíocht idirbhriseadh spreagtha imeall
Cur síos
· Sonraíonn sé líon na leibhéal cur isteach le leibhéal breise 0 le haghaidh cód feidhmchláir. Is féidir le cur isteach de leibhéal níos airde cur isteach (réamh-mheas) ar láimhseálaí atá ag rith le haghaidh cur isteach ar leibhéal níos ísle.
· Le leibhéil idirbhrise neamh-nialasacha mar na roghanna amháin le haghaidh idirbhriseadh, bíonn an cód feidhmchláir i gcónaí ag an leibhéal is ísle 0. Tabhair faoi deara: Déantar cumraíocht leibhéal agus tosaíocht idirbhrise ag am reatha i gclár 8-giotán aonair. Más é 256 líon na leibhéal idirbhrise, ní féidir an tosaíocht idirbhrise a chumrú ag am reatha. Seachas sin, is é 256 / (líon na leibhéal idirbhrise – 1) an líon uasta tosaíochtaí inchumraithe.
· Sonraíonn sé líon na dtosaíochtaí cur isteach, a úsáideann an CLIC chun an t-ord ina nglaotar ar láimhseálaithe cur isteach neamh-réamhghabhálacha a chinneadh. Nóta: Ní mór nascadh luachanna dénártha an leibhéil cur isteach roghnaithe agus an tosaíocht cur isteach roghnaithe a bheith níos lú ná 8 ngiotán.
· Ligeann sé duit polaraíocht idirbhriste a chumrú le linn am rithe. · Is polaraíocht dhearfach an polaraíocht réamhshocraithe.
· Ligeann sé duit coinníoll spreagtha idirbhrise a chumrú le linn am rite, i.e. spreagtha ardleibhéil nó spreagtha imeall dearfach (nuair a bhíonn polaraíocht idirbhrise dearfach i bpolaraíocht idirbhrise Inchumraithe).
· Is é an coinníoll réamhshocraithe spreagtha ná cur isteach spreagtha leibhéal.
Nóta:
Soláthraíonn Dearthóir Ardáin rogha Absalóideach, rud a ligeann duit seoladh absalóideach a shonrú in Athshocraigh Fritháireamh. Bain úsáid as an rogha seo nuair a bhíonn an chuimhne ina stóráiltear an veicteoir athshocraithe suite lasmuigh den chóras próiseálaí agus na bhfochórais.
Faisnéis Ghaolmhar Lámhleabhar Tagartha Próiseálaí Nios® V
2.1.1.3.6. Táb Cumraíochtaí Cuimhne
Tábla 17. Paraiméadair an Táb Cumraíochta Cuimhne
Catagóir
Táb Cumraíochta Cuimhne
Cur síos
Taisceáin
Méid an Taisce Sonraí
· Sonraíonn sé méid an taisce sonraí. · Is iad na méideanna bailí ná ó 0 cileabheart (KB) go 16 KB. · Múch an taisce sonraí nuair a bhíonn an méid 0 KB.
Méid an Taisce Treoracha
· Sonraíonn sé méid an taisce treoracha. · Is iad na méideanna bailí ná ó 0 KB go 16 KB. · Múch an taisce treoracha nuair a bhíonn an méid 0 KB.
Réigiún Forimeallach A agus B
Méid
· Sonraíonn sé méid an réigiúin imeallaigh.
· Is iad na méideanna bailí ná ó 64 KB go 2 ghigibheart (GB), nó Gan Aon cheann. Má roghnaíonn tú Gan Aon cheann, díchumasaítear an réigiún imeallach.
Seoladh Bonn
· Sonraíonn sé seo seoladh bonn an réigiúin imeallaigh tar éis duit an méid a roghnú.
· Ní féidir rochtain sonraí a thaisceadh ó gach seoladh sa réigiún imeallach.
· Ní mór seoladh bonn an réigiúin imeallaigh a ailíniú le méid an réigiúin imeallaigh.
Cuimhní Dlúth-Nasctha
Méid
· Sonraíonn sé méid na cuimhne atá ceangailte go docht. — Is iad na méideanna bailí ná ó 0 MB go 512 MB.
Túsú Seoladh Bonn File
· Sonraíonn sé seoladh bonn na cuimhne atá cúpláilte go docht. · Sonraíonn sé an túsú file le haghaidh cuimhne atá ceangailte go dlúth.
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 21
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí agus Dearthóir Ardáin Quartus Prime
726952 | 2025.07.16
Nóta:
I gcóras próiseálaí Nios V le taisce cumasaithe, ní mór duit forimeallaigh an chórais a chur laistigh de réigiún forimeallach. Is féidir leat réigiúin fhorimeallacha a úsáid chun idirbheart neamh-in-taisce a shainiú le haghaidh forimeallaigh amhail UART, PIO, DMA, agus eile.
2.1.1.3.7. Táb ECC
Tábla 18. Táb ECC
Cumasaigh Brath Earráide agus Tuairisciú Stádais ECC
Cumasaigh Ceartú Giotán Aonair
Cur síos
· Cumasaigh an rogha seo chun gné ECC a chur i bhfeidhm ar bhloic RAM inmheánacha próiseálaí Nios V. · Braitheann gnéithe ECC earráidí suas le 2 ghiotán agus imoibríonn siad bunaithe ar an iompar seo a leanas:
— Más earráid giotán aonair incheaptha í agus má tá Cumasaigh Ceartú Giotáin Aonair múchta, leanann an próiseálaí ag feidhmiú tar éis an earráid i bpíblíne an phróiseálaí a cheartú. Ní léirítear an ceartú sna cuimhní foinse, áfach.
— Más earráid giotán aonair incheaptha í agus má tá Cumasaigh Ceartú Giotáin Aonair casta air, leanann an próiseálaí ag oibriú tar éis an earráid i bpíblíne an phróiseálaí agus sna cuimhní foinse a cheartú.
— Mura féidir a cheartú an earráid, stopann an próiseálaí a oibriú.
Cumasaigh ceartú giotán aonair ar bhloic chuimhne leabaithe sa chroílár.
2.1.1.3.8. Táb Treoracha Saincheaptha
Nóta:
Níl an cluaisín seo ar fáil ach don chroílár próiseálaí Nios V/g.
Treoir Saincheaptha Nios V Tábla Comhéadain Crua-earraí Treoracha Saincheaptha
Tábla Macra Bogearraí Treoracha Saincheaptha Nios V
Cur síos
Úsáideann próiseálaí Nios V an tábla seo chun a chomhéadain bhainisteora treoracha saincheaptha a shainiú.
· Tá comhéadain bhainisteora treoracha saincheaptha sainithe ionchódaithe go huathúil ag Opcode (CUSTOM0-3) agus 3 ghiotán de funct7[6:4].
· Is féidir leat suas le 32 comhéadan bainisteora treoracha saincheaptha aonair a shainiú.
Úsáideann próiseálaí Nios V an tábla seo chun ionchóduithe bogearraí treoracha saincheaptha a shainiú le haghaidh comhéadain bhainisteora treoracha saincheaptha sainithe.
· I gcás gach ionchódú bogearraí treoracha saincheaptha atá sainithe, ní mór don Opcode (CUSTOM0-3) agus 3 ghiotán d'ionchódú funct7[6:4] a bheith comhghaolmhar le hionchódú comhéadain bainisteoir treoracha saincheaptha atá sainithe sa Tábla Comhéadain Crua-earraí Treoracha Saincheaptha.
· Is féidir leat funct7[6:4], funct7[3:0], agus funct3[2:0] a úsáid chun ionchódú breise a shainiú le haghaidh treorach saincheaptha ar leith, nó a shonrú mar Xanna le cur isteach mar argóintí treoracha breise.
· Soláthraíonn próiseálaí Nios V ionchóduithe bogearraí treoracha saincheaptha sainithe mar mhacraí-C a ghintear i system.h, agus leanann siad formáid treoracha RISC-V de chineál R.
· Is féidir úsáid a bhaint as mnemonics chun ainmneacha saincheaptha a shainiú do: — Na C-Macros a ghintear i system.h.
— Na mnemonics dífhabhtaithe GDB a gineadh i custom_instruction_debug.xml.
Eolas Gaolmhar
AN 977: Treoir Saincheaptha Próiseálaí Nios V Le haghaidh tuilleadh eolais faoi threoracha saincheaptha a ligeann duit próiseálaí Nios® V a shaincheapadh chun freastal ar riachtanais feidhmchláir ar leith.
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 22
Seol Aiseolas
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí Quartus Prime agus Dearthóir Ardáin 726952 | 2025.07.16
2.1.2. Dearadh Comhpháirteanna Córais a Shainmhíniú
Bain úsáid as an Dearthóir Ardáin chun tréithe crua-earraí chóras próiseálaí Nios V a shainiú agus na comhpháirteanna atá uait a chur leis. Léiríonn an léaráid seo a leanas dearadh bunúsach córais próiseálaí Nios V leis na comhpháirteanna seo a leanas: · Croílár próiseálaí Nios V · Cuimhne Ar an Sliseanna · JTAG UART · Amaire Eatramhach (roghnach)(1)
Nuair a chuirtear Cuimhne Ar-Sliseanna nua le córas Dearthóra Ardáin, déan Sioncrónú ar Fhaisnéisí Córais chun na comhpháirteanna cuimhne breise a léiriú san athshocrú. Nó is féidir leat Sioncrónú Uathoibríoch a chumasú i nDearthóir Ardáin chun na hathruithe is déanaí ar chomhpháirteanna a léiriú go huathoibríoch.
Fíor 11. Exampnasc próiseálaí Nios V le forimeallaigh eile i nDearthóir Ardáin
(1) Tá an rogha agat gnéithe an Amaireora Inmheánaigh Nios V a úsáid chun an tAmaireoir Eatraimh sheachtraigh a athsholáthar i nDearthóir Ardáin.
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 23
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí agus Dearthóir Ardáin Quartus Prime
726952 | 2025.07.16
Ní mór duit bioráin oibríochta a shainiú freisin le honnmhairiú mar dhuchtán i do chóras Dearthóra Ardáin. Mar shampla.ample, sainmhínítear liosta bioráin oibríochta córais FPGA cuí mar seo a leanas ach níl sé teoranta dó:
· Clog
· Athshocraigh
· Comharthaí ionchuir/aschuir
2.1.3. Seoltaí Bunúsacha agus Tosaíochtaí Iarratais Idirbhriseadh a Shonrú
Chun a shonrú conas a idirghníomhaíonn na comhpháirteanna a cuireadh leis an dearadh chun córas a chruthú, ní mór duit seoltaí bonn a shannadh do gach comhpháirt ghníomhaire agus tosaíochtaí iarratais ar bhriseadh (IRQ) a shannadh don J.TAG UART agus an lasc ama eatraimh. Soláthraíonn an Dearthóir Ardáin ordú – Sannadh Seoltaí Bonn – a shannann seoltaí bonn cuí go huathoibríoch do gach comhpháirt i gcóras. Mar sin féin, is féidir leat na seoltaí bonn a choigeartú bunaithe ar do chuid riachtanas.
Seo a leanas roinnt treoirlínte maidir le seoltaí bonn a shannadh:
· Tá réimse seoltaí 32-giotán ag croílár próiseálaí Nios V. Chun rochtain a fháil ar chomhpháirteanna an ghníomhaire, ní mór a seoladh bonn a bheith idir 0x00000000 agus 0xFFFFFFFF.
Úsáideann cláir Nios V tairiseacha siombalacha chun tagairt a dhéanamh do sheoltaí. Ní gá duit luachanna seoltaí a roghnú atá éasca le cuimhneamh.
· Luachanna seoltaí a dhéanann idirdhealú idir comhpháirteanna le difríocht seoltaí giotán amháin, cruthaíonn siad crua-earraí níos éifeachtaí. Ní gá duit na seoltaí bonn go léir a chomhdhlúthú isteach sa raon seoltaí is lú is féidir mar is féidir le dlúthú crua-earraí nach bhfuil chomh héifeachtach sin a chruthú.
· Ní dhéanann Dearthóir Ardáin iarracht comhpháirteanna cuimhne ar leithligh a ailíniú i raon cuimhne comhleanúnach. Mar shamplaample, más mian leat go mbeadh ilchomhpháirteanna Cuimhne Ar-Sliseanna inrochtana mar raon cuimhne comhleanúnach amháin, ní mór duit seoltaí bonn a shannadh go sainráite.
Cuireann Dearthóir an Ardáin ordú uathoibrithe ar fáil freisin – Uimhreacha Idirbhriste Sannadh a nascann comharthaí IRQ chun torthaí crua-earraí bailí a tháirgeadh. Mar sin féin, éilíonn sannadh éifeachtach IRQanna tuiscint ar iompar freagartha foriomlán an chórais. Ní féidir le Dearthóir an Ardáin buille faoi thuairim eolasach a thabhairt faoin sannadh IRQ is fearr.
Tá an tosaíocht is airde ag an luach IRQ is ísle. I gcóras idéalach, molann Altera go mbeadh an IRQ is airde tosaíochta ag an gcomhpháirt lasc ama, i.e., an luach is ísle, chun cruinneas tic clog an chórais a choinneáil.
I gcásanna áirithe, d’fhéadfá tosaíocht níos airde a shannadh do fhorimeallaigh fíor-ama (amhail rialtóirí físe), rud a éilíonn ráta cur isteach níos airde ná comhpháirteanna lasc ama.
Eolas Gaolmhar
Treoir Úsáideora Quartus Prime Pro Edition: Tuilleadh eolais faoi chóras a chruthú le Dearthóir Ardáin.
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 24
Seol Aiseolas
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí Quartus Prime agus Dearthóir Ardáin 726952 | 2025.07.16
2.2. Córas Dearthóra Ardáin a Chomhtháthú i dTionscadal Quartus Prime
Tar éis dearadh córais Nios V a ghiniúint in Platform Designer, déan na tascanna seo a leanas chun modúl córais Nios V a chomhtháthú i dtionscadal deartha FPGA Quartus Prime. · Cruthaigh an modúl córais Nios V sa tionscadal Quartus Prime · Ceangail comharthaí ó mhodúl córais Nios V le comharthaí eile sa loighic FPGA · Sannadh suíomh na mbiorán fisiceach · Srian a chur leis an dearadh FPGA
2.2.1. Modúl Córais Próiseálaí Nios V a Thosú i dTionscadal Quartus Prime
Gineann Dearthóir Ardáin eintiteas deartha modúl córais ar féidir leat a chruthú i Quartus Prime. Braitheann an chaoi a ndéanann tú an modúl córais a chruthú ar an modh iontrála deartha don tionscadal Quartus Prime foriomlán. Mar shamplaampMar shampla, má bhí tú ag úsáid Verilog HDL le haghaidh iontrála dearaidh, cruthaigh an modúl córais atá bunaithe ar Verilog. Más fearr leat an modh léaráid bhloc a úsáid le haghaidh iontrála dearaidh, cruthaigh siombail modúl córais .bdf. file.
2.2.2. Comharthaí a Cheangal agus Suíomhanna Bioráin Fhisiciúla a Shannadh
Chun do dhearadh Altera FPGA a nascadh le do dhearadh ar leibhéal an bhoird, déan na tascanna seo a leanas: · Sainaithin an barrleibhéil file chun go mbeidh do dhearadh agus comharthaí ceangailte le Altera seachtrach
Bioráin gléas FPGA. · Tuig cé na bioráin atá le ceangal tríd an treoir úsáideora dearaidh ar leibhéal an bhoird nó
sceitseacha. · Sannadh comharthaí sa dearadh barrleibhéil do chalafoirt ar do ghléas Altera FPGA le bioráin
uirlisí sannadh.
Is féidir le do chóras Dearthóra Ardáin a bheith mar an dearadh barrleibhéil. Mar sin féin, is féidir leis an Altera FPGA loighic bhreise a áireamh bunaithe ar do riachtanais agus ar an gcaoi sin tugann sé isteach dearadh barrleibhéil saincheaptha. fileAn leibhéal is airde file nascann comharthaí mhodúl chórais próiseálaí Nios V le loighic dearaidh FPGA Altera eile.
Faisnéis Ghaolmhar Treoir Úsáideora Quartus Prime Pro Edition: Srianta Dearaidh
2.2.3. Srianadh a chur ar Dhearadh FPGA Altera
Áirítear le dearadh cuí córais Altera FPGA srianta dearaidh chun a chinntiú go gcomhlíonann an dearadh ceanglais dhúnadh ama agus srianta loighce eile. Ní mór duit do dhearadh Altera FPGA a shrianadh chun na ceanglais seo a chomhlíonadh go sainráite ag baint úsáide as uirlisí a chuirtear ar fáil i mbogearraí Quartus Prime nó i soláthraithe EDA tríú páirtí. Úsáideann bogearraí Quartus Prime na srianta a chuirtear ar fáil le linn chéim an tiomsaithe chun na torthaí socrúcháin is fearr a fháil.
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 25
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí agus Dearthóir Ardáin Quartus Prime
726952 | 2025.07.16
Faisnéis Ghaolmhar · Treoir Úsáideora Quartus Prime Pro Edition: Srianta Dearaidh · Comhpháirtithe EDA Tríú Páirtí · Treoir Úsáideora Quartus Prime Pro Edition: Anailíseoir Ama
2.3. Córas Cuimhne Próiseálaí Nios V a Dhearadh
Déantar cur síos sa chuid seo ar na cleachtais is fearr maidir le gléasanna cuimhne a roghnú i gcóras leabaithe Dearthóir Ardáin le próiseálaí Nios V agus feidhmíocht is fearr a bhaint amach. Tá ról ríthábhachtach ag gléasanna cuimhne i bhfeabhsú feidhmíocht fhoriomlán córais leabaithe. Stórálann cuimhne chórais leabaithe treoracha agus sonraí an chláir.
2.3.1. Cuimhne So-ghalaithe
Is é príomhdhifríocht i gcineál cuimhne ná luaineacht. Ní choinníonn cuimhne luaineach a hábhar ach amháin fad a sholáthraíonn tú cumhacht don fheiste chuimhne. A luaithe a bhaintear an chumhacht, cailleann an chuimhne a hábhar.
ExampIs iad RAM, taisce, agus cláir na cineálacha cuimhne luaineacha. Is cineálacha cuimhne gasta iad seo a mhéadaíonn feidhmíocht reatha. Molann Altera duit treoracha próiseálaí Nios V a luchtú agus a fhorghníomhú i RAM agus croí IP Nios V a phéireáil le IP Cuimhne Ar-Sliseanna nó IP Chomhéadain Cuimhne Sheachtrach chun feidhmíocht is fearr a fháil.
Chun feidhmíocht a fheabhsú, is féidir leat comhpháirteanna oiriúnaithe breise de chuid an Dearthóra Ardáin a dhíchur trí chineál nó leithead chomhéadain bainisteoir sonraí próiseálaí Nios V a mheaitseáil le RAM tosaithe. Mar shampla.ample, is féidir leat Cuimhne Ar-Sliseanna II a chumrú le comhéadan AXI-32 4-giotán, a mheaitseálann comhéadan bainisteoir sonraí Nios V.
Faisnéis Ghaolmhar · Ionad Tacaíochta IP do Chomhéadain Cuimhne Sheachtracha · Cuimhne Ar an Sliseán (RAM nó ROM) IP FPGA Altera · Cuimhne Ar an Sliseán II (RAM nó ROM) IP FPGA Altera · Feidhmchlár Próiseálaí Nios V Execute-In-Place ó OCRAM ar leathanach 54
2.3.1.1. Cumraíocht Cuimhne Ar an Sliseán RAM nó ROM
Is féidir leat IPanna Cuimhne Ar-Sliseanna Altera FPGA a chumrú mar RAM nó ROM. · Soláthraíonn RAM cumas léite agus scríbhneoireachta agus tá nádúr luaineach aige. Más ea,
Agus próiseálaí Nios V á thosú ó RAM Ar-Sliseanna, ní mór duit a chinntiú go gcoimeádtar ábhar an tosaithe agus nach ndéantar é a thruailliú i gcás athshocraithe le linn am rite. · Má tá próiseálaí Nios V ag tosú ó ROM, ní féidir le haon fhabht bogearraí ar an bpróiseálaí Nios V ábhar na Cuimhne Ar-Sliseanna a róscríobh go hearráideach. Dá bhrí sin, laghdaítear an riosca go dtarlóidh truailliú bogearraí tosaithe.
Faisnéis Ghaolmhar · Cuimhne Ar an Sliseán (RAM nó ROM) IP FPGA Altera · Cuimhne Ar an Sliseán II (RAM nó ROM) IP FPGA Altera · Feidhmchlár Próiseálaí Nios V Execute-In-Place ó OCRAM ar leathanach 54
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 26
Seol Aiseolas
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí Quartus Prime agus Dearthóir Ardáin 726952 | 2025.07.16
2.3.1.2. Taisceáin
Úsáidtear cuimhní ar an tslis go coitianta chun feidhmiúlacht an taisce a chur i bhfeidhm mar gheall ar a n-íosmhoill. Úsáideann próiseálaí Nios V cuimhne ar an tslis dá thaisceáin treoracha agus sonraí. De ghnáth ní fadhb í an cumas teoranta atá ag cuimhne ar an tslis do thaisceáin toisc go mbíonn siad beag de ghnáth.
Úsáidtear taisceáin go coitianta faoi na coinníollacha seo a leanas:
· Bíonn gnáthchuimhne suite lasmuigh den tslis agus bíonn am rochtana níos faide aici ná mar atá ar chuimhne ar an tslis.
· Is féidir na codanna den chód bogearraí atá ríthábhachtach ó thaobh feidhmíochta de a fheistiú sa taisce treoracha, rud a fheabhsaíonn feidhmíocht an chórais.
· Is féidir an chuid de na sonraí atá ríthábhachtach ó thaobh feidhmíochta de, agus is minice a úsáidtear, a fheistiú sa taisce sonraí, rud a fheabhsaíonn feidhmíocht an chórais.
Cruthaíonn cumasú taisce i bpróiseálaí Nios V ordlathas cuimhne, rud a íoslaghdaíonn an t-am rochtana cuimhne.
2.3.1.2.1. Réigiún imeallach
Ní mór aon IP imeallach leabaithe, amhail UART, I2C, agus SPI, a chur i dtaisce. Moltar taisce go mór do chuimhní seachtracha a mbíonn tionchar ag am rochtana fada orthu, agus féadfar cuimhní inmheánacha ar an sliseanna a eisiamh mar gheall ar a n-am rochtana gearr. Ní mór duit aon IP imeallach leabaithe, amhail UART, I2C, agus SPI, a chur i dtaisce, seachas cuimhní. Tá sé seo tábhachtach toisc nach ngabhann taisce an phróiseálaí imeachtaí ó fheistí seachtracha, amhail feistí gníomhaire a nuashonraíonn na IPanna boga, agus ní fhaigheann an próiseálaí iad dá bharr. Mar thoradh air sin, is féidir na himeachtaí seo a fhágáil gan aird go dtí go ndéanann tú an taisce a shruthlú, rud a d'fhéadfadh iompar neamhbheartaithe a bheith mar thoradh air i do chóras. Mar achoimre, ní féidir réigiún mapáilte na gcuimhne de IPanna imeallacha leabaithe a thaisceadh agus ní mór dó a bheith laistigh de réigiúin imeallacha an phróiseálaí.
Chun réigiún imeallach a shocrú, lean na céimeanna seo:
1. Oscail Léarscáil Seoltaí an chórais sa Dearthóir Ardáin.
2. Téigh chuig léarscáil seoltaí Bainisteoir Treoracha agus Bainisteoir Sonraí an phróiseálaí.
3. Sainaithin na forimeallaigh agus na cuimhní i do chóras.
Fíor 12. Exampan Léarscáil Seoltaí
Nóta: Tá na saigheada gorma ag pointeáil chuig cuimhní cinn. 4. Grúpáil na forimeallaigh:
a. Cuimhne mar rud is féidir a chur i dtaisce b. Forimeallaigh mar rud nach féidir a chur i dtaisce
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 27
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí agus Dearthóir Ardáin Quartus Prime
726952 | 2025.07.16
Tábla 19. Réigiún In-Taisce agus Neamh-In-Taisce
Fo-cheann
Léarscáil Seoladh
Stádas
Réigiún Forimeallach
Méid
Seoladh Bonn
feidhmchlár_úsáideoir_cuimhne.s1
0x0 ~ 0x3ffff
Cacheable
N/B
N/B
cpu.dm_agent bootcopier_rom.s1
0x40000 ~ 0x4ffff 0x50000 ~ 0x517ff
Gan taisceadh Intaisceadh
65536 beart N/A
0x40000 N/B
bootcopier_ram.s1 cpu.timer_sw_agent boxbox.avmm
0x52000 ~ 0x537ff 0x54000 ~ 0x5403f 0x54040 ~ 0x5407f
In-thaisceadh Gan taisceadh Gan taisceadh
144 beart (is é 65536 beart an méid íosta)
0x54000
sysid_qsys_0.control_slave
0x54080 ~ 0x54087
Gan taisceadh
uart.avalon_jtag_ daor
0x54088 ~ 0x5408f
Gan taisceadh
5. Ailínigh na réigiúin imeallacha lena méideanna sonracha:
· Le haghaidh seanampMar shampla, más é 65536 beart an méid, comhfhreagraíonn sé do 0x10000 beart. Dá bhrí sin, ní mór don seoladh bonn ceadaithe a bheith ina iolraí de 0x10000.
· Úsáideann an CPU.dm_agent seoladh bonn de 0x40000, arb ionann é agus iolraí de 0x10000. Mar thoradh air sin, comhlíonann Réigiún Forimeallach A, a bhfuil méid 65536 beart agus seoladh bonn de 0x40000 aige, na ceanglais.
· Ní iolraí de 0x54000 é seoladh bonn an bhailiúcháin réigiún nach féidir a thaisceadh ag 0x10000. Ní mór duit iad a ath-shannadh chuig 0x60000 nó iolraí eile de 0x10000. Dá bhrí sin, comhlíonann Réigiún Forimeallach B, a bhfuil méid 65536 beart agus seoladh bonn 0x60000 aige, na critéir.
Tábla 20. Réigiún In-Taisce agus Neamh-In-Taisce le hAth-Shannadh
Fo-cheann
Léarscáil Seoladh
Stádas
Réigiún Forimeallach
Méid
Seoladh Bonn
feidhmchlár_úsáideoir_cuimhne.s1
0x0 ~ 0x3ffff
Cacheable
N/B
N/B
cpu.dm_agent
0x40000 ~ 0x4ffff
65536 beart gan taisceadh
0x40000
bootcopier_rom.s1
0x50000 ~ 0x517ff
Cacheable
N/B
N/B
bootcopier_ram.s1 cpu.timer_sw_agent boxbox.avmm sysid_qsys_0.control_slave
0x52000 ~ 0x537ff 0x60000 ~ 0x6003f 0x60040 ~ 0x6007f 0x60080 ~ 0x60087
In-thaisceadh Gan taisceadh Gan taisceadh
144 beart (is é 65536 beart an méid íosta)
0x60000
uart.avalon_jtag_ daor
0x60088 ~ 0x6008f
Gan taisceadh
2.3.1.3. Cuimhne Dlúth-Chúpláilte
Cuirtear cuimhní dlúthchúpláilte (TCManna) i bhfeidhm ag baint úsáide as cuimhne ar an sliseanna toisc go bhfuil siad oiriúnach don tasc mar gheall ar a n-oibleagáid íseal. Is cuimhní iad TCManna atá mapáilte sa spás seoltaí tipiciúil ach tá comhéadan tiomnaithe acu leis an micreaphróiseálaí agus tá airíonna ardfheidhmíochta, íseal-oibleagáide cuimhne taisce acu. Soláthraíonn TCM comhéadan fo-ordaithe don óstach seachtrach freisin. Tá an leibhéal ceadanna céanna ag an bpróiseálaí agus ag an óstach seachtrach chun an TCM a láimhseáil.
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 28
Seol Aiseolas
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí agus Dearthóir Ardáin Quartus Prime
726952 | 2025.07.16
Nóta:
Nuair a bhíonn an port fo-ordaithe TCM ceangailte le hóstach seachtrach, féadfar é a thaispeáint le seoladh bonn difriúil ón seoladh bonn atá sannta i gcroílár an phróiseálaí. Molann Altera an dá sheoladh a ailíniú leis an luach céanna.
2.3.1.4. Comhéadan Cuimhne Seachtrach (EMIF)
Feidhmíonn EMIF (Comhéadan Cuimhne Seachtrach) ar bhealach cosúil le SRAM (Cuimhne Rochtana Randamaí Statach), ach tá sé dinimiciúil agus teastaíonn athnuachan tréimhsiúil uaidh chun a ábhar a choinneáil. Tá na cealla cuimhne dinimiciúla in EMIF i bhfad níos lú ná na cealla cuimhne statach in SRAM, rud a fhágann go bhfuil gléasanna cuimhne níos airde agus níos saoire.
Chomh maith leis an riachtanas athnuachana, tá riachtanais chomhéadain shonracha ag EMIF a éilíonn crua-earraí rialaitheora speisialaithe go minic. Murab ionann agus SRAM, a bhfuil sraith sheasta línte seoltaí aige, eagraíonn EMIF a spás cuimhne i mbancanna, sraitheanna agus colúin. Tugann aistriú idir bainc agus sraitheanna roinnt forchostais isteach, mar sin ní mór duit rochtain chuimhne a ordú go cúramach chun EMIF a úsáid go héifeachtúil. Déanann EMIF ilphléacsú ar sheoltaí sraitheanna agus colún thar na línte seoltaí céanna freisin, rud a laghdaíonn líon na biorán atá riachtanach do mhéid EMIF ar leith.
Cuireann leaganacha níos airde de EMIF, amhail DDR, DDR2, DDR3, DDR4, agus DDR5, ceanglais dhian sláine comhartha i bhfeidhm a chaithfidh dearthóirí PCB a bhreithniú.
Tá gléasanna EMIF i measc na gcineálacha RAM is cost-éifeachtaí agus is ardacmhainne atá ar fáil, rud a fhágann gur rogha coitianta iad. Is cuid lárnach de chomhéadan EMIF an IP EMIF, a bhainistíonn tascanna a bhaineann le hilphléacsú seoltaí, athnuachan, agus aistriú idir sraitheanna agus bainc. Ligeann an dearadh seo don chuid eile den chóras rochtain a fháil ar EMIF gan a bheith orthu a ailtireacht inmheánach a thuiscint.
Faisnéis Ghaolmhar Comhéadain Cuimhne Sheachtracha Ionad Tacaíochta IP
2.3.1.4.1. Seoladh IP Síneadh Réise Seoltaí
Ligeann an Seoltaí Réimse Leathnúcháin IP Altera FPGA do chomhéadain óstacha atá mapáilte le cuimhne rochtain a fháil ar léarscáil seoltaí atá níos mó nó níos lú ná mar a cheadaíonn leithead a gcomharthaí seoltaí. Roinneann an Seoltaí Réimse IP an spás inseolta ina ilfhuinneoga ar leithligh ionas gur féidir leis an óstach rochtain a fháil ar an gcuid chuí den chuimhne tríd an bhfuinneog.
Ní chuireann an Seoltaí Réimse teorainn le leithead an óstaigh agus an ghníomhaire go cumraíocht 32-giotán agus 64-giotán. Is féidir leat an Seoltaí Réimse Réimse a úsáid le fuinneoga seoltaí 1-64 giotán.
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 29
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí agus Dearthóir Ardáin Quartus Prime
726952 | 2025.07.16
Fíor 13. Síneadh Réise Seoltaí Altera FPGA IP
Seoladh Focal an Ghníomhaire
Síneadh Réise Seoltaí
A
Tábla Mapála
Port Rialaithe A
…
Clár Rialaithe 0 Clár Rialaithe Z-1
Seoladh Óstach Leathnaithe H
Eolas Gaolmhar
Treoir Úsáideora Quartus® Prime Pro Edition: Dearthóir Ardáin Féach ar an topaic Síneadh Réimse Seoltaí Intel® FPGA IP le haghaidh tuilleadh eolais.
2.3.1.4.2. Úsáid a bhaint as IP Síneadh Réimse Seoltaí le Próiseálaí Nios V
Is féidir leis an bpróiseálaí Nios V 32-giotán suas le 4 GB de réimse seoltaí a láimhseáil. Má tá níos mó ná 4GB cuimhne san EMIF, sáraíonn sé an réimse seoltaí uasta a dtacaítear leis, rud a fhágann go bhfuil an córas Dearthóra Ardáin earráideach. Tá IP Síneadh Réimse Seoltaí ag teastáil chun an fhadhb seo a réiteach trí spás seoltaí EMIF aonair a roinnt ina fhuinneoga níos lú iolracha.
Molann Altera duit na paraiméadair seo a leanas a chur san áireamh.
Tábla 21. Paraiméadair Shínteora Réise Seoltaí
Paraiméadar
Socruithe Molta
Leithead an Chosáin Sonraí
Leithead Seoladh Máistir-Bheart Leathnaithe
Roghnaigh 32-giotán, a chomhcheanglaíonn leis an bpróiseálaí 32-giotán. Braitheann sé ar mhéid na cuimhne EMIF.
Leithead Seoladh Focal Sclábhaí Leithead Líon na mBriseadh
Roghnaigh 2 GB nó níos lú. Tá an raon seoltaí atá fágtha de phróiseálaí Nios V curtha in áirithe do IPanna boga leabaithe eile.
Tosaigh le 1 agus méadaigh an luach seo de réir a chéile chun feidhmíocht a fheabhsú.
Líon na bhfo-fhuinneog
Roghnaigh fo-fhuinneog amháin má tá tú ag ceangal EMIF leis an bpróiseálaí Nios V mar threoracha agus mar chuimhne sonraí, nó an dá rud. Tá sé contúirteach aistriú idir il-fho-fhuinneoga agus próiseálaí Nios V ag feidhmiú ó EMIF.
Cumasaigh Port Rialaithe Sclábhaí
Díchumasaigh an port rialaithe sclábhaí má tá tú ag ceangal EMIF leis an bpróiseálaí Nios V mar threoir agus/nó mar chuimhne sonraí. Na hábhair imní céanna le Líon na bhfo-fhuinneog.
Uasmhéid Léamha atá ar Feitheamh
Tosaigh le 1 agus méadaigh an luach seo de réir a chéile chun feidhmíocht a fheabhsú.
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 30
Seol Aiseolas
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí Quartus Prime agus Dearthóir Ardáin 726952 | 2025.07.16
Fíor 14. Treoracha agus Bainisteoir Sonraí a nascadh leis an Síneoir Réise Seoltaí
Fíor 15. Mapáil Seoltaí
Tabhair faoi deara gur féidir leis an Seoltaí Réimse Sínte rochtain a fháil ar an spás cuimhne 8GB iomlán den EMIF. Mar sin féin, tríd an Seoltaí Réimse Sínte, ní féidir leis an bpróiseálaí Nios V rochtain a fháil ach ar an gcéad spás cuimhne 1GB den EMIF.
Fíor 16. Léaráid Bhloc Shimplithe
Córas Dearthóir Ardán
3 GB atá fágtha
Seoladh próiseálaí Nios V
Is é an span ná leabaithe
NNioios sVV PProrocecsesosor r
M
IPanna boga sa chóras céanna.
Fuinneog 1 GB
Réim an Seoladh
S
Síneadh
M
An chéad 1 GB amháin
de chuimhne EMIF atá ceangailte le Nios V
EMIF
próiseálaí.
8 GB
S
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 31
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí agus Dearthóir Ardáin Quartus Prime
726952 | 2025.07.16
2.3.1.4.3. Gléas Cuimhne Nascóra Síneadh Réimse Seoltaí a Shainiú 1. Sainmhínigh an Síneadh Réimse Seoltaí (EMIF) mar an veicteoir athshocraithe. Nó is féidir leat veicteoir athshocraithe an phróiseálaí Nios V a shannadh do chuimhní eile, amhail OCRAM nó gléasanna splanc.
Fíor 17. Ilroghanna mar Veicteoir Athshocraithe
Mar sin féin, ní féidir leis an Eagarthóir Pacáiste Tacaíochta Boird (BSP) an Síneoir Réimse Seoltaí (EMIF) a chlárú go huathoibríoch mar chuimhne bhailí. Ag brath ar an rogha a rinne tú, feicfidh tú dhá chás éagsúla mar a thaispeántar sna figiúirí seo a leanas. Fíor 18. Earráid BSP agus an Síneoir Réimse Seoltaí (EMIF) á Shainmhíniú mar Veicteoir Athshocraithe.
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 32
Seol Aiseolas
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí Quartus Prime agus Dearthóir Ardáin 726952 | 2025.07.16
Fíor 19. EMIF ar Iarraidh agus Cuimhní Eile á Sainmhíniú mar Veicteoir Athshocraithe
2. Ní mór duit an Seoltaí Réimse Síneadh (EMIF) a chur leis de láimh ag baint úsáide as Cuir Gléas Cuimhne leis, Cuir Réigiún Cuimhne Nascóra leis, agus Cuir Mapálacha Rannóg Nascóra leis sa chluaisín Script Nascóra BSP.
3. Lean na céimeanna seo:
a. Faigh amach réise seoltaí an tSínteora Réimse Seoltaí ag baint úsáide as an Léarscáil Chuimhne (An t-e.g.ampÚsáideann an figiúr seo a leanas raon Leathnaitheoir Réimse Seoltaí ó 0x0 go 0x3fff_ffff).
Fíor 20. Léarscáil Cuimhne
b. Cliceáil Cuir Gléas Cuimhne Leis, agus líon isteach bunaithe ar an bhfaisnéis i Léarscáil Cuimhne do dhearaidh: i. Ainm na Gléise: emif_ddr4. Nóta: Cinntigh go gcóipeálann tú an t-ainm céanna ón Léarscáil Cuimhne. ii. Seoladh Bunúsach: 0x0 iii. Méid: 0x40000000
c. Cliceáil Cuir leis chun réigiún cuimhne nascóra nua a chur leis:
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 33
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí agus Dearthóir Ardáin Quartus Prime
726952 | 2025.07.16
Tábla 22. Réigiún Cuimhne Nascóra á Chur Leis
Céimeanna
Athshocraigh Veicteoir
emif_ddr4
Cuimhní cinn eile
1
Cuir Réigiún Cuimhne Nascóra nua leis ar a dtugtar athshocrú. Cuir Réigiún Cuimhne Nascóra nua leis don
· Ainm Réigiúin: athshocrú
emif_ddr4.
· Méid Réigiúin: 0x20
· Ainm Réigiúin: emif_ddr4
· Gléas Cuimhne: emif_ddr4
· Méid Réigiúin: 0x40000000
· Fritháireamh Cuimhne: 0x0
· Gléas Cuimhne: emif_ddr4
· Fritháireamh Cuimhne: 0x0
2
Cuir Réigiún Cuimhne Nascóra nua leis don
emif_ddr4 atá fágtha.
· Ainm Réigiúin: emif_ddr4
· Méid an Réigiúin: 0x3fffffe0
· Gléas Cuimhne: emif_ddr4
· Fritháireamh Cuimhne: 0x20
Fíor 21. Réigiún Nascóra agus Síneadh Réimse Seoltaí (EMIF) á Shainmhíniú mar Veicteoir Athshocraithe
Fíor 22. Réigiún Nascóra agus Cuimhní Eile á Sainmhíniú mar Veicteoir Athshocraithe
d. Nuair a bheidh an emif_ddr4 curtha leis an BSP, is féidir leat é a roghnú d'aon Rannóg Nascóra.
Fíor 23. Cuireadh Síneadh Réimse Seoltaí (EMIF) leis go rathúil
e. Déan neamhaird den rabhadh faoin ngléas cuimhne emif_ddr4 nach bhfuil le feiceáil i ndearadh an SOPC.
f. Lean ar aghaidh chun BSP a ghiniúint.
Faisnéis Ghaolmhar Réamhrá ar Mhodhanna Tosaithe Próiseálaí Nios V ar leathanach 51
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 34
Seol Aiseolas
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí Quartus Prime agus Dearthóir Ardáin 726952 | 2025.07.16
2.3.2. Cuimhne Neamh-So-ghalaithe
Coinníonn cuimhne neamh-luaineach a bhfuil ann nuair a mhúchtar an chumhacht, rud a fhágann gur rogha mhaith í chun faisnéis a stóráil a chaithfidh an córas a aisghabháil tar éis timthriall cumhachta an chórais. De ghnáth, stórálann cuimhne neamh-luaineach cód tosaithe próiseálaí, socruithe feidhmchláir bhuana, agus sonraí cumraíochta Altera FPGA. Cé go bhfuil buntáiste ag cuimhne neamh-luaineach...tagChun a chuid sonraí a choinneáil nuair a bhaintear an chumhacht, bíonn sé i bhfad níos moille i gcomparáid le cuimhne luaineach, agus is minic a bhíonn nósanna imeachta scríbhneoireachta agus scriosta níos casta aige. De ghnáth ní ráthaítear go mbeidh cuimhne neamh-luaineach inscriosta ach líon áirithe uaireanta, agus ina dhiaidh sin d’fhéadfadh sé teip.
ExampI measc na gcineálacha cuimhne neamh-luaineach tá gach cineál flash, EPROM, agus EEPROM. Molann Altera duit sruthanna giotán Altera FPGA agus íomhánna cláir Nios V a stóráil i gcuimhne neamh-luaineach, agus flash sraitheach a úsáid mar an fheiste tosaithe do phróiseálaithe Nios V.
Eolas Gaolmhar
· Treoir Úsáideora IP Altera FPGA Comhéadan Sraithuimhir Splancach
· Treoir Úsáideora Cliant Bosca Poist Altera FPGA IP · Treoir Úsáideora Cuimhne Flash Úsáideora MAX® 10: Croílár IP Flash Ar an Sliseán Altera FPGA IP
2.4. Cleachtais is Fearr maidir le Cloig agus Athshocruithe
Tá sé tábhachtach tuiscint a fháil ar an gcaoi a n-idirghníomhaíonn fearann clog agus athshocraithe an phróiseálaí Nios V le gach forimeallach a nascann sé leis. Tosaíonn córas simplí próiseálaí Nios V le fearann clog aonair, agus is féidir go mbeadh sé casta le córas fearainn ilchlog nuair a imbhuaileann fearann clog tapa le fearann clog mall. Ní mór duit a thabhairt faoi deara agus tuiscint a fháil ar an gcaoi a n-athraíonn na fearainn éagsúla seo as an athshocrú agus a chinntiú nach bhfuil aon fhadhbanna caolchúiseacha ann.
Chun dea-chleachtas a bhaint amach, molann Altera an próiseálaí Nios V agus an chuimhne tosaithe a chur san fhearann cloig chéanna. Ná scaoil an próiseálaí Nios V ó athshocrú i bhfearann cloig thapa nuair a thosaíonn sé ó chuimhne atá i bhfearann cloig an-mhall, rud a d'fhéadfadh earráid aisghabhála treoracha a chur faoi deara. B'fhéidir go mbeadh gá le roinnt seicheamhúcháin láimhe thar a sholáthraíonn Dearthóir Ardáin de réir réamhshocraithe, agus pleanáil toipeolaíocht scaoilte athshocraithe dá réir bunaithe ar do chás úsáide. Más mian leat do chóras a athshocrú tar éis dó teacht suas agus rith ar feadh tamaill, cuir na cúinsí céanna i bhfeidhm maidir le seicheamhú athshocraithe córais agus riachtanas tosaithe iar-athshocraithe.
2.4.1. Córas JTAG Clog
Is breithniú tábhachtach i ndearadh córais é srianta an chloig a shonrú i ngach córas próiseálaí Nios V agus tá sé riachtanach le haghaidh ceartais agus iompair chinntitheach. Déanann an Quartus Prime Timing Analyzer anailís uainiúcháin statach chun feidhmíocht uainiúcháin na loighce go léir i do dhearadh a bhailíochtú ag baint úsáide as modheolaíocht srianta, anailíse agus tuairiscithe caighdeánach tionscail.
Example 1. Clog Bunúsach 100 MHz le Timthriall Dleachta 50/50 agus 16 MHz JTAG Clog
#**************************************************************** # Cruthaigh Clog 100MHz #**************************************************************** create_clock -name {clk} -period 10 [get_ports {clk}] #************************ Cruthaigh 16MHz JTAG Clog #************************
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 35
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí agus Dearthóir Ardáin Quartus Prime
726952 | 2025.07.16
create_clock -name {altera_reserved_tck} -period 62.500 [get_ports {altera_reserved_tck}] set_clock_groups -asynchronous -group [get_clocks {altera_reserved_tck}] Faisnéis Ghaolmhar Leabhar Cócaireachta Anailíseoir Ama Príomha Quartus
2.4.2. Comhéadan Iarratais Athshocraithe
Tá saoráid roghnach iarrata athshocraithe sa phróiseálaí Nios V. Tá comharthaí reset_req agus reset_req_ack san áis iarrata athshocraithe.
Chun an t-iarratas athshocraithe a chumasú i nDearthóir Ardáin: 1. Seoladh Eagarthóir Paraiméadar IP Próiseálaí Nios V. 2. Ar an socrú Úsáid Iarratas Athshocraithe, cas air Cuir Comhéadan Iarratas Athshocraithe leis.
rogha.
Fíor 24. Cumasaigh Iarratas Athshocraithe Próiseálaí Nios V
Feidhmíonn an comhartha reset_req cosúil le cur isteach. Nuair a dhearbhaíonn tú an reset_req, tá tú ag iarraidh athshocrú a dhéanamh chuig an gcroílár. Fanann an croílár le haon idirbheart bus atá gan réiteach chun a oibríocht a chríochnú. Mar shamplaampMar shampla, má tá idirbheart rochtana cuimhne ar feitheamh, fanann an croí le haghaidh freagra iomlán. Ar an gcaoi chéanna, glacann an croí le haon fhreagra treorach atá ar feitheamh ach ní eisíonn sé iarratas treorach tar éis an comhartha reset_req a fháil.
Tá an sreabhadh seo a leanas san oibríocht athshocraithe: 1. Críochnaigh na hoibríochtaí go léir atá ar feitheamh 2. Sruthlaigh an phíblíne inmheánach 3. Socraigh an Comhaireamh Cláir go dtí an veicteoir athshocraithe 4. Athshocraigh an croílár Tógann an oibríocht athshocraithe iomlán cúpla timthriall cloig. Caithfidh an reset_req fanacht dearbhaithe go dtí go ndearbhaítear reset_req_ack rud a léiríonn go bhfuil an oibríocht athshocraithe croí críochnaithe go rathúil. Má theipeann ort é sin a dhéanamh, ní bheidh staid an chroílár cinntitheach.
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 36
Seol Aiseolas
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí Quartus Prime agus Dearthóir Ardáin 726952 | 2025.07.16
2.4.2.1. Cásanna Úsáide tipiciúla
· Is féidir leat an comhartha reset_req a dhearbhú ón gcumhachtú ar siúl chun cosc a chur ar chroílár an phróiseálaí Nios V forghníomhú cláir a thosú óna veicteoir athshocraithe go dtí go ndéanann óstaigh FPGA eile sa chóras cuimhne tosaithe an phróiseálaí Nios V a thosú. Sa chás seo, is féidir athshocrú glan crua-earraí a dhéanamh ar an bhfochóras ar fad. Coinnítear an próiseálaí Nios V go ceann tréimhse éiginnte i riocht iarrata athshocraithe go dtí go ndéanann na hóstaigh FPGA eile cuimhne tosaithe an phróiseálaí a thosú.
· I gcóras ina gcaithfidh tú croílár próiseálaí Nios V a athshocrú gan cur isteach ar an gcuid eile den chóras, is féidir leat an comhartha reset_req a úsáid chun stop a chur go glan le hoibríocht reatha an chroíláir agus an próiseálaí a atosú ón veicteoir athshocraithe a luaithe a scaoileann an córas an comhartha reset_req_ack.
· Is féidir le hóstach seachtrach an comhéadan iarratais athshocraithe a úsáid chun cur i bhfeidhm na dtascanna seo a leanas a éascú:
— Cuir stop leis an gclár próiseálaí Nios V atá ann faoi láthair.
— Luchtaigh clár nua isteach i gcuimhne tosaithe an phróiseálaí Nios V.
— Lig don phróiseálaí tosú ag cur an chláir nua i gcrích.
Molann Altera duit meicníocht sos ama a chur i bhfeidhm chun monatóireacht a dhéanamh ar staid an chomhartha reset_req_ack. Má thiteann croílár próiseálaí Nios V i riocht feithimh gan teorainn agus má stopann sé ar chúis anaithnid, ní féidir reset_req_ack a dhearbhú go deo. Cuireann an mheicníocht sos ama ar do chumas:
· Sainigh tréimhse ama téarnaimh agus déan aisghabháil chórais le hathshocrú ar leibhéal an chórais.
· Déan athshocrú leibhéal crua-earraí.
2.4.3. Athshocraigh IP Scaoilte
Úsáideann gléasanna bunaithe ar SDM Altera ailtireacht chomhthreomhar, earnáil-bhunaithe a dháileann an loighic fabraice lárnach ar fud ilearnálacha. Molann Altera duit IP FPGA Athshocraigh Scaoilte Altera a úsáid mar cheann de na chéad ionchur chuig an gciorcad athshocraithe. Áirítear le gléasanna bunaithe ar SDM Intel® gléasanna Stratix® 10, agus AgilexTM. Ní dhéanann an riachtanas seo difear do ghléasanna bunaithe ar bhloc rialaithe.
Eolas Gaolmhar
AN 891: Ag baint úsáide as IP FPGA Athshocraigh Scaoileadh Altera
2.5. Gníomhaire Réamhshocraithe a Shannadh
Ligeann Dearthóir Ardáin duit gníomhaire réamhshocraithe a shonrú a fheidhmíonn mar an ngníomhaire réamhshocraithe freagartha earráide. Soláthraíonn an gníomhaire réamhshocraithe a ainmníonn tú seirbhís freagartha earráide d’óstaigh a dhéanann iarracht rochtain neamh-dhíchódaithe a fháil ar an léarscáil seoltaí.
Spreagann na cásanna seo a leanas teagmhas neamh-dhíchódaithe:
· Sárú ar staid slándála idirbhirt bus
· Rochtain idirbhirt ar réigiún cuimhne neamhshainithe
· Imeacht eisceachtúil agus araile.
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 37
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí agus Dearthóir Ardáin Quartus Prime
726952 | 2025.07.16
Ba cheart gníomhaire réamhshocraithe a shannadh chun déileáil le himeachtaí den sórt sin, áit a ndéantar idirbheart neamhshainithe a atreorú chuig an ngníomhaire réamhshocraithe agus ina dhiaidh sin freagra earráide a thabhairt do phróiseálaí Nios V.
Eolas Gaolmhar
· Treoir Úsáideora Quartus Prime Pro Edition: Dearthóir Ardáin. Gníomhaire Réamhshocraithe a Ainmniú
· Treoir Úsáideora Quartus Prime Pro Edition: Dearthóir Ardáin. Freagairt Earráide Sclábhaí Altera FPGA IP
· Github – Comhpháirteanna Athshocraithe Forlíontacha do Qsys
2.6. Gníomhaire UART a Shannadh le haghaidh Priontála
Tá priontáil úsáideach chun an feidhmchlár bogearraí a dhífhabhtú, chomh maith le stádas do chórais a mhonatóiriú. Molann Altera faisnéis bhunúsach a phriontáil amhail teachtaireacht tosaithe, teachtaireacht earráide, agus dul chun cinn forghníomhaithe an fheidhmchláir bhogearraí.
Seachain úsáid a bhaint as feidhm na leabharlainne printf() sna himthosca seo a leanas: · Cuireann an leabharlann printf() stop leis an bhfeidhmchlár mura bhfuil aon óstach ag léamh an aschuir.
Tá feidhm ag seo maidir leis an JTAG UART amháin. · Ídíonn an leabharlann printf() méideanna móra cuimhne cláir.
2.6.1. Cosc a chur ar Stalladh ag an JTAG UART
Tábla 23. Difríochtaí idir UART Traidisiúnta agus JTAG UART
Cineál UART UART Traidisiúnta
Cur síos
Tarchuireann sé sonraí sraitheacha beag beann ar cibé an bhfuil óstach seachtrach ag éisteacht nó nach bhfuil. Mura léann aon óstach na sonraí sraitheacha, cailltear na sonraí.
JTAG UART
Scríobhann sé na sonraí tarchurtha chuig maolán aschuir agus braitheann sé ar óstach seachtrach chun léamh ón maolán chun é a fholmhú.
An J.TAG Fanann tiománaí UART nuair a bhíonn an maolán aschuir lán. An JTAG Fanann tiománaí UART go léifidh óstach seachtrach ón maolán aschuir sula scríobhfar tuilleadh sonraí tarchuir. Cuireann an próiseas seo cosc ar chailliúint sonraí tarchuir.
Mar sin féin, nuair nach bhfuil gá le dífhabhtú córais, amhail le linn táirgthe, imscartar córais leabaithe gan ríomhaire óstach ceangailte le J.TAG UART. Má roghnaigh an córas an JTAG UART mar ghníomhaire UART, d’fhéadfadh sé go gcuirfeadh sé moill ar an gcóras mar nach bhfuil aon óstach seachtrach ceangailte.
Chun cosc a chur ar mhoilliú ag JTAG UART, cuir na roghanna seo a leanas i bhfeidhm:
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 38
Seol Aiseolas
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí agus Dearthóir Ardáin Quartus Prime
726952 | 2025.07.16
Tábla 24. Cosc ar Mhoilliú ag JTAG UART
Roghanna
Níl aon chomhéadan UART ná tiománaí i láthair
Bain úsáid as comhéadan agus tiománaí UART eile
Caomhnaigh JTAG Comhéadan UART (gan tiománaí)
Le linn Forbairt Crua-earraí (i nDearthóir Ardáin)
Le linn Forbairt Bogearraí (in Eagarthóir Pacáiste Tacaíochta an Bhoird)
Bain JTAG UART ón gcóras
Cumraigh hal.stdin, hal.stdout agus hal.stderr mar None.
Cuir J ina áitTAG UART le Cumraigh bhog eile hal.stdin, hal.stdout agus hal.stderr
IP UART
le IP UART bog eile.
Caomhnaigh JTAG UART sa chóras
· Cumraigh hal.stdin, hal.stdout agus hal.stderr mar None in Eagarthóir Pacáiste Tacaíochta an Bhoird.
· Díchumasaigh JTAG Tiománaí UART sa chluaisín Tiománaí BSP.
2.7. JTAG Comharthaí
Úsáideann modúl dífhabhtaithe próiseálaí Nios V an JTAG comhéadan le haghaidh íoslódáil bogearraí ELF agus dífhabhtú bogearraí. Nuair a dhéanann tú dífhabhtú ar do dhearadh leis an JTAG comhéadan, an JTAG Cuirtear comharthaí TCK, TMS, TDI, agus TDO i bhfeidhm mar chuid den dearadh. Ag sonrú an JTAG Is breithniú tábhachtach dearaidh córais iad srianta comhartha i ngach córas próiseálaí Nios V agus tá siad riachtanach le haghaidh cruinneas agus iompair chinntitheach.
Molann Altera go mbeadh minicíocht clog chórais aon dearaidh ceithre huaire níos airde ná J ar a laghad.TAG minicíocht an chloig chun a chinntiú go bhfeidhmíonn croílár na hionstraimíochta ar an sliseanna (OCI) i gceart.
Faisnéis Ghaolmhar · Leabhar Cócaireachta Anailíseoir Ama Príomha Quartus®: JTAG Comharthaí
Tá tuilleadh eolais le fáil faoi JTAG treoirlínte srianta ama. · KDB: Cén fáth a dteipeann ar íoslódáil niosv le próiseálaí Nios® V/m neamhphíblíneáilte ag
JTAG minicíocht 24MHz nó 16Mhz?
2.8. Feidhmíocht Chórais Dearthóra Ardáin a Uasmhéadú
Soláthraíonn Dearthóir Ardáin uirlisí chun feidhmíocht an idirnasc chórais a bharrfheabhsú do dhearthaí Altera FPGA.
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 39
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí agus Dearthóir Ardáin Quartus Prime
726952 | 2025.07.16
Fíor 25. Eiseamláir Optamaitheamples
An seanampLéiríonn an rud a thaispeántar sa fhigiúr na céimeanna seo a leanas:
1. Cuireann Droichead Píblíne leis chun cosáin chriticiúla a mhaolú trína chur: a. Idir an Bainisteoir Treoracha agus a ghníomhairí b. Idir an Bainisteoir Sonraí agus a ghníomhairí
2. Cuir RAM Ar-Sliseanna Fíor-Dhéphort i bhfeidhm, agus gach port tiomnaithe don Bhainisteoir Treoracha agus don Bhainisteoir Sonraí faoi seach.
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 40
Seol Aiseolas
2. Dearadh Córas Crua-earraí Próiseálaí Nios V le Bogearraí Quartus Prime agus Dearthóir Ardáin 726952 | 2025.07.16
Féach ar na naisc ghaolmhara seo a leanas thíos, a chuireann teicnící i láthair chun leas a bhaint as na huirlisí atá ar fáil agus na comhbhabhtálacha a bhaineann le gach cur i bhfeidhm.
Faisnéis Ghaolmhar · Treoir Úsáideora Quartus® Prime Pro Edition: Dearthóir Ardáin
Féach ar an topaic Feidhmíocht Chórais Dearthóra Ardáin a Bharrfheabhsú le haghaidh tuilleadh eolais. · Treoir Úsáideora Quartus® Prime Standard Edition: Dearthóir Ardáin Féach ar an topaic Feidhmíocht Chórais Dearthóra Ardáin a Bharrfheabhsú le haghaidh tuilleadh eolais.
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 41
726952 | 2025.07.16 Seol Aiseolas
3. Dearadh Córais Bogearraí Próiseálaí Nios V
Déantar cur síos sa chaibidil seo ar shreabhadh forbartha bogearraí próiseálaí Nios V agus na huirlisí bogearraí is féidir leat a úsáid agus tú ag forbairt do chórais deartha leabaithe. Feidhmíonn an t-ábhar mar fhorléargas.view sula bhforbraítear córas bogearraí próiseálaí Nios V.
Fíor 26. Sreabhadh Dearaidh Bogearraí
Tosaigh
Gin an BSP sa Dearthóir Ardáin ag Úsáid an Eagarthóra BSP
Gin an BSP ag baint úsáide as an Shell Ordú Nios V
Gin an Tógáil CMake Feidhmchláir File Ag baint úsáide as an Shell Ordú Nios V
Nóta:
Iompórtáil an BSP agus an Tógáil CMake Feidhmchláir File
Tóg an Feidhmchlár Próiseálaí Nios V ag baint úsáide as an
IDE RiscFree le haghaidh Intel FPGA
Tóg an feidhmchlár Próiseálaí Nios V ag baint úsáide as aon cheann
eagarthóir cód foinse líne ordaithe, CMake, agus Make
orduithe
Deireadh
Molann Altera duit trealamh forbartha FPGA Altera nó bord fréamhshamhla saincheaptha a úsáid le haghaidh forbairt bogearraí agus dífhabhtaithe. Ní bhíonn go leor forimeallaigh agus gnéithe ar leibhéal an chórais ar fáil ach amháin nuair a ritheann do bhogearraí ar bhord iarbhír.
© Altera Corporation. Is trádmharcanna de chuid Altera Corporation iad Altera, lógó Altera, an lógó `a', agus marcanna Altera eile. Forchoimeádann Altera an ceart athruithe a dhéanamh ar aon táirgí agus seirbhísí tráth ar bith gan fógra. Ní ghlacann Altera aon fhreagracht ná dliteanas a eascraíonn as cur i bhfeidhm nó úsáid aon fhaisnéise, táirge nó seirbhíse a thuairiscítear anseo ach amháin mar a chomhaontaigh Altera go sainráite i scríbhinn. Moltar do chustaiméirí Altera an leagan is déanaí de shonraíochtaí na ngléasanna a fháil sula mbraitheann siad ar aon fhaisnéis fhoilsithe agus sula gcuireann siad orduithe isteach le haghaidh táirgí nó seirbhísí. *Féadfar éileamh a dhéanamh gur maoin de chuid daoine eile iad ainmneacha agus brandaí eile.
3. Dearadh Córais Bogearraí Próiseálaí Nios V 726952 | 2025.07.16
3.1. Sreabhadh Forbartha Bogearraí Próiseálaí Nios V
3.1.1. Tionscadal Pacáiste Tacaíochta an Bhoird
Is leabharlann speisialaithe í tionscadal Pacáiste Tacaíochta Boird Nios V (BSP) ina bhfuil cód tacaíochta atá sainiúil don chóras. Soláthraíonn BSP timpeallacht rith-ama bogearraí atá saincheaptha do phróiseálaí amháin i gcóras crua-earraí próiseálaí Nios V.
Cuireann bogearraí Quartus Prime Eagarthóir Pacáiste Tacaíochta Boird Nios V agus uirlisí fóntais niosv-bsp ar fáil chun socruithe a rialaíonn iompar an BSP a mhodhnú.
Tá na heilimintí seo a leanas i BSP: · Ciseal teibí crua-earraí · Tiománaithe gléasanna · Pacáistí bogearraí roghnacha · Córas oibriúcháin fíor-ama roghnach
3.1.2. Tionscadal Iarratais
Tá na gnéithe seo a leanas ag tionscadal feidhmchláir Nios VC/C++: · Tá bailiúchán de chód foinse agus CMakeLists.txt ann.
— Tiomsaíonn an CMakeLists.txt an cód foinse agus nascann sé é le BSP agus leabharlann roghnach amháin nó níos mó, chun .elf amháin a chruthú. file
· Ceann de na foinsí fileTá feidhm main() i s. · Áirítear cód a ghlaonn ar fheidhmeanna i leabharlanna agus i mBSPanna.
Cuireann Altera uirlis fóntais niosv-app ar fáil i measc uirlisí fóntais bogearraí Quartus Prime chun an Feidhmchlár CMakeLists.txt a chruthú, agus RiscFree IDE do FPGAanna Altera chun an cód foinse a mhodhnú i dtimpeallacht atá bunaithe ar Eclipse.
3.2. Uirlisí Forbartha Leabaithe Altera FPGA
Tacaíonn próiseálaí Nios V leis na huirlisí seo a leanas le haghaidh forbairt bogearraí: · Comhéadan Úsáideora Grafach (GUI) – Uirlisí forbartha grafacha atá ar fáil i
Córais Oibriúcháin (OS) Windows* agus Linux* araon. — Eagarthóir Pacáiste Tacaíochta Boird Nios V (Eagarthóir BSP Nios V) — Ashling RiscFree IDE do Altera FPGAanna · Uirlisí Líne Ordaithe (CLI) – Uirlisí forbartha a thionscnaítear ón Shell Ordaithe Nios V. Soláthraíonn gach uirlis a doiciméadacht féin i bhfoirm cabhrach atá inrochtana ón líne ordaithe. Oscail an Shell Ordaithe Nios V agus clóscríobh an t-ordú seo a leanas: –cabhair le view an roghchlár Cabhrach. — Uirlisí Fóntais Nios V — File Uirlisí Comhshó Formáide — Uirlisí Fóntais Eile
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 43
3. Dearadh Córais Bogearraí Próiseálaí Nios V 726952 | 2025.07.16
Tábla 25. Achoimre ar Thascanna Uirlisí Comhéadain Grafacha agus Uirlisí Líne Ordaithe
Tasc
Uirlis GUI
Uirlis Líne Ordaithe
BSP a chruthú
Eagarthóir BSP Nios V
· I mbogearraí Quartus Prime Pro Edition: niosv-bsp -c -s=<.qsys file> -t= [ROGHANNA] socruithe.bsp
· I mbogearraí Quartus Prime Standard Edition: niosv-bsp -c -s=<.sopcinfo file> -t= [ROGHANNA] socruithe.bsp
BSP a ghiniúint ag baint úsáide as .bsp atá ann cheana féin file
Nuashonrú BSP
Eagarthóir Nios V BSP Eagarthóir Nios V BSP
niosv-bsp -g [ROGHANNA] socruithe.bsp niosv-bsp -u [ROGHANNA] socruithe.bsp
Ag scrúdú BSP
Eagarthóir BSP Nios V
niosv-bsp -q -E= [ROGHANNA] socruithe.bsp
Ag cruthú iarratais
–
niosv-app -a= -b= -s= fileeolaire s> [ROGHANNA]
Leabharlann úsáideora á cruthú
–
niosv-app -l= -s= fileeolaire s> -p= [ROGHANNA]
Feidhmchlár a mhodhnú Leabharlann úsáideora a mhodhnú Feidhmchlár a thógáil
IDE RiscFree do FPGAanna Altera
IDE RiscFree do FPGAanna Altera
IDE RiscFree do FPGAanna Altera
Aon eagarthóir foinse líne ordaithe
Aon eagarthóir foinse líne ordaithe
· déan · déan
Leabharlann úsáideoirí a thógáil
IDE RiscFree do FPGAanna Altera
· déan · déan
Íoslódáil feidhmchlár ELF
Ag tiontú an .elf file
IDE RiscFree do FPGAanna Altera
–
íoslódáil niosv
· elf2flash · elf2hex
Eolas Gaolmhar
Treoir Úsáideora Ashling RiscFree RiskFree Timpeallacht Forbartha Comhtháite (IDE) do Altera FPGAanna
3.2.1. Eagarthóir Pacáiste Tacaíochta Bord Próiseálaí Nios V
Is féidir leat Eagarthóir BSP próiseálaí Nios V a úsáid chun na tascanna seo a leanas a dhéanamh: · Tionscadal BSP próiseálaí Nios V a chruthú nó a mhodhnú · Socruithe, réigiúin nascóra, agus mapálacha rannóige a chur in eagar · Pacáistí bogearraí agus tiománaithe gléasanna a roghnú.
Áirítear ar chumais Eagarthóir BSP cumais na bhfóntais niosv-bsp. Is féidir aon tionscadal a chruthaítear in Eagarthóir BSP a chruthú ag baint úsáide as na fóntais líne ordaithe freisin.
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 44
Seol Aiseolas
3. Dearadh Córais Bogearraí Próiseálaí Nios V 726952 | 2025.07.16
Nóta:
I gcás bogearraí Quartus Prime Standard Edition, féach AN 980: Tacaíocht Bogearraí Quartus Prime do Phróiseálaí Nios V le haghaidh na gcéimeanna chun GUI an Eagarthóra BSP a ghníomhachtú.
Chun Eagarthóir BSP a sheoladh, lean na céimeanna seo: 1. Oscail Dearthóir Ardáin, agus nascleanúint chuig File roghchlár.
a. Chun socrú BSP atá ann cheana a oscailt file, cliceáil Oscail… b. Chun BSP nua a chruthú, cliceáil BSP Nua… 2. Roghnaigh an táb Eagarthóir BSP agus cuir na sonraí cuí ar fáil.
Fíor 27. Seoladh Eagarthóir BSP
Faisnéis Ghaolmhar AN 980: Próiseálaí Nios V Tacaíocht Bogearraí Quartus Prime
3.2.2. IDE Saor ó Risc do FPGAanna Altera
Is IDE bunaithe ar Eclipse é an RiscFree IDE do Altera FPGAanna don phróiseálaí Nios V. Molann Altera duit bogearraí an phróiseálaí Nios V a fhorbairt san IDE seo ar na cúiseanna seo a leanas: · Forbraítear agus fíoraítear na gnéithe le bheith comhoiriúnach leis an Nios V
sreabhadh tógála próiseálaí. · Feistithe leis na slabhraí uirlisí agus na huirlisí tacaíochta riachtanacha go léir a chuireann ar do chumas
chun forbairt próiseálaí Nios V a thosú go héasca.
Faisnéis Ghaolmhar Ashling Treoir Úsáideora Timpeallacht Forbartha Comhtháite (IDE) RiscFree do Altera FPGAanna
3.2.3. Uirlisí Fóntais Nios V
Is féidir leat cláir Nios V a chruthú, a mhodhnú agus a thógáil le horduithe a chlóscríobhtar ag líne ordaithe nó atá leabaithe i script. Tá na huirlisí líne ordaithe Nios V a bhfuil cur síos orthu sa chuid seo sa eolaire /niosv/bin.
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 45
3. Dearadh Córais Bogearraí Próiseálaí Nios V 726952 | 2025.07.16
Tábla 26. Uirlisí Fóntais Nios V
Uirlisí Líne Ordaithe
Achoimre
aip-niosv niosv-bsp íoslódáil-niosv sliogán-niosv tuarascáil-stack
Chun tionscadal feidhmchláir a ghiniúint agus a chumrú.
Chun socruithe BSP a chruthú nó a nuashonrú file agus an BSP a chruthú files. Chun an ELF a íoslódáil file chuig próiseálaí Nios® V.
Chun an Shell Ordú Nios V a oscailt. Chun tú a chur ar an eolas faoin spás cuimhne atá fágtha do d'fheidhmchlár .elf le húsáid mar chruach nó mar charn.
3.2.4. File Uirlisí Comhshó Formáide
File bíonn comhshó formáide riachtanach uaireanta agus sonraí á n-aistriú ó fhóntas amháin go fóntas eile. file tá uirlisí comhshó formáide sna
eolaire suiteála bogearraí>/niosv/bin.
Tábla 27 . File Uirlisí Comhshó Formáide
Uirlisí Líne Ordaithe elf2flash elf2hex
Achoimre Chun an .elf a aistriú file go formáid .srec le haghaidh cláir chuimhne flash. Chun an .elf a aistriú file go formáid .heics le haghaidh túsú cuimhne.
3.2.5. Uirlisí Fóntais Eile
B’fhéidir go mbeadh na huirlisí líne ordaithe seo a leanas ag teastáil uait agus córas bunaithe ar phróiseálaí Nios V á thógáil agat. Soláthraíonn Intel na huirlisí líne ordaithe seo i /quartus/bin nó a fuarthas ó
uirlisí foinse oscailte.
Tábla 28. Uirlisí Eile Líne Ordaithe
Uirlisí Líne Ordaithe
Cineál
Achoimre
críochfort juart
Ar fáil ag Intel
Chun monatóireacht a dhéanamh ar stdout agus stderr, agus chun ionchur a sholáthar do phróiseálaí Nios® V
fochóras trí stdin. Ní bhaineann an uirlis seo ach leis an JTAG IP UART nuair a bhíonn sé ceangailte leis an bpróiseálaí Nios® V.
openocd
Ar fáil ag Intel Chun OpenOCD a fhorghníomhú.
openocd-cfg-gen
Ar fáil ag Intel · Chun cumraíocht OpenOCD a ghiniúint file. · Chun J a thaispeáintTAG innéacs gléas slabhra.
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 46
Seol Aiseolas
726952 | 2025.07.16 Seol Aiseolas
4. Cumraíocht Próiseálaí Nios V agus Réitigh Tosaithe
Is féidir leat próiseálaí Nios V a chumrú chun bogearraí a thosú agus a fhorghníomhú ó shuíomhanna cuimhne éagsúla. Is é an chuimhne tosaithe ná an splanc-chomhéadan ceithre shraith imeallach (QSPI), cuimhne ar an sliseanna (OCRAM), nó cuimhne atá ceangailte go docht (TCM).
Faisnéis Ghaolmhar · Coinníollacha Spreagthaí Cumhachta ar leathanach 193 · Spreagthaí Cumhachta
Le haghaidh tuilleadh eolais faoi spreagthóirí cumhachta.
4.1. Réamhrá
Tacaíonn próiseálaí Nios V le dhá chineál próiseas tosaithe: · Forghníomhú-in-Place (XIP) ag baint úsáide as an bhfeidhm alt_load() · Clár cóipeáilte chuig RAM ag baint úsáide as cóipeálaí tosaithe. Tá forbairt clár leabaithe Nios V bunaithe ar an tsraith teibí crua-earraí (HAL). Soláthraíonn an HAL clár luchtóra tosaithe beag (ar a dtugtar cóipeálaí tosaithe freisin) a chóipeálann rannóga nascóra ábhartha ón gcuimhne tosaithe chuig a suíomh ama rite ag am tosaithe. Is féidir leat suíomhanna ama rite na cuimhne cláir agus sonraí a shonrú trí shocruithe an Eagarthóra Pacáiste Tacaíochta Boird (BSP) a ionramháil. Déanann an chuid seo cur síos ar: · Cóipeálaí tosaithe próiseálaí Nios V a thosaíonn do chóras próiseálaí Nios V de réir
an rogha cuimhne tosaithe · roghanna tosaithe próiseálaí Nios V agus sreabhadh ginearálta · réitigh chlársceidealaithe Nios V don chuimhne tosaithe roghnaithe
4.2. Feidhmchláir a Nascadh
Nuair a ghineann tú tionscadal próiseálaí Nios V, gineann an Eagarthóir BSP dhá nascóir a bhaineann leis files: · nascóir.x: An t-ordú nascóra file a dhéanann an feidhmchlár gintefile úsáidí
chun an comhad dénártha .elf a chruthú file. · linker.h: Tá faisnéis ann faoi leagan amach cuimhne an nascóra. Bíonn tionchar ag gach modhnú ar shocruithe an nascóra a dhéanann tú ar an tionscadal BSP ar ábhar an dá nascóir seo. files. Tá na rannóga nascóra seo a leanas i ngach feidhmchlár próiseálaí Nios V:
© Altera Corporation. Is trádmharcanna de chuid Altera Corporation iad Altera, lógó Altera, an lógó `a', agus marcanna Altera eile. Forchoimeádann Altera an ceart athruithe a dhéanamh ar aon táirgí agus seirbhísí tráth ar bith gan fógra. Ní ghlacann Altera aon fhreagracht ná dliteanas a eascraíonn as cur i bhfeidhm nó úsáid aon fhaisnéise, táirge nó seirbhíse a thuairiscítear anseo ach amháin mar a chomhaontaigh Altera go sainráite i scríbhinn. Moltar do chustaiméirí Altera an leagan is déanaí de shonraíochtaí na ngléasanna a fháil sula mbraitheann siad ar aon fhaisnéis fhoilsithe agus sula gcuireann siad orduithe isteach le haghaidh táirgí nó seirbhísí. *Féadfar éileamh a dhéanamh gur maoin de chuid daoine eile iad ainmneacha agus brandaí eile.
4. Cumraíocht Próiseálaí Nios V agus Réitigh Tosaithe 726952 | 2025.07.16
Tábla 29. Rannóga Nascóra
.téacs
Rannóga Nascóra
.rodata
.rwdata
.bss
.carn
.stac
Cur síos Cód inrite. Aon sonraí inléite amháin a úsáidtear i bhforghníomhú an chláir. Stórálann sé sonraí léite-scríofa a úsáidtear i bhforghníomhú an chláir. Tá sonraí statach neamhthosaithe ann. Tá cuimhne atá leithdháilte go dinimiciúil ann. Stórálann sé paraiméadair glaonna feidhme agus sonraí sealadacha eile.
Is féidir leat rannóga nascóra breise a chur leis an .elf file chun cód agus sonraí saincheaptha a choinneáil. Cuirtear na rannóga nascóra seo i réigiúin chuimhne ainmnithe, atá sainmhínithe chun comhfhreagras a dhéanamh le feistí cuimhne fisiciúla agus seoltaí. De réir réamhshocraithe, gineann Eagarthóir BSP na rannóga nascóra seo go huathoibríoch. Mar sin féin, is féidir leat na rannóga nascóra a rialú le haghaidh feidhmchláir ar leith.
4.2.1. Iompar Nasctha
Déantar cur síos sa chuid seo ar iompar nasctha réamhshocraithe Eagarthóir BSP agus ar conas an t-iompar nasctha a rialú.
4.2.1.1. Nascadh Réamhshocraithe BSP
Le linn chumraíocht BSP, déanann na huirlisí na céimeanna seo a leanas go huathoibríoch:
1. Ainmneacha réigiún cuimhne a shannadh: Ainm a shannadh do gach gléas cuimhne córais agus gach ainm a chur leis an nascóir file mar réigiún cuimhne.
2. Aimsigh an chuimhne is mó: Sainaithin an réigiún cuimhne léite agus scríofa is mó sa nascóir file.
3. Rannóga nascóra a shannadh: Cuir na rannóga nascóra réamhshocraithe (.text, .rodata, .rwdata, .bss, .heap, agus .stack) sa réigiún cuimhne a sainaithníodh sa chéim roimhe seo.
4. Scríobh files: Scríobh an linker.x agus an linker.h files.
De ghnáth, oibríonn an scéim leithdháilte rannóige nascóra le linn an phróisis forbartha bogearraí toisc go bhfuil ráthaíocht ann go bhfeidhmeoidh an feidhmchlár má tá an chuimhne mór go leor.
Tá na rialacha maidir leis an iompar nasctha réamhshocraithe sna scripteanna Tcl a ghintear ag Altera bsp-set-defaults.tcl agus bsp-linker-utils.tcl atá le fáil sa Comhadlann /niosv/scripts/bsp-defaults. Úsáidtear an t-ordú niosv-bsp chun na scripteanna seo a ghlaoch. Ná déan na scripteanna seo a mhodhnú go díreach.
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 48
Seol Aiseolas
4. Cumraíocht Próiseálaí Nios V agus Réitigh Tosaithe 726952 | 2025.07.16
4.2.1.2. Nascadh BSP Inchumraithe
Is féidir leat an t-iompar nasctha réamhshocraithe a bhainistiú sa chluaisín Script Nascóra den Eagarthóir BSP. Láimhseáil an script nascóra ag baint úsáide as na modhanna seo a leanas: · Cuir réigiún cuimhne leis: Mapálann sé ainm réigiúin chuimhne chuig gléas cuimhne fisiciúil. · Cuir mapáil rannóige leis: Mapálann sé ainm rannóige chuig réigiún cuimhne. An BSP
Ligeann an t-eagarthóir duit view an léarscáil chuimhne roimh agus tar éis athruithe a dhéanamh.
4.3. Modhanna Tosaithe Próiseálaí Nios V
Tá roinnt modhanna ann chun próiseálaí Nios V a thosú i ngléasanna Altera FPGA. Athraíonn na modhanna chun próiseálaí Nios V a thosú de réir an rogha cuimhne flash agus na dteaghlach gléasanna.
Tábla 30. Cuimhní Flash a dtacaítear leo le Roghanna Tosaithe faoi seach
Cuimhní Tosaithe Tacaithe
Gléas
Splanc ar an Sliseán (le haghaidh cumraíochta Inmheánaí)
Uasmhéid 10 ngléas amháin (le IP Flash Ar-Sliseanna)
Splanc QSPI Ginearálta (le haghaidh sonraí úsáideora amháin)
Gach feiste FPGA a dtacaítear leo (le Comhéadan Splanc Sraitheach Cineálach IP FPGA)
Cumraíocht Splanc QSPI (le haghaidh cumraíocht Sraitheach Gníomhach)
Bloc rialaithe bunaithe
gléasanna (le Cineálach
Comhéadan Splanc Sraitheach Intel FPGA IP)(2)
Modhanna Tosaithe Próiseálaí Nios V
Suíomh Am Rith an Fheidhmchláir
Cóipéir Tosaithe
Feidhmchlár próiseálaí Nios V á fhorghníomhú in-áit ó Flash Ar-Sliseanna
Splanc ar an Sliseán (XIP) + OCRAM/ RAM Seachtrach (le haghaidh rannóga sonraí inscríofa)
feidhm alt_load()
Feidhmchlár próiseálaí Nios V cóipeáilte ó Flash On-Chip go RAM ag baint úsáide as cóipeálaí tosaithe
OCRAM/RAM Seachtrach
Athúsáid an Luchtaitheora Tosaithe trí GSFI
Feidhmchlár próiseálaí Nios V a fhorghníomhaítear ar an láthair ó splanc QSPI ilchuspóireach
Splanc QSPI ilchuspóireach (XIP) + OCRAM/ RAM seachtrach (le haghaidh rannóga sonraí inscríofa)
feidhm alt_load()
Feidhmchlár próiseálaí Nios V cóipeáilte ó splanc QSPI ginearálta go RAM ag baint úsáide as cóipeálaí tosaithe
OCRAM/RAM Seachtrach
Luchtóir tosaithe trí GSFI
Feidhmchlár próiseálaí Nios V á fhorghníomhú in-áit ó chumraíocht splanc QSPI
Cumraíocht QSPI flash (XIP) + OCRAM/ RAM seachtrach (le haghaidh rannóga sonraí inscríofa)
feidhm alt_load()
Feidhmchlár próiseálaí Nios V cóipeáilte ó chumraíocht splanc QSPI go RAM ag baint úsáide as cóipeálaí tosaithe
Leanúint ar aghaidh le luchtóir tosaithe OCRAM/RAM Seachtrach trí GSFI…
(2) Féach ar AN 980: Tacaíocht Bogearraí do Phróiseálaí Nios V Quartus Prime le haghaidh liosta na ngléasanna.
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 49
4. Cumraíocht Próiseálaí Nios V agus Réitigh Tosaithe 726952 | 2025.07.16
Cuimhní Tosaithe Tacaithe
Cuimhne ar an sliseanna (OCRAM) Cuimhne atá Cúpláilte go Dlúth (TCM)
Gléas
Gléasanna bunaithe ar SDM (le Cliant Bosca Poist Intel FPGA IP). (2)
Gach gléas Altera FPGA a dtacaítear leis (2)
Gach gléas Altera FPGA a dtacaítear leis(2)
Modhanna Tosaithe Próiseálaí Nios V
Feidhmchlár próiseálaí Nios V cóipeáilte ó chumraíocht splanc QSPI go RAM ag baint úsáide as cóipeálaí tosaithe
Feidhmchlár próiseálaí Nios V á fhorghníomhú in-áit ó OCRAM
Feidhmchlár próiseálaí Nios V á fhorghníomhú in-áit ó TCM
Suíomh Am Rith an Fheidhmchláir
Cóipéir Tosaithe
Luchtóir Tosaithe OCRAM/RAM Seachtrach trí SDM
OCRAM
feidhm alt_load()
Treoir TCM (XIP) Gan aon cheann + Sonraí TCM (le haghaidh rannóga sonraí inscríofa)
Fíor 28. Sreabhadh Tosaithe Próiseálaí Nios V
Athshocraigh
Léimeann an próiseálaí chun veicteoir a athshocrú (tús an chóid tosaithe)
Féadfar cód an fheidhmchláir a chóipeáil chuig suíomh cuimhne eile (ag brath ar na roghanna tosaithe)
Tosaíonn cód tosaithe an próiseálaí
Ag brath ar na roghanna tosaithe, féadfaidh an cód tosaithe luachanna tosaigh le haghaidh sonraí/cód a chóipeáil chuig spás cuimhne eile (alt_load)
Tosaíonn cód tosaithe an cód feidhmchláir agus an spás cuimhne sonraí
Tosaíonn cód tosaithe gach forimeallach córais le tiománaithe HAL (alt_main)
Iontráil chuig an bpríomhlíne
Faisnéis Ghaolmhar · Treoir Úsáideora IP Altera FPGA Comhéadan Sraithuimhir Splancach
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 50
Seol Aiseolas
4. Cumraíocht Próiseálaí Nios V agus Réitigh Tosaithe 726952 | 2025.07.16
· Treoir Úsáideora IP Altera FPGA Cliant Poist · Tacaíocht Bogearraí Quartus Prime do Phróiseálaí Nios V AN 980
4.4. Réamhrá ar Mhodhanna Tosaithe Próiseálaí Nios V
Éilíonn córais phróiseálaí Nios V go gcumrófar na híomhánna bogearraí i gcuimhne an chórais sula bhféadann an próiseálaí tosú ag forghníomhú an chláir fheidhmchláir. Féach ar na Rannóga Nascóra le haghaidh na rannóga nascóra réamhshocraithe.
Gineann an tEagarthóir BSP script nascóra a chomhlíonann na feidhmeanna seo a leanas: · Cinntíonn sé go bhfuil bogearraí an phróiseálaí nasctha de réir shocruithe an nascóra
den eagarthóir BSP agus cinneann sé cá bhfuil an bogearra sa chuimhne. · Suíonn sé réigiún cód an phróiseálaí sa chomhpháirt chuimhne de réir an
comhpháirteanna cuimhne sannta.
Déantar cur síos gairid sa chuid seo a leanas ar na modhanna tosaithe próiseálaí Nios V atá ar fáil.
4.4.1. Feidhmchlár Próiseálaí Nios V a Fhorghníomhú in Áit ón Splanc Tosaithe
Dhear Altera na rialtóirí splanc sa chaoi is go mbeadh an spás seoltaí splanc tosaithe inrochtana láithreach ag an bpróiseálaí Nios V nuair a athshocraítear an córas, gan gá an rialtóir cuimhne ná na gléasanna cuimhne a thosú. Cuireann sé seo ar chumas an phróiseálaí Nios V cód feidhmchláir atá stóráilte ar na gléasanna tosaithe a fhorghníomhú go díreach gan cóipeálaí tosaithe a úsáid chun an cód a chóipeáil chuig cineál cuimhne eile. Is iad na rialtóirí splanc: · Splanc Ar-Sliseanna le IP Splanc Ar-Sliseanna (i bhfeiste MAX® 10 amháin) · Splanc QSPI ilchuspóireach le IP Chomhéadain Splanc Sraitheach Cineálach · Splanc QSPI cumraíochta le IP Chomhéadain Splanc Sraitheach Cineálach (seachas MAX 10
gairis)
Nuair a fhorghníomhaítear feidhmchlár próiseálaí Nios V ón flash tosaithe, déanann an tEagarthóir BSP na feidhmeanna seo a leanas: · Socraíonn sé na codanna nascóra .text chuig réigiún na cuimhne flash tosaithe. · Socraíonn sé na codanna nascóra .bss, .rodata, .rwdata, .stack agus .heap chuig an RAM
réigiún cuimhne. Ní mór duit an fheidhm alt_load() a chumasú sna Socruithe BSP chun na rannóga sonraí (.rodata, .rwdata,, .exceptions) a chóipeáil chuig an RAM nuair a athshocraítear an córas. Fanann an rannóg cóid (.text) i réigiún na cuimhne flash tosaithe.
Faisnéis Ghaolmhar · Treoir Úsáideora um Chomhéadan Splanc Sraitheach Cineálach Altera FPGA IP · Treoir Úsáideora um Chuimhne Splanc Altera MAX 10
4.4.1.1. alt_load()
Is féidir leat an fheidhm alt_load() a chumasú sa chód HAL ag baint úsáide as an Eagarthóir BSP.
Nuair a úsáidtear é sa sreabhadh tosaithe forghníomhaithe-in-áit, déanann an fheidhm alt_load() na tascanna seo a leanas:
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 51
4. Cumraíocht Próiseálaí Nios V agus Réitigh Tosaithe 726952 | 2025.07.16
· Oibríonn sé mar chóipeálaí tosaithe beag a chóipeálann na codanna cuimhne chuig RAM bunaithe ar shocruithe BSP.
· Cóipeálann sé rannóga sonraí (.rodata, .rwdata, .exceptions) chuig RAM ach ní na rannóga cóid (.text). Is rannóg inléite amháin í an rannóg cóid (.text) agus fanann sí i réigiún na cuimhne flash tosaithe. Cuidíonn an deighilt seo le húsáid RAM a íoslaghdú ach féadfaidh sí feidhmíocht fhorghníomhaithe an chóid a theorannú toisc go bhfuil rochtain ar chuimhne flash níos moille ná rochtain ar an RAM ar an sliseanna.
Liostaítear socruithe agus feidhmeanna Eagarthóir BSP sa tábla seo a leanas:
Tábla 31. Socruithe Eagarthóra BSP
Socrú Eagarthóra BSP hal.linker.enable_alt_load hal.linker.enable_alt_load_copy_rodata hal.linker.enable_alt_load_copy_rwdata hal.linker.enable_alt_load_copy_exceptions
Feidhm Cumasaíonn sé an fheidhm alt_load(). Cóipeálann alt_load() an chuid .rodata go RAM. Cóipeálann alt_load() an chuid .rwdata go RAM. Cóipeálann alt_load() an chuid .exceptions go RAM.
4.4.2. Feidhmchlár Próiseálaí Nios V Cóipeáilte ó Boot Flash go RAM ag baint úsáide as Boot Copier
Áirítear leis an bpróiseálaí Nios V agus leis an HAL cóipeálaí tosaithe a sholáthraíonn feidhmiúlacht leordhóthanach do fhormhór na bhfeidhmchlár próiseálaí Nios V agus atá áisiúil a chur i bhfeidhm leis an sreabhadh forbartha bogearraí Nios V.
Nuair a úsáideann an feidhmchlár cóipeálaí tosaithe, socraíonn sé gach cuid den nascóir (.text, .heap, .rwdata, .rodata, .bss, .stack) chuig RAM inmheánach nó seachtrach. Cuidíonn úsáid an chóipeálaí tosaithe chun feidhmchlár próiseálaí Nios V a chóipeáil ón flash tosaithe chuig an RAM inmheánach nó seachtrach le haghaidh forghníomhaithe le feidhmíocht an fhorghníomhaithe a fheabhsú.
Maidir leis an rogha tosaithe seo, tosaíonn próiseálaí Nios V ag cur na bogearraí cóipeála tosaithe i ngníomh nuair a athshocraítear an córas. Cóipeálann na bogearraí an feidhmchlár ón flash tosaithe chuig an RAM inmheánach nó seachtrach. Nuair a bheidh an próiseas críochnaithe, aistríonn próiseálaí Nios V smacht an chláir chuig an bhfeidhmchlár.
Nóta:
Mura bhfuil an cóipeálaí tosaithe i flash, ní gá glaoch ar an fheidhm alt_load() mar go bhfuil an cuspóir céanna ag an dá cheann.
4.4.2.1. Luchtóir Tosaithe Próiseálaí Nios V trí Chomhéadan Splanc Sraitheach Cineálach
Is é an Bootloader via GSFI an cóipeálaí tosaithe próiseálaí Nios V a thacaíonn le cuimhne splanc QSPI i bhfeistí bunaithe ar bhloc rialaithe. Áirítear leis an Bootloader via GSFI na gnéithe seo a leanas:
· Aimsíonn sé an feidhmchlár bogearraí i gcuimhne neamh-luaineach.
· Díphacáiltear agus cóipeáiltear íomhá an fheidhmchláir bogearraí chuig an RAM.
· Athraíonn sé go huathoibríoch forghníomhú an phróiseálaí go cód feidhmchláir sa RAM tar éis an chóip a bheith críochnaithe.
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 52
Seol Aiseolas
4. Cumraíocht Próiseálaí Nios V agus Réitigh Tosaithe 726952 | 2025.07.16
Tá an íomhá tosaithe suite díreach i ndiaidh an chóipeálaí tosaithe. Ní mór duit a chinntiú go bhfuil fritháireamh athshocraithe an phróiseálaí Nios V ag pointeáil chuig tús an chóipeálaí tosaithe. Taispeánann an Fíor: Léarscáil Cuimhne do Splanc QSPI le Luchtaitheoir Tosaithe trí léarscáil chuimhne GSFI do Splanc QSPI le Luchtaitheoir Tosaithe trí GSFI an léarscáil cuimhne flash do splanc QSPI agus cóipeálaí tosaithe á úsáid. Glacann an léarscáil chuimhne seo leis go stórálann an chuimhne flash an íomhá FPGA agus na bogearraí feidhmchláir.
Tábla 32. Tosaitheoir trí GSFI do Chroílár Próiseálaí Nios V
Croílár Próiseálaí Nios V
Próiseálaí Nios V/m
Luchtóir tosaithe trí GSFI File Suíomh
/niosv/components/bootloader/ niosv_m_bootloader.srec
Próiseálaí Nios V/g
/niosv/components/bootloader/ niosv_g_bootloader.srec
Fíor 29. Léarscáil Cuimhne do QSPI Flash le Bootloader trí GSFI
Sonraí Custaiméirí (*.hex)
Cód Iarratais
Nóta:
Athshocraigh an Fritháireamh Veicteoir
Cóipéir Tosaithe
0x01E00000
Íomhá FPGA (*.sof)
0x00000000
1. Ag tús an léarscáil chuimhne tá íomhá an FPGA agus ina diaidh sin tá do shonraí, ina bhfuil an cóipeálaí tosaithe agus cód an fheidhmchláir.
2. Ní mór duit an fhritháireamh athshocraithe próiseálaí Nios V a shocrú i nDearthóir Ardáin agus é a dhíriú chuig tús an chóipeálaí tosaithe.
3. Níl méid íomhá FPGA ar eolas. Ní féidir leat an méid cruinn a fháil amach ach amháin tar éis tiomsú thionscadal Quartus Prime. Ní mór duit uasteorainn a chinneadh do mhéid íomhá FPGA Altera. Mar shamplaampi.e., má mheastar go bhfuil méid íomhá FPGA níos lú ná 0x01E00000, socraigh an Athshocraigh Fritháireamh go 0x01E00000 i nDearthóir Ardáin, arb é tús an chóipeálaí tosaithe é freisin.
4. Is éard atá i gcleachtas dea-dheartha ná an fritháireamh veicteora athshocraithe a shocrú ag teorainn earnála splanc chun a chinntiú nach dtarlaíonn scriosadh páirteach ar íomhá FPGA i gcás go ndéantar an feidhmchlár bogearraí a nuashonrú.
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 53
4. Cumraíocht Próiseálaí Nios V agus Réitigh Tosaithe 726952 | 2025.07.16
4.4.2.2. Luchtóir Tosaithe Próiseálaí Nios V trí Bhainisteoir Gléasanna Slána
Is cód feidhmchláir HAL é an Bootloader via Secure Device Manager (SDM) a úsáideann tiománaí HAL IP FPGA Mailbox Client Altera FPGA chun próiseálaí a thosú. Molann Altera an feidhmchlár tosaitheora seo agus an splanc chumraíochta QSPI in úsáid i bhfeistí bunaithe ar SDM chun próiseálaí Nios V a thosú.
Nuair a athshocraítear an córas, déanann próiseálaí Nios V an Bootloader a thosú ar dtús trí SDM ó chuimhne bheag ar an sliseanna agus cuireann sé an Bootloader i gcrích trí SDM chun cumarsáid a dhéanamh leis an splanc chumraíochta QSPI ag baint úsáide as IP an Chliaint Bosca Poist.
Déanann an Bootloader tríd an SDM na tascanna seo a leanas: · Aimsigh sé bogearraí Nios V sa splanc chumraíochta QSPI. · Cóipeálann sé bogearraí Nios V isteach sa RAM ar an tslis nó sa RAM seachtrach. · Athraíonn sé forghníomhú an phróiseálaí chuig bogearraí Nios V laistigh den RAM ar an tslis nó
RAM seachtrach.
Nuair a bheidh an próiseas críochnaithe, aistríonn an Bootloader trí SDM smacht an chláir chuig an bhfeidhmchlár úsáideora. Molann Altera eagrú na cuimhne mar atá leagtha amach in Eagrú Cuimhne do Bootloader trí SDM.
Fíor 30. Tosaitheoir trí Shreabhadh Próisis SDM
Cumraíocht
Flash
2
Bogearraí Nios V
SDM
Gléas FPGA Bunaithe ar SDM
IP Cliant Bosca Poist
Loighic FPGA Nios V
4 RAM Seachtrach
Bogearraí Nios V
Ar-Sliseanna 4
EMIF
RAM
Cuimhne Ar-Sliseanna
IP
Nios V
1
Bogearraí
Tosaitheoir trí SDM
3
3
1. Ritheann próiseálaí Nios V an Bootloader trí SDM ón gcuimhne ar an sliseanna.
2. Cumarsáideann an tosaitheoir tríd an SDM leis an flash cumraíochta agus aimsíonn sé bogearraí Nios V.
3. Cóipeálann an tosaitheoir tríd an SDM bogearraí Nios V ón Splanc Cumraíochta isteach i RAM ar an sliseanna / RAM seachtrach.
4. Aistríonn an tosaitheoir trí SDM forghníomhú an phróiseálaí Nios V chuig bogearraí Nios V sa RAM ar an sliseanna / RAM seachtrach.
4.4.3. Feidhmchlár Próiseálaí Nios V a Fhorghníomhú in Áit ó OCRAM
Sa mhodh seo, socraítear seoladh athshocraithe an phróiseálaí Nios V go dtí an seoladh bonn den chuimhne ar an sliseanna (OCRAM). An dénártha feidhmchláir (.hex) file luchtaítear isteach san OCRAM nuair a chumraítear an FPGA, tar éis dearadh na crua-earraí a thiomsú i mbogearraí Quartus Prime. Nuair a athshocraítear próiseálaí Nios V, tosaíonn an feidhmchlár ag feidhmiú agus brainseálann sé go dtí an pointe iontrála.
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 54
Seol Aiseolas
4. Cumraíocht Próiseálaí Nios V agus Réitigh Tosaithe 726952 | 2025.07.16
Nóta:
· Ní gá cóipeálaí tosaithe a úsáid chun Forghníomhú-In-Place ó OCRAM mar go bhfuil feidhmchlár próiseálaí Nios V i bhfeidhm cheana féin nuair a bhí an córas á athshocrú.
· Molann Altera alt_load() a chumasú don mhodh tosaithe seo ionas go n-iompraíonn an bogearra leabaithe ar an mbealach céanna nuair a athshocraítear é gan íomhá an ghléis FPGA a athchumrú.
· Ní mór duit an fheidhm alt_load() a chumasú i Socruithe BSP chun an chuid .rwdata a chóipeáil nuair a athshocraítear an córas. Sa mhodh seo, stóráiltear na luachanna tosaigh le haghaidh athróg tosaithe ar leithligh ó na hathróga comhfhreagracha chun róscríobh agus an clár á fhorghníomhú a sheachaint.
4.4.4. Feidhmchlár Próiseálaí Nios V a Fhorghníomhaítear in Áit ó TCM
Socraíonn an modh forghníomhaigh-in-áit seoladh athshocraithe an phróiseálaí Nios V go dtí an seoladh bonn den chuimhne cúpláilte go docht (TCM). An dénártha feidhmchláir (.hex) file luchtaítear isteach sa TCM é nuair a chumraíonn tú an FPGA tar éis duit an dearadh crua-earraí a thiomsú i mbogearraí Quartus Prime. Nuair a athshocraítear próiseálaí Nios V, tosaíonn an feidhmchlár ag feidhmiú agus brainseálann sé go dtí an pointe iontrála.
Nóta:
Ní gá cóipeálaí tosaithe a úsáid chun forghníomhú in áit ó TCM toisc go bhfuil feidhmchlár próiseálaí Nios V i bhfeidhm cheana féin nuair a bhí an córas á athshocrú.
4.5. Tosaithe Próiseálaí Nios V ó Flash Ar-Sliseanna (UFM)
Tá próiseálaí Nios V in ann bogearraí a thosú agus a fhorghníomhú ó splanc ar an sliseanna (UFM) ar fáil i bhfeistí FPGA MAX 10. Tacaíonn próiseálaí Nios V leis an dá rogha tosaithe seo a leanas ag baint úsáide as Splanc Ar an Sliseán faoi mhodh Cumraíochta Inmheánaí:
· Ritheann feidhmchlár próiseálaí Nios V ar an láthair ó Flash Ar-Chip.
· Déantar feidhmchlár próiseálaí Nios V a chóipeáil ón Splanc Ar-Sliseanna go dtí an RAM ag baint úsáide as an gcóipeálaí tosaithe.
Tábla 33. Cuimhní Flash a dtacaítear leo le Roghanna Tosaithe faoi seach
Cuimhní Tosaithe Tacaithe
Modhanna Tosaithe Nios V
Suíomh Am Rith an Fheidhmchláir
Cóipéir Tosaithe
Uasmhéid 10 ngléas amháin (le OnChip Flash IP)
Feidhmchlár próiseálaí Nios V á fhorghníomhú in-áit ó Flash Ar-Sliseanna
Feidhmchlár próiseálaí Nios V cóipeáilte ó Flash On-Chip go RAM ag baint úsáide as cóipeálaí tosaithe
Splanc ar an Sliseán (XIP) + OCRAM/ RAM Seachtrach (le haghaidh rannóga sonraí inscríofa)
feidhm alt_load()
OCRAM/ RAM Seachtrach
Athúsáid an Luchtaitheora Tosaithe trí GSFI
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 55
4. Cumraíocht Próiseálaí Nios V agus Réitigh Tosaithe 726952 | 2025.07.16
Fíor 31 .
Dearadh, Cumraíocht, agus Sreabhadh Tosaithe
Dearadh · Cruthaigh do thionscadal bunaithe ar Phróiseálaí Nios V ag baint úsáide as Dearthóir Ardáin. · Cinntigh go bhfuil RAM seachtrach nó RAM ar an sliseanna i ndearadh an chórais.
Cumraíocht agus Tiomsú FPGA
· Socraigh an modh cumraíochta inmheánach céanna in On-chip Flash IP i mbogearra Platform Designer agus Quartus Prime. · Socraigh gníomhaire athshocraithe próiseálaí Nios V go On-chip Flash. · Roghnaigh do mhodh tosaithe UFM is fearr leat. · Gin do dhearadh i Platform Designer. · Tiomsaigh do thionscadal i mbogearraí Quartus Prime.
Tionscadal BSP Feidhmchláir Úsáideora · Cruthaigh HAL BSP próiseálaí Nios V bunaithe ar .sopcinfo file cruthaithe ag Dearthóir Ardáin. · Socruithe BSP próiseálaí Nios V agus Script Nascóra a chur in eagar in Eagarthóir BSP. · Tionscadal BSP a ghiniúint.
Tionscadal Feidhmchláir Úsáideora · Cód feidhmchláir próiseálaí Nios V a fhorbairt. · Feidhmchlár próiseálaí Nios V a thiomsú agus feidhmchlár próiseálaí Nios V (.hex) a ghiniúint file· Athchóimeáil do thionscadal i mbogearraí Quartus Prime má roghnaíonn tú an rogha Tosaigh ábhar cuimhne in IP Flash Ar-Sliseanna Intel FPGA.
Ríomhchlárú FileComhshó, Íoslódáil agus Rith · Gin an .pof Flash Ar-Sliseanna file ag baint úsáide as Cláir Tiontaigh Filegné i mbogearraí Quartus Prime.
· Cláraigh an .pof file isteach i do ghléas MAX 10. · Athchóirigh do chrua-earraí.
4.5.1. Cur Síos ar an Splanc-Phlana FPGA UASMHÉAD 10
Tá splanc ar an sliseanna i bhfeistí FPGA MAX 10 atá roinnte ina dhá chuid: · Cuimhne Splanc Cumraíochta (CFM) — stórálann sé na sonraí cumraíochta crua-earraí le haghaidh
Uasmhéid 10 FPGA. · Cuimhne Splanc Úsáideora (UFM) — stórálann sé sonraí an úsáideora nó feidhmchláir bhogearraí.
Is meascán de IPanna boga agus crua ailtireacht UFM an fheiste MAX 10. Ní féidir leat rochtain a fháil ar an UFM ach amháin trí úsáid a bhaint as an gCroí-IP Flash On-Chip i mbogearraí Quartus Prime.
Tacaíonn croílár IP Flash ar an sliseanna leis na gnéithe seo a leanas: · Rochtain léite nó scríofa ar earnálacha UFM agus CFM (más cumasaithe sa Dearthóir Ardáin).
ag baint úsáide as comhéadan sclábhaí sonraí agus rialaithe Avalon MM. · Tacaíonn sé le scriosadh leathanaigh, scriosadh earnála agus scríobh earnála. · Samhail insamhalta le haghaidh rochtana léite/scríofa UFM ag baint úsáide as uirlisí insamhalta EDA éagsúla.
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 56
Seol Aiseolas
4. Cumraíocht Próiseálaí Nios V agus Réitigh Tosaithe 726952 | 2025.07.16
Tábla 34. Réigiúin Splanc ar an Sliseán i MAX 10 Gléas FPGA
Réigiúin Flash
Feidhmiúlacht
Cumraíocht Cuimhne Flash (earnálacha CFM0-2)
Cumraíocht FPGA file stórála
Cuimhne Flash Úsáideora (earnálacha UFM0-1)
Feidhmchlár próiseálaí Nios V agus sonraí úsáideora
Tacaíonn gléasanna FPGA MAX 10 le roinnt modhanna cumraíochta agus ceadaíonn cuid de na modhanna seo CFM1 agus CFM2 a úsáid mar réigiún UFM breise. Taispeánann an tábla seo a leanas suíomh stórála íomhánna cumraíochta FPGA bunaithe ar mhodhanna cumraíochta FPGA MAX 10.
Tábla 35. Suíomh Stórála Íomhánna Cumraíochta FPGA
Mód Cumraíochta Íomhánna comhbhrúite déacha
Íomhá Chomhbhrúite CFM2 2
CFM1
Íomhá Chomhbhrúite CFM0 1
Íomhá neamh-chomhbhrúite aonair
UFM Fíorúil
Íomhá neamhchomhbhrúite
Íomhá neamh-chomhbhrúite aonair le Túsú Cuimhne
Íomhá neamh-chomhbhrúite (le hábhar cuimhne réamh-thosaithe ar an sliseanna)
Íomhá chomhbhrúite aonair le Tosú Cuimhne Íomhá chomhbhrúite (le hábhar cuimhne réamhthosaithe ar an sliseanna)
Íomhá comhbhrúite aonair
UFM Fíorúil
Íomhá Chomhbhrúite
Ní mór duit croílár IP Flash On-chip a úsáid chun rochtain a fháil ar an gcuimhne flash in MAX 10 FPGA. Is féidir leat an IP Flash On-chip a chruthú agus a nascadh leis an mbogearra Quartus Prime. Úsáideann próiseálaí croí bog Nios V na hidirnaisc Platform Designer chun cumarsáid a dhéanamh leis an IP Flash On-chip.
Fíor 32. Nasc idir IP Flash Ar an sliseanna agus Próiseálaí Nios V
Nóta:
Cinntigh go bhfuil an port csr Flash Ar-slis ceangailte le data_manager próiseálaí Nios V chun go mbeidh an próiseálaí in ann oibríochtaí scríobh agus scriosta a rialú.
Is féidir leis an gcroí-lárnach IP Flash Ar-slise rochtain a sholáthar ar chúig earnáil splanc – UFM0, UFM1, CFM0, CFM1, agus CFM2.
Faisnéis thábhachtach faoi na hearnálacha UFM agus CFM.: · Tá earnálacha CFM beartaithe le haghaidh stóráil sonraí cumraíochta (sruth giotán) (*.pof).
· Is féidir sonraí úsáideora a stóráil sna hearnálacha UFM agus féadfar iad a cheilt, má roghnaítear na socruithe cearta san uirlis Dearthóra Ardáin.
· Níl earnáil UFM1 ag feistí áirithe. Is féidir leat tagairt a dhéanamh don tábla: Méid Earnála UFM agus CFM le haghaidh na n-earnálacha atá ar fáil i ngach feiste MAX 10 FPGA aonair.
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 57
4. Cumraíocht Próiseálaí Nios V agus Réitigh Tosaithe 726952 | 2025.07.16
· Is féidir leat CFM2 a chumrú mar UFM fíorúil tríd an modh cumraíochta Íomhá Neamh-chomhbhrúite Aonair a roghnú.
· Is féidir leat CFM2 agus CFM1 a chumrú mar UFM fíorúil tríd an modh cumraíochta Íomhá Neamhchomhbhrúite Aonair a roghnú.
· Athraíonn méid gach earnála leis na gléasanna MAX 10 FPGA a roghnaítear.
Tábla 36 .
Méid na hEarnála UFM agus CFM
Liostaítear toisí na n-eagair UFM agus CFM sa tábla seo.
Gléas
Leathanaigh in aghaidh na hEarnála
UFM1 UFM0 CFM2 CFM1 CFM0
Méid Leathanaigh (Kbit)
Úsáideoir uasta
Méid Cuimhne Flash (Kbit) (3)
Méid Iomlán na Cuimhne Cumraíochta (Kbit)
10M02 3
3
0
0
34 16
96
544
10M04 0
8
41 29 70 16
1248
2240
10M08 8
8
41 29 70 16
1376
2240
10M16 4
4
38 28 66 32
2368
4224
10M25 4
4
52 40 92 32
3200
5888
10M40 4
4
48 36 84 64
5888
10752
10M50 4
4
48 36 84 64
5888
10752
Méid OCRAM (Kbit)
108 189 378 549 675 1260 1638
Faisnéis Ghaolmhar · Treoir Úsáideora Cumraíochta FPGA MAX 10 · Treoir Úsáideora Cuimhne Flash Úsáideora Altera MAX 10
4.5.2. Feidhmchlár Próiseálaí Nios V a Fhorghníomhaítear in Áit ó UFM
Tá an réiteach Execute-In-Place from UFM oiriúnach d'fheidhmchláir phróiseálaí Nios V a bhfuil úsáid theoranta cuimhne ar an sliseanna ag teastáil uathu. Feidhmíonn an fheidhm alt_load() mar chóipeálaí tosaithe beag a chóipeálann na codanna sonraí (.rodata, .rwdata, nó .exceptions) ón gcuimhne tosaithe go RAM bunaithe ar shocruithe BSP. An chuid cóid (.text),
ar cuid léite amháin í, fanann sí i réigiún cuimhne flash ar an sliseanna MAX 10. Laghdaíonn an socrú seo úsáid an RAM ach féadfaidh sé feidhmíocht fhorghníomhaithe an chóid a theorannú toisc go bhfuil rochtain ar an gcuimhne flash níos moille ná an RAM ar an sliseanna.
Tá feidhmchlár an phróiseálaí Nios V cláraithe isteach san earnáil UFM. Díríonn veicteoir athshocraithe an phróiseálaí Nios V ar sheoladh bonn an UFM chun cód a fhorghníomhú ón UFM tar éis don chóras athshocrú.
Má tá an dífhabhtóir ar leibhéal an fhoinse á úsáid agat chun dífhabhtú a dhéanamh ar d’fheidhmchlár, ní mór duit pointe briste crua-earraí a úsáid. Tá sé seo amhlaidh toisc nach dtacaíonn an UFM le rochtain randamach cuimhne, rud atá riachtanach le haghaidh dífhabhtú bog pointe briste.
Nóta:
Ní féidir leat UFM a scriosadh ná a scríobh agus tú ag déanamh forghníomhaithe-in-áit sa MAX 10. Athraigh go cur chuige cóipeála tosaithe más gá duit an UFM a scriosadh nó a scríobh.
(3) An luach uasta is féidir, atá ag brath ar an modh cumraíochta a roghnaíonn tú.
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 58
Seol Aiseolas
4. Cumraíocht Próiseálaí Nios V agus Réitigh Tosaithe 726952 | 2025.07.16
Fíor 33. Feidhmchlár Próiseálaí Nios V XIP ó UFM
Uasmhéid 10 ngléas
.POF
Crua-earraí Nios V .SOF
Bogearraí Nios V .HEX
Ríomhchláraitheoir Quartus
Splanc Ar-Sliseanna
CFM
Crua-earraí Nios V
UFM
Bogearraí Nios V
Cumraíocht Inmheánach
IP Flash Ar-Sliseanna
Loighic FPGA
Próiseálaí Nios V
RAM Ar an Sliseanna
Seachtrach
RAM
EMIF
IP
4.5.2.1. Sreabhadh Dearaidh Crua-earraí
Déantar cur síos sa chuid seo a leanas ar mhodh céim ar chéim chun córas tosaithe a thógáil d'fheidhmchlár próiseálaí Nios V ó On-Chip Flash.ampTá an leathanach thíos tógtha ag baint úsáide as gléas MAX 10.
Socruithe Comhpháirte IP
1. Cruthaigh do thionscadal próiseálaí Nios V ag baint úsáide as Quartus Prime agus Platform Designer. 2. Cinntigh go bhfuil RAM seachtrach nó Cuimhne Ar-Sliseanna (OCRAM) curtha le d'Ardán.
Córas dearthóra.
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 59
4. Cumraíocht Próiseálaí Nios V agus Réitigh Tosaithe 726952 | 2025.07.16
Fíor 34. ExampNaisc IP i nDearthóir Ardáin le haghaidh Nios V a Thosú ó OnChip Flash (UFM)
3. San eagarthóir paraiméadar IP Splanc Ar-Sliseanna, socraigh an Mód Cumraíochta go ceann amháin díobh seo a leanas, de réir do rogha dearaidh: · Íomhá Neamh-chomhbhrúite Aonair · Íomhá Chomhbhrúite Aonair · Íomhá Neamh-chomhbhrúite Aonair le Túsú Cuimhne · Íomhá Chomhbhrúite Aonair le Túsú Cuimhne
Le haghaidh tuilleadh eolais faoi Íomhánna Comhbhrúite Déacha, féach ar an Treoir Úsáideora Cumraíochta MAX 10 FPGA – Uasghrádú Córais Chianda.
Nóta:
Ní mór duit Rochtain Fholaithe a shannadh do gach réigiún CFM sa Seoladh IP Splanc Ar-Sliseanna.
Fíor 35. Roghnú Mód Cumraíochta in Eagarthóir Paraiméadar Splanc Ar-Sliseanna
Socruithe IP Flash Ar an Sliseán – Túsú UFM Is féidir leat ceann de na modhanna seo a leanas a roghnú de réir do rogha féin:
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 60
Seol Aiseolas
4. Cumraíocht Próiseálaí Nios V agus Réitigh Tosaithe 726952 | 2025.07.16
Nóta:
Braitheann na céimeanna sna fo-chaibidlí ina dhiaidh sin (Sreabhadh Dearaidh Bogearraí agus Clársceidealú) ar an rogha a dhéanann tú anseo.
· Modh 1: Tosaigh sonraí an UFM sa SOF le linn an tiomsaithe
Cuireann Quartus Prime sonraí tosaithe an UFM san áireamh sa SOF le linn an tiomsaithe. Tá aththiomsú an SOF ag teastáil má bhíonn athruithe sna sonraí UFM.
1. Seiceáil Tosaigh ábhar flash agus Cumasaigh túsú neamh-réamhshocraithe file.
Fíor 36. Túsú Ábhar Flash agus Cumasú Túsú Neamh-réamhshocraithe File
2. Sonraigh cosán an chomhaid .hex a ghintear file (ón ordú elf2hex) sa heics nó sa mif cruthaithe ag an úsáideoir file.
Fíor 37. An .hex á chur leis File Conair
· Modh 2: Comhcheangail sonraí UFM le SOF tiomsaithe le linn giniúint POF
Cuirtear sonraí UFM le chéile leis an SOF tiomsaithe agus cláir á gcomhshó files. Ní gá duit an SOF a aththiomsú, fiú má athraíonn sonraí an UFM. Le linn na forbartha, ní gá duit an SOF a aththiomsú. filele haghaidh athruithe san fheidhmchlár. Molann Alterare an modh seo do fhorbróirí feidhmchlár.
1. Díthiceáil Tosaigh ábhar flash..
Fíor 38. Túsú Ábhar Flash le Túsú Neamh-réamhshocraithe File
Athshocraigh Socruithe Gníomhaire don Mhodh Forghníomhaithe In-Áit Próiseálaí Nios V
1. In eagarthóir paraiméadar próiseálaí Nios V, socraigh an Gníomhaire Athshocraithe go Splanc Ar-Sliseanna.
Fíor 39. Socruithe Eagarthóra Paraiméadair Próiseálaí Nios V leis an nGníomhaire Athshocraithe Socraithe go Flash Ar-Sliseanna
2. Cliceáil Gin HDL nuair a thaispeántar an bosca dialóige Giniúint. 3. Sonraigh an t-aschur file roghanna giniúna agus cliceáil Gin.
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 61
4. Cumraíocht Próiseálaí Nios V agus Réitigh Tosaithe 726952 | 2025.07.16
Quartus Prime Software Settings 1. In the Quartus Prime software, click Assignments Device Device and Pin
Options Configuration. Set the Configuration mode according to the setting in On-Chip Flash IP. Figure 40. Configuration Mode Selection in Quartus Prime Software
2. Cliceáil OK chun an fhuinneog Roghanna Gléas agus Bioráin a fhágáil,
3. Cliceáil Ceart go leor chun an fhuinneog Gléas a fhágáil.
4. Click Processing Start Compilation to compile your project and generate the .sof file.
Nóta:
Má tá an modh cumraíochta socraithe i mbogearraí Quartus Prime agus in eagarthóir paraiméadar an Dearthóra Ardáin difriúil, teipeann ar thionscadal Quartus Prime agus an teachtaireacht earráide seo a leanas á taispeáint.
Fíor 41 .
Teachtaireacht Earráide maidir le Socrú Mód Cumraíochta Difriúil Earráid (14740): Ní hionann an modh cumraíochta ar an adamh “q_sys:q_sys_inst| altera_onchip_flash:onchip_flash_1|altera_onchip_flash_block: altera_onchip_flash_block|ufm_block” agus an socrú tionscadail. Nuashonraigh agus athghin an córas Qsys chun é a mheaitseáil leis an socrú tionscadail.
Faisnéis Ghaolmhar Treoir Úsáideora Cumraíochta MAX 10 FPGA
4.5.2.2. Sreabhadh Dearaidh Bogearraí
Soláthraíonn an chuid seo an sreabhadh dearaidh chun tionscadal bogearraí próiseálaí Nios V a ghiniúint agus a thógáil. Chun sreabhadh tógála sruthlínithe a chinntiú, moltar duit crann eolaire den chineál céanna a chruthú i do thionscadal dearaidh. Tá an sreabhadh dearaidh bogearraí seo a leanas bunaithe ar an gcrann eolaire seo.
Chun crann eolaire an tionscadail bogearraí a chruthú, lean na céimeanna seo: 1. I do fhillteán tionscadail dearaidh, cruthaigh fillteán darb ainm bogearraí. 2. Sa fhillteán bogearraí, cruthaigh dhá fhillteán darb ainm hal_app agus hal_bsp.
Fíor 42. Crann Eolaire Tionscadail Bogearraí
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 62
Seol Aiseolas
4. Cumraíocht Próiseálaí Nios V agus Réitigh Tosaithe 726952 | 2025.07.16
Ag cruthú an tionscadail BSP iarratais
Chun an Eagarthóir BSP a sheoladh, lean na céimeanna seo: 1. Cuir isteach an Nios V Command Shell. 2. Glaoigh ar an Eagarthóir BSP leis an ordú niosv-bsp-editor. 3. San Eagarthóir BSP, cliceáil File BSP nua chun do thionscadal BSP a thosú. 4. Cumraigh na socruithe seo a leanas:
· Faisnéis SOPC File ainm: Cuir an SOPCINFO ar fáil file (.sopcinfo). · Ainm LAP: Roghnaigh próiseálaí Nios V. · Córas oibriúcháin: Roghnaigh córas oibriúcháin an phróiseálaí Nios V. · Leagan: Fág mar réamhshocrú. · Eolaire sprice BSP: Roghnaigh cosán eolaire an tionscadail BSP. Is féidir leat
réamhshocraigh é ag /software/hal_bsp trí Úsáid suíomhanna réamhshocraithe a chumasú. · Socruithe BSP File ainm: Clóscríobh ainm na Socruithe BSP File. · Scripteanna Tcl breise: Cuir script BSP Tcl ar fáil trí Script Tcl breise a chumasú. 5. Cliceáil OK.
Fíor 43. Cumraigh BSP Nua
Cumrú an Eagarthóra BSP agus Giniúint an Tionscadail BSP
You can define the processor’s exception vector either in On-Chip Memory (OCRAM) or On-Chip Flash based on your design preference. Setting the exception vector memory to OCRAM/External RAM is recommended to make the interrupt processing faster. 1. Go to Main Settings Advanced hal.linker. 2. If you select On-Chip Flash as exception vector,
a. Cumasaigh na socruithe seo a leanas:
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 63
4. Cumraíocht Próiseálaí Nios V agus Réitigh Tosaithe 726952 | 2025.07.16
· allow_code_at_reset · enable_alt_load · enable_alt_load_copy_rodata · enable_alt_load_copy_rwdata Fíor 44. Socruithe Advanced.hal.linker
b. Cliceáil ar an táb Script Nascóra san Eagarthóir BSP. c. Socraigh na réigiúin .exceptions agus .text in Ainm na Roinne Nascóra go
Splanc Ar-Sliseanna. d. Socraigh an chuid eile de na réigiúin sa liosta Ainm na Rannóige Nascóra go dtí an Splanc Ar-Sliseanna
Cuimhne (OCRAM) nó RAM seachtrach.
Fíor 45. Socruithe Réigiún Nascóra (Cuimhne Veicteoir Eisceachtúil: Splanc Ar-Sliseanna)
3. Má roghnaíonn tú OCRAM/RAM Seachtrach mar veicteoir eisceachta, a. Cumasaigh na socruithe seo a leanas: · allow_code_at_reset · enable_alt_load · enable_alt_load_copy_rodata · enable_alt_load_copy_rwdata · enable_alt_load_copy_exception
Fíor 46. Socruithe Réigiún Nascóra (Cuimhne Veicteoir Eisceachtúil: OCRAM/RAM Seachtrach)
b. Cliceáil ar an táb Script Nascóra san Eagarthóir BSP.
c. Socraigh na réigiúin .text in Ainm na Roinne Nascóra go Splanc Ar-Sliseanna.
d. Socraigh an chuid eile de na réigiúin sa liosta Ainm na Rannóige Nascóra go dtí an Cuimhne Ar an Sliseanna (OCRAM) nó RAM seachtrach.
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 64
Seol Aiseolas
4. Cumraíocht Próiseálaí Nios V agus Réitigh Tosaithe 726952 | 2025.07.16
Fíor 47. Socruithe Réigiún Nascóra (Cuimhne Veicteoir Eisceachtúil: OCRAM)
4. Cliceáil ar Giniúint chun an tionscadal BSP a ghiniúint. Ag Giniúint an Tionscadail Feidhmchláir Úsáideora File 1. Téigh go dtí an fillteán software/hal_app agus cruthaigh foinse d’fheidhmchláir.
cód. 2. Seoladh an Nios V Command Shell. 3. Rith an t-ordú thíos chun an feidhmchlár CMakeLists.txt a ghiniúint.
niosv-app –app-dir=software/hal_app –bsp-dir=software/hal_bsp –srcs=software/hal_app/<user application>
Ag Tógáil an Tionscadail Feidhmchláir Úsáideora Is féidir leat an tionscadal feidhmchláir úsáideora a thógáil ag baint úsáide as Ashling RiscFree IDE do Altera FPGAanna nó tríd an gcomhéadan líne ordaithe (CLI). Más fearr leat CLI a úsáid, is féidir leat an feidhmchlár úsáideora a thógáil ag baint úsáide as an ordú seo a leanas: cmake -G “Unix Makefiles” -B bogearraí/hal_app/tógáil -S bogearraí/hal_app déan -C bogearraí/hal_app/tógáil
An feidhmchlár (.elf) file cruthaítear é sa bhfillteán software/hal_app/build. Ag giniúint an HEX File Ní mór duit .hex a ghiniúint file ó d'fheidhmchlár .elf file, ionas gur féidir leat .pof a chruthú file oiriúnach chun na gléasanna a chlárú. 1. Seoladh an Nios V Command Shell. 2. Chun feidhmchlár próiseálaí Nios V a thosú ó On-Chip Flash, bain úsáid as an méid seo a leanas
líne ordaithe chun an ELF a thiontú go HEX do d'fheidhmchlár. Cruthaíonn an t-ordú seo an feidhmchlár úsáideora (onchip_flash.hex) filebogearraí elf2hex/hal_app/tógáil/ .elf -o onchip_flash.hex
-b <base address of On-Chip Flash UFM region> -w 8 -e <end address of On-Chip Flash UFM region> 3. Recompile the hardware design if you check Initialize memory content option in On-Chip Flash IP (Method 1). This is to include the software data (.HEX) in the SOF file.
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 65
4. Cumraíocht Próiseálaí Nios V agus Réitigh Tosaithe 726952 | 2025.07.16
4.5.2.3. Clársceidealú 1. I Quartus Prime, cliceáil File Tiontaigh Ríomhchlárú Files. 2. Faoi chlársceidealú Aschuir file, roghnaigh Réad Ríomhchláraitheora File (.pof) mar Chlársceidealú file cineál. 3. Socraigh Mód go Cumraíocht Inmheánach.
Fíor 48. Clársceidealú Tiontaigh File Socruithe
4. Cliceáil Roghanna/Eolas tosaithe…, feicfear an fhuinneog Roghanna Gléas MAX 10. 5. Bunaithe ar na socruithe Tosaigh ábhar flash sa IP Flash Ar-slis, déan
ceann amháin de na céimeanna seo a leanas: · Má tá tic sa bhosca Tosaigh ábhar flash (Modh 1), sonraí tosaithe UFM
cuireadh san áireamh sa SOF le linn tiomsú Quartus Prime. — Roghnaigh Page_0 don rogha foinse UFM:. Cliceáil OK agus lean ar aghaidh go dtí an
ar aghaidh. Fíor 49. Socrú Page_0 do Fhoinse UFM má tá Tosaigh Ábhar Flash seiceáilte.
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 66
Seol Aiseolas
4. Cumraíocht Próiseálaí Nios V agus Réitigh Tosaithe 726952 | 2025.07.16
· Mura bhfuil tic sa bhosca Tosaigh ábhar flash (Modh 2), roghnaigh Luchtaigh cuimhne file don rogha foinse UFM. Brabhsáil go dtí an Flash HEX ar an sliseanna a gineadh file (onchip_flash.hex) sa File cosán: agus cliceáil OK. Cuireann an chéim seo sonraí UFM ar leithligh leis an SOF file le linn na clársceidealaithe file comhshó.
Fíor 50. Socrú Cuimhne Luchtaithe File le haghaidh Foinse UFM mura bhfuil Tosaigh Ábhar Flash seiceáilte
6. Sa Chlárchlár Tiontaigh File bosca dialóige, ag an Ionchur filechun an chuid a thiontú, cliceáil Cuir leis File... agus pointeáil chuig an Quartus Prime .sof a gineadh file.
Fíor 51. Ionchur Files le Tiontaigh i gClársceidealú Tiontaigh Files le haghaidh Mód Íomhá Aonair
7. Cliceáil Gin chun an .pof a chruthú file. 8. Cláraigh an .pof file isteach i do ghléas MAX 10. 9. Athchóirigh do chrua-earraí.
4.5.3. Feidhmchlár Próiseálaí Nios V Cóipeáilte ó UFM go RAM ag baint úsáide as Boot Copier
Molann Altera an réiteach seo do dhearthaí córais próiseálaí MAX 10 FPGA Nios V ina bhfuil gá le hathrá iolracha ar fhorbairt bogearraí feidhmchláir agus ardfheidhmíocht chórais. Tá an cóipeálaí tosaithe suite laistigh den UFM ag fritháireamh atá mar an seoladh céanna leis an veicteoir athshocraithe. Tá an feidhmchlár Nios V suite in aice leis an gcóipeálaí tosaithe.
Maidir leis an rogha tosaithe seo, tosaíonn próiseálaí Nios V ag cur an chóipeálaí tosaithe i gcrích nuair a athshocraítear an córas chun an feidhmchlár a chóipeáil ón earnáil UFM chuig an OCRAM nó RAM seachtrach. Nuair a bheidh an chóipeáil críochnaithe, aistríonn próiseálaí Nios V smacht an chláir chuig an bhfeidhmchlár.
Nóta:
Is ionann an cóipeálaí tosaithe feidhmithe agus an Bootloader trí GSFI.
Seol Aiseolas
Lámhleabhar Dearaidh Próiseálaí Leabaithe Nios® V 67
4. Cumraíocht Próiseálaí Nios V agus Réitigh Tosaithe 726952 | 2025.07.16
Fíor 52. Feidhmchlár Nios V Cóipeáilte ó UFM go RAM ag baint úsáide as Boot Copier
Uasmhéid 10 ngléas
.POF
Crua-earraí Nios V .SOF
Bogearraí Nios V .HEX
Tosaitheoir .SREC
Ríomhchláraitheoir Quartus
RAM seachtrach
Bogearraí Nios V
Splanc Ar-Sliseanna
CFM
Nios V Hardwa
Doiciméid / Acmhainní
![]() |
Próiseálaí Leabaithe Altera Nios V [pdfTreoir Úsáideora Nios V, Nios Vm, Nios Vg, Nios Vc, Próiseálaí Leabaithe Nios V, Nios V, Próiseálaí Leabaithe, Próiseálaí |