Ενσωματωμένος επεξεργαστής altera Nios V

Προδιαγραφές

  • Όνομα προϊόντος: Επεξεργαστής Nios V
  • Συμβατότητα Λογισμικού: Λογισμικό Quartus Prime και Σχεδιαστής Πλατφορμών
  • Τύπος επεξεργαστή: Altera FPGA
  • Σύστημα μνήμης: Πτητική και μη πτητική μνήμη
  • Διεπαφή επικοινωνίας: UART Agent

Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V

Για να σχεδιάσετε το σύστημα υλικού του επεξεργαστή Nios V, ακολουθήστε τα εξής βήματα:

  1. Δημιουργήστε σχεδιασμό συστήματος επεξεργαστή Nios V χρησιμοποιώντας το Platform Designer.
  2. Ενσωματώστε το σύστημα στο έργο Quartus Prime.
  3. Σχεδιασμός συστήματος μνήμης που να περιλαμβάνει πτητική και μη πτητική μνήμη.
  4. Εφαρμόστε τις βέλτιστες πρακτικές για τα ρολόγια και επαναφέρετε τα δεδομένα.
  5. Αντιστοιχίστε προεπιλεγμένους και UART πράκτορες για αποτελεσματική λειτουργία.

Σχεδιασμός συστήματος λογισμικού επεξεργαστή Nios V

Για να σχεδιάσετε το σύστημα λογισμικού για τον επεξεργαστή Nios V:

  1. Ακολουθήστε τη ροή ανάπτυξης λογισμικού για τον επεξεργαστή Nios V.
  2. Δημιουργία Έργου Πακέτου Υποστήριξης Διοικητικού Συμβουλίου και Έργου Εφαρμογής.

Λύσεις διαμόρφωσης και εκκίνησης επεξεργαστή Nios V

Για τη διαμόρφωση και την εκκίνηση του επεξεργαστή Nios V:

  1. Κατανοήστε την εισαγωγή στις λύσεις διαμόρφωσης και εκκίνησης.
  2. Συνδέστε εφαρμογές για απρόσκοπτη λειτουργία.

Σχετικά με τον ενσωματωμένο επεξεργαστή Nios® V
1.1. Altera® FPGA και ενσωματωμένοι επεξεργαστέςview
Οι συσκευές FPGA της Altera μπορούν να υλοποιήσουν λογική που λειτουργεί ως ένας πλήρης μικροεπεξεργαστής, παρέχοντας παράλληλα πολλές επιλογές.
Μια σημαντική διαφορά μεταξύ των διακριτών μικροεπεξεργαστών και του Altera FPGA είναι ότι το ύφασμα FPGA της Altera δεν περιέχει λογική όταν ενεργοποιείται. Ο επεξεργαστής Nios® V είναι ένας επεξεργαστής ήπιας πνευματικής ιδιοκτησίας (IP) που βασίζεται στην προδιαγραφή RISC-V. Πριν εκτελέσετε λογισμικό σε ένα σύστημα που βασίζεται σε επεξεργαστή Nios V, πρέπει να διαμορφώσετε τη συσκευή Altera FPGA με σχεδιασμό υλικού που περιέχει έναν επεξεργαστή Nios V. Μπορείτε να τοποθετήσετε τον επεξεργαστή Nios V οπουδήποτε στο Altera FPGA, ανάλογα με τις απαιτήσεις του σχεδιασμού.


Για να επιτρέψετε στο ενσωματωμένο σύστημα Altera® FPGA IP που βασίζεται σε σύστημα IP να συμπεριφέρεται ως διακριτό σύστημα που βασίζεται σε μικροεπεξεργαστή, το σύστημά σας θα πρέπει να περιλαμβάνει τα ακόλουθα: · AJTAG διεπαφή για την υποστήριξη διαμόρφωσης, υλικού και λογισμικού Altera FPGA
εντοπισμός σφαλμάτων · Ένας μηχανισμός ρύθμισης παραμέτρων Altera FPGA για ενεργοποίηση
Εάν το σύστημά σας διαθέτει αυτές τις δυνατότητες, μπορείτε να ξεκινήσετε τη βελτίωση του σχεδιασμού σας από ένα προ-δοκιμασμένο σχεδιασμό υλικού που έχει φορτωθεί στο Altera FPGA. Η χρήση ενός Altera FPGA σάς επιτρέπει επίσης να τροποποιήσετε γρήγορα το σχέδιό σας για να αντιμετωπίσετε προβλήματα ή να προσθέσετε νέες λειτουργίες. Μπορείτε να δοκιμάσετε εύκολα αυτά τα νέα σχέδια υλικού αναδιαμορφώνοντας το Altera FPGA χρησιμοποιώντας το J του συστήματός σας.TAG διεπαφή.
Το JTAG Η διεπαφή υποστηρίζει την ανάπτυξη υλικού και λογισμικού. Μπορείτε να εκτελέσετε τις ακόλουθες εργασίες χρησιμοποιώντας το JTAG διεπαφή: · Ρύθμιση παραμέτρων του Altera FPGA · Λήψη και εντοπισμός σφαλμάτων λογισμικού · Επικοινωνία με το Altera FPGA μέσω μιας διεπαφής τύπου UART (JTAG UART
τερματικό) · Υλικό εντοπισμού σφαλμάτων (με τον ενσωματωμένο λογικό αναλυτή Signal Tap) · Προγραμματισμός μνήμης flash
Αφού ρυθμίσετε το FPGA Altera με σχεδιασμό που βασίζεται σε επεξεργαστή Nios V, η ροή ανάπτυξης λογισμικού είναι παρόμοια με τη ροή για σχέδια διακριτών μικροελεγκτών.


Σχετικές πληροφορίες · AN 985: Εκπαιδευτικό βίντεο για τον επεξεργαστή Nios V
Ένας σύντομος οδηγός για τη δημιουργία ενός απλού συστήματος επεξεργαστή Nios V και την εκτέλεση της εφαρμογής Hello World.
© Altera Corporation. Η Altera, το λογότυπο Altera, το λογότυπο `a' και άλλα σήματα της Altera είναι εμπορικά σήματα της Altera Corporation. Η Altera διατηρεί το δικαίωμα να κάνει αλλαγές σε οποιαδήποτε προϊόντα και υπηρεσίες ανά πάσα στιγμή χωρίς προειδοποίηση. Η Altera δεν αναλαμβάνει καμία ευθύνη ή υποχρέωση που προκύπτει από την εφαρμογή ή τη χρήση οποιασδήποτε πληροφορίας, προϊόντος ή υπηρεσίας που περιγράφεται στο παρόν, εκτός εάν έχει συμφωνηθεί ρητά γραπτώς από την Altera. Συνιστάται στους πελάτες της Altera να λαμβάνουν την πιο πρόσφατη έκδοση των προδιαγραφών της συσκευής πριν βασιστούν σε οποιεσδήποτε δημοσιευμένες πληροφορίες και πριν υποβάλουν παραγγελίες για προϊόντα ή υπηρεσίες. *Άλλα ονόματα και εμπορικά σήματα ενδέχεται να θεωρηθούν ιδιοκτησία τρίτων.

1. Σχετικά με τον ενσωματωμένο επεξεργαστή Nios® V 726952 | 2025.07.16
· Εγχειρίδιο αναφοράς επεξεργαστή Nios V Παρέχει πληροφορίες σχετικά με τα σημεία αναφοράς απόδοσης επεξεργαστή Nios V, την αρχιτεκτονική του επεξεργαστή, το μοντέλο προγραμματισμού και την υλοποίηση του πυρήνα.
· Οδηγός χρήστη IP ενσωματωμένων περιφερειακών · Εγχειρίδιο προγραμματιστή λογισμικού επεξεργαστή Nios V


Περιγράφει το περιβάλλον ανάπτυξης λογισμικού του επεξεργαστή Nios V, τα διαθέσιμα εργαλεία και τη διαδικασία δημιουργίας λογισμικού που θα εκτελείται στον επεξεργαστή Nios V. · Οδηγός χρήστη Ashling* RiscFree* Integrated Development Environment (IDE) για Altera FPGAs Περιγράφει το ολοκληρωμένο περιβάλλον ανάπτυξης (IDE) RiscFree* για το Altera FPGAs HPS που βασίζεται σε Arm* και τον επεξεργαστή Nios V core. · Σημειώσεις έκδοσης IP FPGA Altera Processor Nios V
1.2. Υποστήριξη λογισμικού Quartus® Prime
Η ροή κατασκευής του επεξεργαστή Nios V διαφέρει για το λογισμικό Quartus® Prime Pro Edition και το λογισμικό Quartus Prime Standard Edition. Ανατρέξτε στο AN 980: Υποστήριξη λογισμικού Quartus Prime για επεξεργαστές Nios V για περισσότερες πληροφορίες σχετικά με τις διαφορές.
Σχετικές πληροφορίες AN 980: Υποστήριξη λογισμικού επεξεργαστή Nios V Quartus Prime
1.3. Άδεια χρήσης επεξεργαστή Nios V
Κάθε παραλλαγή επεξεργαστή Nios V έχει το δικό της κλειδί άδειας χρήσης. Μόλις αποκτήσετε το κλειδί άδειας χρήσης, μπορείτε να χρησιμοποιήσετε το ίδιο κλειδί άδειας χρήσης για όλα τα έργα επεξεργαστών Nios V μέχρι την ημερομηνία λήξης. Μπορείτε να αποκτήσετε τις άδειες IP Nios V Processor Altera FPGA χωρίς κόστος.
Η λίστα κλειδιών άδειας χρήσης επεξεργαστή Nios V είναι διαθέσιμη στο Κέντρο Αυτοεξυπηρέτησης Αδειών Χρήσης Altera FPGA. Κάντε κλικ στην καρτέλα Εγγραφή για Αξιολόγηση ή Δωρεάν Άδεια Χρήσης και επιλέξτε τις αντίστοιχες επιλογές για να υποβάλετε το αίτημα.
Σχήμα 1. Κέντρο αυτοεξυπηρέτησης αδειοδότησης FPGA Altera

Με τα κλειδιά άδειας χρήσης, μπορείτε:
Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 7

1. Σχετικά με τον ενσωματωμένο επεξεργαστή Nios® V 726952 | 2025.07.16
· Υλοποιήστε έναν επεξεργαστή Nios V στο σύστημά σας. · Προσομοιώστε τη συμπεριφορά ενός συστήματος επεξεργαστή Nios V. · Επαληθεύστε τη λειτουργικότητα του σχεδιασμού, όπως το μέγεθος και την ταχύτητα. · Δημιουργήστε προγραμματισμό συσκευών fileσ. · Προγραμματίστε μια συσκευή και επαληθεύστε τη σχεδίαση στο υλικό.
Δεν χρειάζεστε άδεια χρήσης για την ανάπτυξη λογισμικού στο Ashling* RiscFree* IDE για FPGA Altera.
Σχετικές πληροφορίες · Κέντρο αυτοεξυπηρέτησης αδειοδότησης FPGA Altera
Για περισσότερες πληροφορίες σχετικά με την απόκτηση των κλειδιών άδειας IP του επεξεργαστή Nios V Altera FPGA. · Εγκατάσταση και αδειοδότηση λογισμικού Altera FPGA Για περισσότερες πληροφορίες σχετικά με την αδειοδότηση του λογισμικού Altera FPGA και τη ρύθμιση μιας σταθερής άδειας χρήσης και ενός διακομιστή αδειών χρήσης δικτύου.
1.4. Σχεδιασμός Ενσωματωμένου Συστήματος
Το ακόλουθο σχήμα απεικονίζει μια απλοποιημένη ροή σχεδιασμού συστήματος βασισμένη σε επεξεργαστή Nios V, συμπεριλαμβανομένης της ανάπτυξης υλικού και λογισμικού.

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 8

Αποστολή σχολίων

1. Σχετικά με τον ενσωματωμένο επεξεργαστή Nios® V 726952 | 2025.07.16

Εικόνα 2.

Ροή Σχεδιασμού Συστήματος Επεξεργαστή Nios V
Έννοια συστήματος

Ανάλυση απαιτήσεων συστήματος

Nios® V
Πυρήνες επεξεργαστή και τυπικά εξαρτήματα

Ορισμός και δημιουργία συστήματος σε
Σχεδιαστής πλατφόρμας

Ροή Υλικού: Ενσωμάτωση και Μεταγλώττιση του Έργου Intel Quartus Prime

Ροή Λογισμικού: Ανάπτυξη και Κατασκευή Λογισμικού Προτάσεων Nios V

Ροή Υλικού: Λήψη Σχεδίασης FPGA
στον πίνακα στόχων

Ροή Λογισμικού: Δοκιμή και εντοπισμός σφαλμάτων Λογισμικού Επεξεργαστή Nios V

Το λογισμικό δεν πληροί τις προδιαγραφές;
Ναί
Υλικό Όχι Πληροί τις προδιαγραφές; Ναι
Ολοκληρωμένο σύστημα

Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 9

726952 | 2025.07.16 Αποστολή σχολίων

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας

Εικόνα 3.

Το ακόλουθο διάγραμμα απεικονίζει έναν τυπικό σχεδιασμό υλικού επεξεργαστή Nios V. Ροή Σχεδιασμού Υλικού Συστήματος Επεξεργαστή Nios V

Αρχή

Πυρήνες Nios V και τυπικά εξαρτήματα

Χρησιμοποιήστε το Platform Designer για να σχεδιάσετε ένα σύστημα βασισμένο στο Nios V
Δημιουργία Σχεδίου Σχεδιαστή Πλατφόρμας

Ενσωμάτωση συστήματος σχεδιαστή πλατφόρμας με το έργο Intel Quartus Prime
Αντιστοίχιση θέσεων καρφιτσών, απαιτήσεων χρονισμού και άλλων περιορισμών σχεδιασμού
Μεταγλώττιση υλικού για συσκευή-στόχο σε Intel Quartus Prime

Έτοιμο για λήψη
2.1. Δημιουργία Σχεδιασμού Συστήματος Επεξεργαστή Nios V με το Platform Designer
Το λογισμικό Quartus Prime περιλαμβάνει το εργαλείο ενσωμάτωσης συστήματος Platform Designer που απλοποιεί την εργασία ορισμού και ενσωμάτωσης του πυρήνα IP του επεξεργαστή Nios V και άλλων IP σε ένα σύστημα Altera FPGA. Ο Platform Designer δημιουργεί αυτόματα λογική διασύνδεσης από την καθορισμένη συνδεσιμότητα υψηλού επιπέδου. Ο αυτοματισμός διασύνδεσης εξαλείφει την χρονοβόρα εργασία καθορισμού συνδέσεων HDL σε επίπεδο συστήματος.
© Altera Corporation. Η Altera, το λογότυπο Altera, το λογότυπο `a' και άλλα σήματα της Altera είναι εμπορικά σήματα της Altera Corporation. Η Altera διατηρεί το δικαίωμα να κάνει αλλαγές σε οποιαδήποτε προϊόντα και υπηρεσίες ανά πάσα στιγμή χωρίς προειδοποίηση. Η Altera δεν αναλαμβάνει καμία ευθύνη ή υποχρέωση που προκύπτει από την εφαρμογή ή τη χρήση οποιασδήποτε πληροφορίας, προϊόντος ή υπηρεσίας που περιγράφεται στο παρόν, εκτός εάν έχει συμφωνηθεί ρητά γραπτώς από την Altera. Συνιστάται στους πελάτες της Altera να λαμβάνουν την πιο πρόσφατη έκδοση των προδιαγραφών της συσκευής πριν βασιστούν σε οποιεσδήποτε δημοσιευμένες πληροφορίες και πριν υποβάλουν παραγγελίες για προϊόντα ή υπηρεσίες. *Άλλα ονόματα και εμπορικά σήματα ενδέχεται να θεωρηθούν ιδιοκτησία τρίτων.

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας
726952 | 2025.07.16

Αφού αναλύσετε τις απαιτήσεις υλικού του συστήματος, χρησιμοποιείτε το Quartus Prime για να καθορίσετε τον πυρήνα του επεξεργαστή Nios V, τη μνήμη και άλλα στοιχεία που απαιτεί το σύστημά σας. Ο Σχεδιαστής Πλατφόρμας δημιουργεί αυτόματα τη λογική διασύνδεσης για την ενσωμάτωση των στοιχείων στο σύστημα υλικού.

2.1.1. Δημιουργία στιγμιαίου επεξεργαστή Nios V Altera FPGA IP

Μπορείτε να δημιουργήσετε αντίγραφο οποιουδήποτε από τους πυρήνες IP του επεξεργαστή στους επεξεργαστές και τους ενσωματωμένους επεξεργαστές καταλόγου IP του Platform Designer.

Ο πυρήνας IP κάθε επεξεργαστή υποστηρίζει διαφορετικές επιλογές διαμόρφωσης με βάση τη μοναδική αρχιτεκτονική του. Μπορείτε να ορίσετε αυτές τις διαμορφώσεις ώστε να ταιριάζουν καλύτερα στις ανάγκες σχεδιασμού σας.

Πίνακας 1.

Επιλογές διαμόρφωσης σε όλες τις βασικές παραλλαγές

Επιλογές διαμόρφωσης

Επεξεργαστής Nios V/c

Επεξεργαστής Nios V/m

Αίτημα επαναφοράς χρήσης εντοπισμού σφαλμάτων

Παγίδες, Εξαιρέσεις και Διακοπές

Αρχιτεκτονική CPU

ECC

Κρυφές μνήμες, περιφερειακές περιοχές και TCM

Προσαρμοσμένες οδηγίες

Κλείδωμα βήματος

Επεξεργαστής Nios V/g

2.1.1.1. Δημιουργία στιγμιαίου στιγμιότυπου του μικροελεγκτή Nios V/c Compact Altera FPGA IP Σχήμα 4. Μικροελεγκτής Nios V/c Compact Altera FPGA IP

Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 11

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας
726952 | 2025.07.16

2.1.1.1.1. Καρτέλα Αρχιτεκτονική CPU

Πίνακας 2.

Καρτέλα Αρχιτεκτονική CPU

Χαρακτηριστικό

Περιγραφή

Ενεργοποίηση διεπαφής Avalon® Ενεργοποιεί τη διεπαφή Avalon για τον διαχειριστή εντολών και δεδομένων. Εάν απενεργοποιηθεί, το σύστημα χρησιμοποιεί τη διεπαφή AXI4-Lite.

αξία ΕΚΕ mhartid

· Μη έγκυρη επιλογή IP. · Μην χρησιμοποιείτε την τιμή mhartid CSR στον επεξεργαστή Nios V/c.

2.1.1.1.2. Χρησιμοποιήστε την καρτέλα Αίτημα επαναφοράς

Πίνακας 3.

Χρήση παραμέτρου καρτέλας αιτήματος επαναφοράς

Χρησιμοποιήστε την καρτέλα Αίτημα Επαναφοράς

Περιγραφή

Προσθήκη διεπαφής αιτήματος επαναφοράς

· Ενεργοποιήστε αυτήν την επιλογή για να εμφανίσετε τοπικές θύρες επαναφοράς όπου μια τοπική κύρια θύρα μπορεί να τη χρησιμοποιήσει για να ενεργοποιήσει την επαναφορά του επεξεργαστή Nios V χωρίς να επηρεαστούν άλλα στοιχεία σε ένα σύστημα επεξεργαστή Nios V.
· Η διεπαφή επαναφοράς αποτελείται από ένα σήμα εισόδου resetreq και ένα σήμα εξόδου ack.
· Μπορείτε να ζητήσετε επαναφορά του πυρήνα του επεξεργαστή Nios V ενεργοποιώντας το σήμα resetreq.
· Το σήμα resetreq πρέπει να παραμείνει ενεργοποιημένο μέχρι ο επεξεργαστής να επιβεβαιώσει το σήμα ack. Η μη διατήρηση του σήματος ως ενεργοποιημένου μπορεί να οδηγήσει τον επεξεργαστή σε μη ντετερμινιστική κατάσταση.
· Ο επεξεργαστής Nios V απαντά ότι η επαναφορά είναι επιτυχής, ενεργοποιώντας το σήμα ack.
· Μετά την επιτυχή επαναφορά του επεξεργαστή, η επιβεβαίωση του σήματος ack μπορεί να συμβαίνει πολλές φορές περιοδικά μέχρι την αποεπιβεβαίωση του σήματος resetreq.

2.1.1.1.3. Καρτέλα "Παγίδες, Εξαιρέσεις και Διακοπές"

Πίνακας 4.

Παράμετροι καρτέλας "Παγίδες, Εξαιρέσεις και Διακοπές"

Παγίδες, Εξαιρέσεις και Διακοπές

Περιγραφή

Επαναφορά παράγοντα

· Η μνήμη που φιλοξενεί το διάνυσμα επαναφοράς (τη διεύθυνση επαναφοράς του επεξεργαστή Nios V) όπου βρίσκεται ο κώδικας επαναφοράς.
· Μπορείτε να επιλέξετε οποιαδήποτε μονάδα μνήμης που είναι συνδεδεμένη στην κύρια μονάδα εντολών του επεξεργαστή Nios V και υποστηρίζεται από μια ροή εκκίνησης του επεξεργαστή Nios V ως παράγοντα επαναφοράς.

Επαναφορά μετατόπισης

· Καθορίζει την μετατόπιση του διανύσματος επαναφοράς σε σχέση με τη βασική διεύθυνση του επιλεγμένου παράγοντα επαναφοράς. · Το Platform Designer παρέχει αυτόματα μια προεπιλεγμένη τιμή για την μετατόπιση επαναφοράς.

Σημείωμα:

Το Platform Designer παρέχει μια επιλογή Absolute (Απόλυτη), η οποία σας επιτρέπει να καθορίσετε μια απόλυτη διεύθυνση στην επιλογή Reset Offset (Επαναφορά μετατόπισης). Χρησιμοποιήστε αυτήν την επιλογή όταν η μνήμη που αποθηκεύει το διάνυσμα επαναφοράς βρίσκεται εκτός του συστήματος και των υποσυστημάτων του επεξεργαστή.

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 12

Αποστολή σχολίων

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας
726952 | 2025.07.16

2.1.1.1.4. Καρτέλα ECC

Πίνακας 5.

Καρτέλα ECC

ECC

Ενεργοποίηση ανίχνευσης σφαλμάτων και αναφοράς κατάστασης

Περιγραφή
· Ενεργοποιήστε αυτήν την επιλογή για να εφαρμόσετε τη λειτουργία ECC για τα εσωτερικά μπλοκ RAM του επεξεργαστή Nios V. · Οι λειτουργίες ECC ανιχνεύουν σφάλματα έως και 2 bit και αντιδρούν με βάση την ακόλουθη συμπεριφορά:
— Εάν πρόκειται για διορθώσιμο σφάλμα 1 bit, ο επεξεργαστής συνεχίζει να λειτουργεί μετά τη διόρθωση του σφάλματος στον αγωγό του επεξεργαστή. Ωστόσο, η διόρθωση δεν αντικατοπτρίζεται στις μνήμες πηγής.
— Εάν το σφάλμα δεν διορθώνεται, ο επεξεργαστής συνεχίζει να λειτουργεί χωρίς να το διορθώσει στη διοχέτευση του επεξεργαστή και στις μνήμες προέλευσης, γεγονός που μπορεί να προκαλέσει την είσοδο του επεξεργαστή σε μια μη ντετερμινιστική κατάσταση.

2.1.1.2. Δημιουργία στιγμιαίου μικροελεγκτή Nios V/m Altera FPGA IP Σχήμα 5. Μικροελεγκτής Nios V/m Altera FPGA IP

Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 13

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας
726952 | 2025.07.16

2.1.1.2.1. Καρτέλα εντοπισμού σφαλμάτων

Πίνακας 6.

Παράμετροι καρτέλας εντοπισμού σφαλμάτων

Καρτέλα εντοπισμού σφαλμάτων

Περιγραφή

Ενεργοποίηση εντοπισμού σφαλμάτων
Ενεργοποίηση επαναφοράς από τη μονάδα εντοπισμού σφαλμάτων

· Ενεργοποιήστε αυτήν την επιλογή για να προσθέσετε το JTAG μονάδα σύνδεσης στόχου στον επεξεργαστή Nios V. · Το JTAG Η μονάδα σύνδεσης προορισμού επιτρέπει τη σύνδεση με τον επεξεργαστή Nios V μέσω του
JTAG ακροδέκτες διεπαφής του FPGA. · Η σύνδεση παρέχει τις ακόλουθες βασικές δυνατότητες:
— Έναρξη και διακοπή του επεξεργαστή Nios V — Εξέταση και επεξεργασία καταχωρητών και μνήμης. — Λήψη της εφαρμογής Nios V σε μορφή .elf file στη μνήμη του επεξεργαστή κατά τον χρόνο εκτέλεσης μέσω
niosv-download. — Εντοπισμός σφαλμάτων στην εφαρμογή που εκτελείται στον επεξεργαστή Nios V · Συνδέστε τη θύρα dm_agent στον δίαυλο εντολών και δεδομένων του επεξεργαστή. Βεβαιωθείτε ότι η διεύθυνση βάσης μεταξύ και των δύο διαύλων είναι η ίδια.
· Ενεργοποιήστε αυτήν την επιλογή για να εκθέσετε τις θύρες dbg_reset_out και ndm_reset_in. · JTAG Το πρόγραμμα εντοπισμού σφαλμάτων ή η εντολή niosv-download -r ενεργοποιούν την εντολή dbg_reset_out, η οποία
επιτρέπει στον επεξεργαστή Nios V να επαναφέρει τα περιφερειακά του συστήματος που συνδέονται σε αυτήν τη θύρα. · Πρέπει να συνδέσετε τη διεπαφή dbg_reset_out στο ndm_reset_in αντί για επαναφορά.
διεπαφή για την ενεργοποίηση της επαναφοράς στον πυρήνα του επεξεργαστή και στη μονάδα χρονοδιακόπτη. Δεν πρέπει να συνδέσετε τη διεπαφή dbg_reset_out με τη διεπαφή επαναφοράς για να αποτρέψετε απροσδιόριστη συμπεριφορά.

2.1.1.2.2. Χρησιμοποιήστε την καρτέλα Αίτημα επαναφοράς

Πίνακας 7.

Χρήση παραμέτρου καρτέλας αιτήματος επαναφοράς

Χρησιμοποιήστε την καρτέλα Αίτημα Επαναφοράς

Περιγραφή

Προσθήκη διεπαφής αιτήματος επαναφοράς

· Ενεργοποιήστε αυτήν την επιλογή για να εμφανίσετε τοπικές θύρες επαναφοράς όπου μια τοπική κύρια θύρα μπορεί να τη χρησιμοποιήσει για να ενεργοποιήσει την επαναφορά του επεξεργαστή Nios V χωρίς να επηρεαστούν άλλα στοιχεία σε ένα σύστημα επεξεργαστή Nios V.
· Η διεπαφή επαναφοράς αποτελείται από ένα σήμα εισόδου resetreq και ένα σήμα εξόδου ack.
· Μπορείτε να ζητήσετε επαναφορά του πυρήνα του επεξεργαστή Nios V ενεργοποιώντας το σήμα resetreq.
· Το σήμα resetreq πρέπει να παραμείνει ενεργοποιημένο μέχρι ο επεξεργαστής να επιβεβαιώσει το σήμα ack. Η μη διατήρηση του σήματος ως ενεργοποιημένου μπορεί να οδηγήσει τον επεξεργαστή σε μη ντετερμινιστική κατάσταση.
· Η ενεργοποίηση του σήματος resetreq σε λειτουργία εντοπισμού σφαλμάτων δεν επηρεάζει την κατάσταση του επεξεργαστή.
· Ο επεξεργαστής Nios V απαντά ότι η επαναφορά είναι επιτυχής, ενεργοποιώντας το σήμα ack.
· Μετά την επιτυχή επαναφορά του επεξεργαστή, η επιβεβαίωση του σήματος ack μπορεί να συμβαίνει πολλές φορές περιοδικά μέχρι την αποεπιβεβαίωση του σήματος resetreq.

2.1.1.2.3. Καρτέλα "Παγίδες, Εξαιρέσεις και Διακοπές"

Πίνακας 8.

Καρτέλα "Παγίδες, Εξαιρέσεις και Διακοπές"

Καρτέλα "Παγίδες, Εξαιρέσεις και Διακοπές"

Περιγραφή

Επαναφορά παράγοντα

· Η μνήμη που φιλοξενεί το διάνυσμα επαναφοράς (τη διεύθυνση επαναφοράς του επεξεργαστή Nios V) όπου βρίσκεται ο κώδικας επαναφοράς.
· Μπορείτε να επιλέξετε οποιαδήποτε μονάδα μνήμης που είναι συνδεδεμένη στην κύρια μονάδα εντολών του επεξεργαστή Nios V και υποστηρίζεται από μια ροή εκκίνησης του επεξεργαστή Nios V ως παράγοντα επαναφοράς.

Επαναφορά λειτουργίας διακοπής μετατόπισης

· Καθορίζει την μετατόπιση του διανύσματος επαναφοράς σε σχέση με τη βασική διεύθυνση του επιλεγμένου παράγοντα επαναφοράς. · Το Platform Designer παρέχει αυτόματα μια προεπιλεγμένη τιμή για την μετατόπιση επαναφοράς.
Καθορίστε τον τύπο του ελεγκτή διακοπών, είτε Άμεσου είτε Διανυσματικού. Σημείωση: Ο επεξεργαστής Nios V/m χωρίς διοχέτευση δεν υποστηρίζει Διανυσματικές διακοπές.
Επομένως, αποφύγετε τη χρήση της λειτουργίας διακοπής Vectored όταν ο επεξεργαστής βρίσκεται σε λειτουργία Nonpipelined.

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 14

Αποστολή σχολίων

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας
726952 | 2025.07.16

Σημείωμα:

Το Platform Designer παρέχει μια επιλογή Absolute (Απόλυτη), η οποία σας επιτρέπει να καθορίσετε μια απόλυτη διεύθυνση στην επιλογή Reset Offset (Επαναφορά μετατόπισης). Χρησιμοποιήστε αυτήν την επιλογή όταν η μνήμη που αποθηκεύει το διάνυσμα επαναφοράς βρίσκεται εκτός του συστήματος και των υποσυστημάτων του επεξεργαστή.

2.1.1.2.4. Αρχιτεκτονική CPU

Πίνακας 9.

Παράμετροι καρτέλας Αρχιτεκτονική CPU

Αρχιτεκτονική CPU

Περιγραφή

Ενεργοποίηση αγωγού στην CPU

· Ενεργοποιήστε αυτήν την επιλογή για να δημιουργήσετε ένα στιγμιότυπο επεξεργαστή Nios V/m με αγωγό. — Το IPC είναι υψηλότερο με κόστος την υψηλότερη λογική περιοχή και τη χαμηλότερη συχνότητα Fmax.
· Απενεργοποιήστε αυτήν την επιλογή για να δημιουργήσετε ένα στιγμιότυπο επεξεργαστή Nios V/m που δεν είναι συνδεδεμένος σε αγωγό. — Έχει παρόμοια απόδοση πυρήνα με τον επεξεργαστή Nios V/c. — Υποστηρίζει δυνατότητα εντοπισμού σφαλμάτων και διακοπής — Χαμηλότερη λογική περιοχή και υψηλότερη συχνότητα Fmax με κόστος χαμηλότερο IPC.

Ενεργοποίηση διεπαφής Avalon

Ενεργοποιεί τη διεπαφή Avalon για τον διαχειριστή εντολών και τον διαχειριστή δεδομένων. Εάν απενεργοποιηθεί, το σύστημα χρησιμοποιεί τη διεπαφή AXI4-Lite.

αξία ΕΚΕ mhartid

· Η τιμή του καταχωρητή Hart ID (mhartid) είναι 0 από προεπιλογή. · Ορίστε μια τιμή μεταξύ 0 και 4094. · Συμβατό με το Altera FPGA Avalon Mutex Core HAL API.

Σχετικές πληροφορίες Οδηγός χρήστη ενσωματωμένης περιφερειακής IP – Intel FPGA Avalon® Mutex Core

2.1.1.2.5. Καρτέλα ECC
Πίνακας 10. Καρτέλα ECC
ECC Ενεργοποίηση ανίχνευσης σφαλμάτων και αναφοράς κατάστασης

Περιγραφή
· Ενεργοποιήστε αυτήν την επιλογή για να εφαρμόσετε τη λειτουργία ECC για τα εσωτερικά μπλοκ RAM του επεξεργαστή Nios V. · Οι λειτουργίες ECC ανιχνεύουν σφάλματα έως και 2 bit και αντιδρούν με βάση την ακόλουθη συμπεριφορά:
— Εάν πρόκειται για διορθώσιμο σφάλμα 1 bit, ο επεξεργαστής συνεχίζει να λειτουργεί μετά τη διόρθωση του σφάλματος στον αγωγό του επεξεργαστή. Ωστόσο, η διόρθωση δεν αντικατοπτρίζεται στις μνήμες πηγής.
— Εάν το σφάλμα δεν διορθώνεται, ο επεξεργαστής συνεχίζει να λειτουργεί χωρίς να το διορθώσει στη διοχέτευση του επεξεργαστή και στις μνήμες προέλευσης, γεγονός που μπορεί να προκαλέσει την είσοδο του επεξεργαστή σε μια μη ντετερμινιστική κατάσταση.

Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 15

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας
726952 | 2025.07.16
2.1.1.3. Δημιουργία στιγμιαίου επεξεργαστή γενικής χρήσης Nios V/g Altera FPGA IP
Σχήμα 6. Επεξεργαστής γενικής χρήσης Nios V/g Altera FPGA IP – Μέρος 1

Εικόνα 7.

Επεξεργαστής γενικής χρήσης Nios V/g Altera FPGA IP – Μέρος 2 (Απενεργοποίηση ενεργοποίησης ελεγκτή διακοπής επιπέδου πυρήνα)

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 16

Αποστολή σχολίων

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας
726952 | 2025.07.16

Εικόνα 8.

Επεξεργαστής γενικής χρήσης Nios V/g Altera FPGA IP – Μέρος 2 (Ενεργοποίηση ενεργοποίησης ελεγκτή διακοπής επιπέδου πυρήνα)

Σχήμα 9. Επεξεργαστής γενικής χρήσης Nios V/g Altera FPGA IP – Μέρος 3

Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 17

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας
726952 | 2025.07.16
Σχήμα 10. Επεξεργαστής γενικής χρήσης Nios V/g Altera FPGA IP – Μέρος 4

2.1.1.3.1. Αρχιτεκτονική CPU

Πίνακας 11. Παράμετροι αρχιτεκτονικής CPU

Καρτέλα Αρχιτεκτονικής CPU Ενεργοποίηση Μονάδας Κινητής Υποδιαστολής

Περιγραφή Ενεργοποιήστε αυτήν την επιλογή για να προσθέσετε τη μονάδα κινητής υποδιαστολής (επέκταση "F") στον πυρήνα του επεξεργαστή.

Ενεργοποίηση πρόβλεψης διακλάδωσης

Ενεργοποιήστε την στατική πρόβλεψη διακλάδωσης (Backward Taken και Forward Not Taken) για οδηγίες διακλάδωσης.

αξία ΕΚΕ mhartid

· Η τιμή του καταχωρητή Hart ID (mhartid) είναι 0 από προεπιλογή. · Ορίστε μια τιμή μεταξύ 0 και 4094. · Συμβατό με το Altera FPGA Avalon Mutex Core HAL API.

Απενεργοποίηση εντολών FSQRT & FDIV για FPU

· Αφαιρέστε τις πράξεις τετραγωνικής ρίζας κινητής υποδιαστολής (FSQRT) και διαίρεσης κινητής υποδιαστολής (FDIV) σε FPU.
· Εφαρμογή εξομοίωσης λογισμικού και στις δύο εντολές κατά τη διάρκεια του χρόνου εκτέλεσης.

Σχετικές πληροφορίες Οδηγός χρήστη ενσωματωμένης περιφερειακής IP – Intel FPGA Avalon® Mutex Core

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 18

Αποστολή σχολίων

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας
726952 | 2025.07.16

2.1.1.3.2. Καρτέλα εντοπισμού σφαλμάτων

Πίνακας 12. Παράμετροι καρτέλας εντοπισμού σφαλμάτων

Καρτέλα εντοπισμού σφαλμάτων

Περιγραφή

Ενεργοποίηση εντοπισμού σφαλμάτων
Ενεργοποίηση επαναφοράς από τη μονάδα εντοπισμού σφαλμάτων

· Ενεργοποιήστε αυτήν την επιλογή για να προσθέσετε το JTAG μονάδα σύνδεσης στόχου στον επεξεργαστή Nios V. · Το JTAG Η μονάδα σύνδεσης προορισμού επιτρέπει τη σύνδεση με τον επεξεργαστή Nios V μέσω του
JTAG ακροδέκτες διεπαφής του FPGA. · Η σύνδεση παρέχει τις ακόλουθες βασικές δυνατότητες:
— Έναρξη και διακοπή του επεξεργαστή Nios V — Εξέταση και επεξεργασία καταχωρητών και μνήμης. — Λήψη της εφαρμογής Nios V σε μορφή .elf file στη μνήμη του επεξεργαστή κατά τον χρόνο εκτέλεσης μέσω
niosv-download. — Εντοπισμός σφαλμάτων στην εφαρμογή που εκτελείται στον επεξεργαστή Nios V · Συνδέστε τη θύρα dm_agent στον δίαυλο εντολών και δεδομένων του επεξεργαστή. Βεβαιωθείτε ότι η διεύθυνση βάσης μεταξύ και των δύο διαύλων είναι η ίδια.
· Ενεργοποιήστε αυτήν την επιλογή για να εκθέσετε τις θύρες dbg_reset_out και ndm_reset_in. · JTAG Το πρόγραμμα εντοπισμού σφαλμάτων ή η εντολή niosv-download -r ενεργοποιούν την εντολή dbg_reset_out, η οποία
επιτρέπει στον επεξεργαστή Nios V να επαναφέρει τα περιφερειακά του συστήματος που συνδέονται σε αυτήν τη θύρα. · Πρέπει να συνδέσετε τη διεπαφή dbg_reset_out στο ndm_reset_in αντί για επαναφορά.
διεπαφή για την ενεργοποίηση της επαναφοράς στον πυρήνα του επεξεργαστή και στη μονάδα χρονοδιακόπτη. Δεν πρέπει να συνδέσετε τη διεπαφή dbg_reset_out με τη διεπαφή επαναφοράς για να αποτρέψετε απροσδιόριστη συμπεριφορά.

2.1.1.3.3. Πίνακας καρτελών κλειδώματος 13. Καρτέλα κλειδώματος
Παράμετροι Ενεργοποίηση προεπιλεγμένης περιόδου χρονικού ορίου κλειδώματος Ενεργοποίηση εκτεταμένης διεπαφής επαναφοράς

Περιγραφή · Ενεργοποίηση του συστήματος Lockstep διπλού πυρήνα. · Προεπιλεγμένη τιμή προγραμματιζόμενου χρονικού ορίου κατά την έξοδο από την επαναφορά (μεταξύ 0 και 255). · Ενεργοποίηση της προαιρετικής διεπαφής εκτεταμένης επαναφοράς για τον εκτεταμένο έλεγχο επαναφοράς. · Όταν είναι απενεργοποιημένο, το fRSmartComp εφαρμόζει τον βασικό έλεγχο επαναφοράς.

2.1.1.3.4. Χρησιμοποιήστε την καρτέλα Αίτημα επαναφοράς

Πίνακας 14. Χρήση παραμέτρου καρτέλας αιτήματος επαναφοράς

Χρησιμοποιήστε την καρτέλα Αίτημα Επαναφοράς

Περιγραφή

Προσθήκη διεπαφής αιτήματος επαναφοράς

· Ενεργοποιήστε αυτήν την επιλογή για να εμφανίσετε τοπικές θύρες επαναφοράς όπου μια τοπική κύρια θύρα μπορεί να τη χρησιμοποιήσει για να ενεργοποιήσει την επαναφορά του επεξεργαστή Nios V χωρίς να επηρεαστούν άλλα στοιχεία σε ένα σύστημα επεξεργαστή Nios V.
· Η διεπαφή επαναφοράς αποτελείται από ένα σήμα εισόδου resetreq και ένα σήμα εξόδου ack.
· Μπορείτε να ζητήσετε επαναφορά του πυρήνα του επεξεργαστή Nios V ενεργοποιώντας το σήμα resetreq.
· Το σήμα resetreq πρέπει να παραμείνει ενεργοποιημένο μέχρι ο επεξεργαστής να επιβεβαιώσει το σήμα ack. Η μη διατήρηση του σήματος ως ενεργοποιημένου μπορεί να οδηγήσει τον επεξεργαστή σε μη ντετερμινιστική κατάσταση.
· Η ενεργοποίηση του σήματος resetreq σε λειτουργία εντοπισμού σφαλμάτων δεν επηρεάζει την κατάσταση του επεξεργαστή.
· Ο επεξεργαστής Nios V απαντά ότι η επαναφορά είναι επιτυχής, ενεργοποιώντας το σήμα ack.
· Μετά την επιτυχή επαναφορά του επεξεργαστή, η επιβεβαίωση του σήματος ack μπορεί να συμβαίνει πολλές φορές περιοδικά μέχρι την αποεπιβεβαίωση του σήματος resetreq.

Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 19

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας
726952 | 2025.07.16

2.1.1.3.5. Καρτέλα "Παγίδες, Εξαιρέσεις και Διακοπές"

Πίνακας 15.

Καρτέλα "Παγίδες, Εξαιρέσεις και Διακοπές" όταν η Ενεργοποίηση Ελεγκτή Διακοπών Επιπέδου Πυρήνα είναι Απενεργοποιημένη

Καρτέλα "Παγίδες, Εξαιρέσεις και Διακοπές"
Επαναφορά παράγοντα

Περιγραφή
· Η μνήμη που φιλοξενεί το διάνυσμα επαναφοράς (τη διεύθυνση επαναφοράς του επεξεργαστή Nios V) όπου βρίσκεται ο κώδικας επαναφοράς.
· Μπορείτε να επιλέξετε οποιαδήποτε μονάδα μνήμης που είναι συνδεδεμένη στην κύρια μονάδα εντολών του επεξεργαστή Nios V και υποστηρίζεται από μια ροή εκκίνησης του επεξεργαστή Nios V ως παράγοντα επαναφοράς.

Επαναφορά μετατόπισης

· Καθορίζει την μετατόπιση του διανύσματος επαναφοράς σε σχέση με τη βασική διεύθυνση του επιλεγμένου παράγοντα επαναφοράς. · Το Platform Designer παρέχει αυτόματα μια προεπιλεγμένη τιμή για την μετατόπιση επαναφοράς.

Ενεργοποίηση ελεγκτή διακοπής επιπέδου πυρήνα (CLIC)

· Ενεργοποιήστε το CLIC για να υποστηρίξετε προληπτικές διακοπές και διαμορφώσιμη συνθήκη ενεργοποίησης διακοπών.
· Όταν είναι ενεργοποιημένη, μπορείτε να διαμορφώσετε τον αριθμό των διακοπών πλατφόρμας, να ορίσετε συνθήκες ενεργοποίησης και να ορίσετε ορισμένες από τις διακοπές ως προληπτικές.

Λειτουργία διακοπής Shadow Register Files

Καθορίστε τους τύπους διακοπών ως Άμεση ή Διανυσματική. Ενεργοποιήστε το shadow register για να μειώσετε την εναλλαγή περιβάλλοντος κατά τη διακοπή.

Πίνακας 16.

Παγίδες, εξαιρέσεις και διακοπές όταν η ενεργοποίηση του ελεγκτή διακοπής επιπέδου πυρήνα είναι ενεργοποιημένη

Παγίδες, Εξαιρέσεις και Διακοπές

Περιγραφές

Επαναφορά παράγοντα
Επαναφορά μετατόπισης
Ενεργοποίηση ελεγκτή διακοπής επιπέδου πυρήνα (CLIC)

· Η μνήμη που φιλοξενεί το διάνυσμα επαναφοράς (τη διεύθυνση επαναφοράς του επεξεργαστή Nios V) όπου βρίσκεται ο κώδικας επαναφοράς.
· Μπορείτε να επιλέξετε οποιαδήποτε μονάδα μνήμης που είναι συνδεδεμένη στην κύρια μονάδα εντολών του επεξεργαστή Nios V και υποστηρίζεται από μια ροή εκκίνησης του επεξεργαστή Nios V ως παράγοντα επαναφοράς.
· Καθορίζει την μετατόπιση του διανύσματος επαναφοράς σε σχέση με τη βασική διεύθυνση του επιλεγμένου παράγοντα επαναφοράς. · Το Platform Designer παρέχει αυτόματα μια προεπιλεγμένη τιμή για την μετατόπιση επαναφοράς.
· Ενεργοποιήστε το CLIC για να υποστηρίξετε προληπτικές διακοπές και διαμορφώσιμες συνθήκες ενεργοποίησης διακοπών. · Όταν είναι ενεργοποιημένο, μπορείτε να διαμορφώσετε τον αριθμό των διακοπών πλατφόρμας, να ορίσετε συνθήκες ενεργοποίησης,
και να ορίσετε ορισμένες από τις διακοπές ως προληπτικές.

Λειτουργία διακοπής

· Καθορίστε τους τύπους διακοπών ως Άμεση, Διανυσματική ή CLIC.

Μητρώο Σκιών Files

· Ενεργοποίηση του shadow register για μείωση της εναλλαγής περιβάλλοντος κατά τη διακοπή.
· Προσφέρει δύο προσεγγίσεις:
— Αριθμός επιπέδων διακοπής CLIC
— Αριθμός επιπέδων διακοπής CLIC – 1: Αυτή η επιλογή είναι χρήσιμη όταν θέλετε τον αριθμό των καταχωρητών file αντίγραφα για να χωρέσουν σε έναν ακριβή αριθμό μπλοκ M20K ή M9K.
· Ενεργοποιήστε τον επεξεργαστή Nios V για να χρησιμοποιεί το shadow register files που μειώνουν την επιβάρυνση εναλλαγής περιβάλλοντος κατά τη διακοπή.
Για περισσότερες πληροφορίες σχετικά με το μητρώο σκιών files, ανατρέξτε στο Εγχειρίδιο Αναφοράς Επεξεργαστή Nios V.

Αριθμός πηγών διακοπής πλατφόρμας

· Καθορίζει τον αριθμό των διακοπών πλατφόρμας μεταξύ 16 και 2048.
Σημείωση: Το CLIC υποστηρίζει έως και 2064 εισόδους διακοπής και οι πρώτες 16 είσοδοι διακοπής είναι επίσης συνδεδεμένες στον βασικό ελεγκτή διακοπής.

Στοίχιση πίνακα διανυσματικών στοιχείων CLIC

· Προσδιορίζεται αυτόματα με βάση τον αριθμό των πηγών διακοπής πλατφόρμας. · Εάν χρησιμοποιήσετε μια στοίχιση που είναι κάτω από τη συνιστώμενη τιμή, το CLIC αυξάνει τη λογική
πολυπλοκότητα προσθέτοντας έναν επιπλέον αθροιστή για την εκτέλεση υπολογισμών διανυσματοποίησης. · Εάν χρησιμοποιήσετε μια στοίχιση που είναι κάτω από τη συνιστώμενη τιμή, αυτό έχει ως αποτέλεσμα αυξημένη
λογική πολυπλοκότητα στο CLIC.
συνέχισε…

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 20

Αποστολή σχολίων

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας
726952 | 2025.07.16

Παγίδες, Εξαιρέσεις και Διακοπές
Αριθμός επιπέδων διακοπής
Αριθμός προτεραιοτήτων διακοπής ανά επίπεδο
Διαμορφώσιμη πολικότητα διακοπής Υποστήριξη διακοπών που ενεργοποιούνται από άκρη

Περιγραφές
· Καθορίζει τον αριθμό των επιπέδων διακοπής με ένα επιπλέον επίπεδο 0 για τον κώδικα εφαρμογής. Οι διακοπές υψηλότερου επιπέδου μπορούν να διακόψουν (προεκλάβουν) έναν χειριστή που εκτελείται για μια διακοπή χαμηλότερου επιπέδου.
· Με τα μη μηδενικά επίπεδα διακοπής ως τις μόνες επιλογές για διακοπές, ο κώδικας εφαρμογής βρίσκεται πάντα στο χαμηλότερο επίπεδο 0. Σημείωση: Η διαμόρφωση του επιπέδου και της προτεραιότητας μιας διακοπής κατά τον χρόνο εκτέλεσης γίνεται σε ένα μόνο καταχωρητή 8-bit. Εάν ο αριθμός των επιπέδων διακοπής είναι 256, δεν είναι δυνατή η διαμόρφωση της προτεραιότητας διακοπής κατά τον χρόνο εκτέλεσης. Διαφορετικά, ο μέγιστος αριθμός διαμορφώσιμων προτεραιοτήτων είναι 256 / (αριθμός επιπέδων διακοπής – 1).
· Καθορίζει τον αριθμό των προτεραιοτήτων διακοπής, τις οποίες χρησιμοποιεί το CLIC για να καθορίσει τη σειρά με την οποία καλούνται οι μη προληπτικοί χειριστές διακοπών. Σημείωση: Η συνένωση δυαδικών τιμών του επιλεγμένου επιπέδου διακοπής και της επιλεγμένης προτεραιότητας διακοπής πρέπει να είναι μικρότερη από 8 bit.
· Σας επιτρέπει να ρυθμίσετε την πολικότητα διακοπής κατά τη διάρκεια εκτέλεσης. · Η προεπιλεγμένη πολικότητα είναι θετική πολικότητα.
· Σας επιτρέπει να διαμορφώσετε την κατάσταση ενεργοποίησης διακοπής κατά τον χρόνο εκτέλεσης, δηλαδή ενεργοποίηση υψηλού επιπέδου ή ενεργοποίηση θετικού άκρου (όταν η πολικότητα διακοπής είναι θετική στην Διαμορφώσιμη πολικότητα διακοπής).
· Η προεπιλεγμένη συνθήκη ενεργοποίησης είναι η διακοπή που ενεργοποιείται από το επίπεδο.

Σημείωμα:

Το Platform Designer παρέχει μια επιλογή Absolute (Απόλυτη), η οποία σας επιτρέπει να καθορίσετε μια απόλυτη διεύθυνση στην επιλογή Reset Offset (Επαναφορά μετατόπισης). Χρησιμοποιήστε αυτήν την επιλογή όταν η μνήμη που αποθηκεύει το διάνυσμα επαναφοράς βρίσκεται εκτός του συστήματος και των υποσυστημάτων του επεξεργαστή.

Σχετικές πληροφορίες Εγχειρίδιο αναφοράς επεξεργαστή Nios® V

2.1.1.3.6. Καρτέλα Διαμορφώσεων Μνήμης

Πίνακας 17. Παράμετροι καρτέλας Διαμόρφωση μνήμης

Κατηγορία

Καρτέλα Διαμόρφωσης Μνήμης

Περιγραφή

Κρυφές μνήμες

Μέγεθος προσωρινής μνήμης δεδομένων

· Καθορίζει το μέγεθος της προσωρινής μνήμης δεδομένων. · Τα έγκυρα μεγέθη είναι από 0 kilobyte (KB) έως 16 KB. · Απενεργοποιεί την προσωρινή μνήμη δεδομένων όταν το μέγεθος είναι 0 KB.

Μέγεθος προσωρινής μνήμης εντολών

· Καθορίζει το μέγεθος της προσωρινής μνήμης εντολών. · Τα έγκυρα μεγέθη είναι από 0 KB έως 16 KB. · Απενεργοποιεί την προσωρινή μνήμη εντολών όταν το μέγεθος είναι 0 KB.

Περιφερειακή Περιοχή Α και Β

Μέγεθος

· Καθορίζει το μέγεθος της περιφερειακής περιοχής.
· Τα έγκυρα μεγέθη είναι από 64 KB έως 2 gigabyte (GB) ή Κανένα. Η επιλογή Κανένα απενεργοποιεί την περιφερειακή περιοχή.

Διεύθυνση βάσης

· Καθορίζει τη βασική διεύθυνση της περιφερειακής περιοχής αφού επιλέξετε το μέγεθος.
· Όλες οι διευθύνσεις στην περιφερειακή περιοχή παράγουν μη προσβάσιμες σε δεδομένα προσβάσεις χωρίς δυνατότητα προσωρινής αποθήκευσης.
· Η βασική διεύθυνση της περιφερειακής περιοχής πρέπει να ευθυγραμμίζεται με το μέγεθος της περιφερειακής περιοχής.

Στενά συνδεδεμένες αναμνήσεις

Μέγεθος

· Καθορίζει το μέγεθος της στενά συνδεδεμένης μνήμης. — Τα έγκυρα μεγέθη είναι από 0 MB έως 512 MB.

Αρχικοποίηση διεύθυνσης βάσης File

· Καθορίζει τη βασική διεύθυνση της tightly-coupled memory. · Καθορίζει την αρχικοποίηση file για στενά συνδεδεμένη μνήμη.

Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 21

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας
726952 | 2025.07.16

Σημείωμα:

Σε ένα σύστημα επεξεργαστή Nios V με ενεργοποιημένη την προσωρινή μνήμη, πρέπει να τοποθετήσετε τα περιφερειακά του συστήματος μέσα σε μια περιφερειακή περιοχή. Μπορείτε να χρησιμοποιήσετε περιφερειακές περιοχές για να ορίσετε μια συναλλαγή που δεν είναι δυνατή η προσωρινή αποθήκευση για περιφερειακά όπως UART, PIO, DMA και άλλα.

2.1.1.3.7. Καρτέλα ECC

Πίνακας 18. Καρτέλα ECC
ECC Ενεργοποίηση ανίχνευσης σφαλμάτων και αναφοράς κατάστασης
Ενεργοποίηση διόρθωσης ενός bit

Περιγραφή
· Ενεργοποιήστε αυτήν την επιλογή για να εφαρμόσετε τη λειτουργία ECC για τα εσωτερικά μπλοκ RAM του επεξεργαστή Nios V. · Οι λειτουργίες ECC ανιχνεύουν σφάλματα έως και 2 bit και αντιδρούν με βάση την ακόλουθη συμπεριφορά:
— Εάν πρόκειται για διορθώσιμο σφάλμα ενός bit και η επιλογή Ενεργοποίηση διόρθωσης ενός bit είναι απενεργοποιημένη, ο επεξεργαστής συνεχίζει να λειτουργεί μετά τη διόρθωση του σφάλματος στη διοχέτευση του επεξεργαστή. Ωστόσο, η διόρθωση δεν αντικατοπτρίζεται στις μνήμες προέλευσης.
— Εάν πρόκειται για διορθώσιμο σφάλμα ενός bit και η επιλογή Ενεργοποίηση διόρθωσης ενός bit είναι ενεργοποιημένη, ο επεξεργαστής συνεχίζει να λειτουργεί μετά τη διόρθωση του σφάλματος στη διοχέτευση του επεξεργαστή και στις μνήμες πηγής.
— Εάν πρόκειται για μη διορθώσιμο σφάλμα, ο επεξεργαστής διακόπτει τη λειτουργία του.
Ενεργοποίηση διόρθωσης ενός bit σε ενσωματωμένα μπλοκ μνήμης στον πυρήνα.

2.1.1.3.8. Καρτέλα Προσαρμοσμένων Οδηγιών

Σημείωμα:

Αυτή η καρτέλα είναι διαθέσιμη μόνο για τον πυρήνα επεξεργαστή Nios V/g.

Προσαρμοσμένες οδηγίες Nios V Πίνακας διεπαφής υλικού προσαρμοσμένων οδηγιών
Πίνακας μακροεντολών για προσαρμοσμένο λογισμικό οδηγιών Nios V

Περιγραφή
· Ο επεξεργαστής Nios V χρησιμοποιεί αυτόν τον πίνακα για να ορίσει τις προσαρμοσμένες διεπαφές του για τον διαχειριστή εντολών.
· Οι καθορισμένες διεπαφές προσαρμοσμένων εντολών κωδικοποιούνται μοναδικά από έναν Κώδικα Λειτουργίας (CUSTOM0-3) και 3 bits της συνάρτησης funct7[6:4].
· Μπορείτε να ορίσετε έως και 32 συνολικά μεμονωμένες προσαρμοσμένες διεπαφές διαχείρισης εντολών.
· Ο επεξεργαστής Nios V χρησιμοποιεί αυτόν τον πίνακα για τον ορισμό προσαρμοσμένων κωδικοποιήσεων λογισμικού οδηγιών για καθορισμένες διεπαφές διαχειριστή προσαρμοσμένων οδηγιών.
· Για κάθε καθορισμένη κωδικοποίηση προσαρμοσμένου λογισμικού εντολών, ο κωδικός λειτουργίας (CUSTOM0-3) και 3 bits της κωδικοποίησης funct7[6:4] πρέπει να συσχετίζονται με μια καθορισμένη κωδικοποίηση διεπαφής προσαρμοσμένου διαχειριστή εντολών στον Πίνακα Διεπαφής Υλικού Προσαρμοσμένων Οδηγιών.
· Μπορείτε να χρησιμοποιήσετε τις συναρτήσεις funct7[6:4], funct7[3:0] και funct3[2:0] για να ορίσετε πρόσθετη κωδικοποίηση για μια δεδομένη προσαρμοσμένη εντολή ή να την ορίσετε ως Xs που θα περαστούν ως πρόσθετα ορίσματα εντολής.
· Ο επεξεργαστής Nios V παρέχει καθορισμένες κωδικοποιήσεις λογισμικού προσαρμοσμένων εντολών ως δημιουργημένες μακροεντολές C στο system.h και ακολουθεί τη μορφή εντολών RISC-V τύπου R.
· Τα μνημονικά μπορούν να χρησιμοποιηθούν για τον ορισμό προσαρμοσμένων ονομάτων για: — Τις μακροεντολές C που δημιουργούνται στο system.h.
— Τα δημιουργημένα μνημονικά στοιχεία εντοπισμού σφαλμάτων GDB στο custom_instruction_debug.xml.

Σχετικές Πληροφορίες
AN 977: Προσαρμοσμένες οδηγίες για τον επεξεργαστή Nios V Για περισσότερες πληροφορίες σχετικά με τις προσαρμοσμένες οδηγίες που σας επιτρέπουν να προσαρμόσετε τον επεξεργαστή Nios® V ώστε να καλύπτει τις ανάγκες μιας συγκεκριμένης εφαρμογής.

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 22

Αποστολή σχολίων

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας 726952 | 2025.07.16
2.1.2. Ορισμός Σχεδιασμού Στοιχείων Συστήματος
Χρησιμοποιήστε το Platform Designer για να ορίσετε τα χαρακτηριστικά υλικού του συστήματος επεξεργαστή Nios V και να προσθέσετε τα επιθυμητά στοιχεία. Το ακόλουθο διάγραμμα παρουσιάζει έναν βασικό σχεδιασμό συστήματος επεξεργαστή Nios V με τα ακόλουθα στοιχεία: · Πυρήνας επεξεργαστή Nios V · Μνήμη On-Chip · JTAG UART · Χρονοδιακόπτης διαστήματος (προαιρετικό)(1)
Όταν προστίθεται μια νέα μνήμη On-Chip σε ένα σύστημα Platform Designer, εκτελέστε Συγχρονισμό πληροφοριών συστήματος για να αντικατοπτρίσετε τα στοιχεία μνήμης που προστέθηκαν κατά την επαναφορά. Εναλλακτικά, μπορείτε να ενεργοποιήσετε τον Αυτόματο Συγχρονισμό στο Platform Designer για να αντικατοπτρίσετε αυτόματα τις πιο πρόσφατες αλλαγές στοιχείων.
Εικόνα 11. ΠρampΣύνδεση του επεξεργαστή Nios V με άλλα περιφερειακά στο Platform Designer

(1) Έχετε την επιλογή να χρησιμοποιήσετε τις λειτουργίες εσωτερικού χρονοδιακόπτη Nios V για να αντικαταστήσετε τον εξωτερικό χρονοδιακόπτη διαστημάτων στο Platform Designer.

Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 23

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας
726952 | 2025.07.16
Πρέπει επίσης να ορίσετε pin λειτουργίας για εξαγωγή ως αγωγό στο σύστημα Platform Designer. Για παράδειγμαampΔηλαδή, μια σωστή λίστα pin λειτουργίας συστήματος FPGA ορίζεται ως εξής, ενδεικτικά:
· Ρολόι
· Επαναφορά
· Σήματα εισόδου/εξόδου
2.1.3. Καθορισμός διευθύνσεων βάσης και προτεραιοτήτων αιτημάτων διακοπής
Για να καθορίσετε τον τρόπο με τον οποίο τα στοιχεία που προστίθενται στο σχεδιασμό αλληλεπιδρούν για να σχηματίσουν ένα σύστημα, πρέπει να αντιστοιχίσετε βασικές διευθύνσεις για κάθε στοιχείο του παράγοντα και να αντιστοιχίσετε προτεραιότητες αιτήματος διακοπής (IRQ) για το J.TAG UART και το χρονόμετρο διαστήματος. Ο Σχεδιαστής Πλατφόρμας παρέχει μια εντολή – Assign Base Addresses – η οποία αντιστοιχίζει αυτόματα τις κατάλληλες βασικές διευθύνσεις σε όλα τα στοιχεία ενός συστήματος. Ωστόσο, μπορείτε να προσαρμόσετε τις βασικές διευθύνσεις ανάλογα με τις ανάγκες σας.
Ακολουθούν ορισμένες οδηγίες για την ανάθεση βασικών διευθύνσεων:
· Ο πυρήνας του επεξεργαστή Nios V έχει εύρος διευθύνσεων 32-bit. Για την πρόσβαση σε στοιχεία του παράγοντα, η βασική τους διεύθυνση πρέπει να κυμαίνεται μεταξύ 0x00000000 και 0xFFFFFFFF.
· Τα προγράμματα Nios V χρησιμοποιούν συμβολικές σταθερές για να αναφέρονται σε διευθύνσεις. Δεν χρειάζεται να επιλέξετε τιμές διευθύνσεων που είναι εύκολο να θυμάστε.
· Οι τιμές διευθύνσεων που διαφοροποιούν τα στοιχεία με διαφορά διευθύνσεων μόνο ενός bit παράγουν πιο αποτελεσματικό υλικό. Δεν χρειάζεται να συμπυκνώσετε όλες τις βασικές διευθύνσεις στο μικρότερο δυνατό εύρος διευθύνσεων, επειδή η συμπύκνωση μπορεί να δημιουργήσει λιγότερο αποτελεσματικό υλικό.
· Το Platform Designer δεν επιχειρεί να ευθυγραμμίσει ξεχωριστά στοιχεία μνήμης σε ένα συνεχόμενο εύρος μνήμης. Για παράδειγμαampΔηλαδή, αν θέλετε πολλά στοιχεία μνήμης On-Chip να είναι διευθυνσιοδοτήσιμα ως μία συνεχόμενη περιοχή μνήμης, πρέπει να αντιστοιχίσετε ρητά βασικές διευθύνσεις.
Το Platform Designer παρέχει επίσης μια εντολή αυτοματισμού – Assign Interrupt Numbers (Αριθμοί Διακοπής), η οποία συνδέει σήματα IRQ για την παραγωγή έγκυρων αποτελεσμάτων υλικού. Ωστόσο, η εκχώρηση IRQ απαιτεί ουσιαστικά την κατανόηση της συνολικής συμπεριφοράς απόκρισης του συστήματος. Το Platform Designer δεν μπορεί να κάνει εμπεριστατωμένες εικασίες σχετικά με την καλύτερη εκχώρηση IRQ.
Η χαμηλότερη τιμή IRQ έχει την υψηλότερη προτεραιότητα. Σε ένα ιδανικό σύστημα, η Altera συνιστά το στοιχείο του χρονοδιακόπτη να έχει την υψηλότερη προτεραιότητα IRQ, δηλαδή τη χαμηλότερη τιμή, για να διατηρηθεί η ακρίβεια του τικ ρολογιού του συστήματος.
Σε ορισμένες περιπτώσεις, ενδέχεται να εκχωρήσετε υψηλότερη προτεραιότητα σε περιφερειακά πραγματικού χρόνου (όπως ελεγκτές βίντεο), κάτι που απαιτεί υψηλότερο ρυθμό διακοπών από τα στοιχεία χρονοδιακόπτη.
Σχετικές Πληροφορίες
Οδηγός χρήστη Quartus Prime Pro Edition: Περισσότερες πληροφορίες σχετικά με τη δημιουργία ενός συστήματος με το Platform Designer.

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 24

Αποστολή σχολίων

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας 726952 | 2025.07.16
2.2. Ενσωμάτωση του Συστήματος Σχεδίασης Πλατφόρμας στο Έργο Quartus Prime
Αφού δημιουργήσετε το σχεδιασμό του συστήματος Nios V στο Platform Designer, εκτελέστε τις ακόλουθες εργασίες για να ενσωματώσετε τη μονάδα συστήματος Nios V στο έργο σχεδιασμού FPGA Quartus Prime. · Δημιουργήστε την μονάδα συστήματος Nios V στο έργο Quartus Prime · Συνδέστε σήματα από τη μονάδα συστήματος Nios V με άλλα σήματα στη λογική FPGA · Ορίστε τη θέση των φυσικών ακίδων · Περιορίστε το σχεδιασμό FPGA
2.2.1. Δημιουργία στιγμιαίας λειτουργίας της μονάδας συστήματος επεξεργαστή Nios V στο έργο Quartus Prime
Το Platform Designer δημιουργεί μια οντότητα σχεδίασης ενότητας συστήματος την οποία μπορείτε να δημιουργήσετε στο Quartus Prime. Ο τρόπος δημιουργίας της ενότητας συστήματος εξαρτάται από τη μέθοδο εισαγωγής σχεδίασης για το συνολικό έργο Quartus Prime. Για παράδειγμαampΔηλαδή, αν χρησιμοποιούσατε Verilog HDL για την εισαγωγή σχεδίασης, δημιουργήστε την αρχική μορφή της λειτουργικής μονάδας συστήματος που βασίζεται στο Verilog. Αν προτιμάτε να χρησιμοποιήσετε τη μέθοδο του διαγράμματος μπλοκ για την εισαγωγή σχεδίασης, δημιουργήστε την αρχική μορφή ενός συμβόλου λειτουργικής μονάδας συστήματος .bdf. file.
2.2.2. Σύνδεση σημάτων και ανάθεση φυσικών θέσεων ακίδων
Για να συνδέσετε το σχέδιο Altera FPGA σας με το σχέδιο σε επίπεδο πλακέτας, εκτελέστε τις ακόλουθες εργασίες: · Προσδιορίστε το ανώτατο επίπεδο file για το σχεδιασμό και τα σήματα σας για σύνδεση με εξωτερικό Altera
Ακίδες συσκευής FPGA. · Κατανοήστε ποιες ακίδες πρέπει να συνδέσετε μέσω του οδηγού χρήστη σχεδίασης σε επίπεδο πλακέτας ή
σχηματικά. · Αντιστοιχίστε σήματα στο σχεδιασμό ανώτατου επιπέδου σε θύρες στη συσκευή Altera FPGA με pin
εργαλεία ανάθεσης.
Το σύστημα Platform Designer σας μπορεί να είναι σχεδιασμού ανώτατου επιπέδου. Ωστόσο, το Altera FPGA μπορεί επίσης να περιλαμβάνει πρόσθετη λογική με βάση τις ανάγκες σας και έτσι εισάγει ένα προσαρμοσμένο σύστημα σχεδιασμού ανώτατου επιπέδου. fileΤο ανώτατο επίπεδο file Συνδέει τα σήματα της μονάδας συστήματος επεξεργαστή Nios V με άλλες λογικές σχεδίασης FPGA της Altera.
Σχετικές πληροφορίες Οδηγός χρήστη Quartus Prime Pro Edition: Περιορισμοί σχεδίασης
2.2.3. Περιορισμός του σχεδιασμού FPGA Altera
Ένας σωστός σχεδιασμός συστήματος FPGA Altera περιλαμβάνει περιορισμούς σχεδιασμού για να διασφαλιστεί ότι ο σχεδιασμός πληροί τις απαιτήσεις χρονικού κλεισίματος και άλλων λογικών περιορισμών. Πρέπει να περιορίσετε τον σχεδιασμό FPGA Altera ώστε να πληροί αυτές τις απαιτήσεις ρητά χρησιμοποιώντας εργαλεία που παρέχονται στο λογισμικό Quartus Prime ή σε τρίτους παρόχους EDA. Το λογισμικό Quartus Prime χρησιμοποιεί τους παρεχόμενους περιορισμούς κατά τη φάση μεταγλώττισης για να επιτύχει τα βέλτιστα αποτελέσματα τοποθέτησης.

Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 25

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας
726952 | 2025.07.16
Σχετικές πληροφορίες · Οδηγός χρήστη Quartus Prime Pro Edition: Περιορισμοί σχεδίασης · ​​Συνεργάτες EDA τρίτων κατασκευαστών · Οδηγός χρήστη Quartus Prime Pro Edition: Αναλυτής χρονισμού
2.3. Σχεδιασμός ενός συστήματος μνήμης επεξεργαστή Nios V
Αυτή η ενότητα περιγράφει τις βέλτιστες πρακτικές για την επιλογή συσκευών μνήμης σε ένα ενσωματωμένο σύστημα Platform Designer με επεξεργαστή Nios V και την επίτευξη βέλτιστης απόδοσης. Οι συσκευές μνήμης παίζουν κρίσιμο ρόλο στη βελτίωση της συνολικής απόδοσης ενός ενσωματωμένου συστήματος. Η ενσωματωμένη μνήμη του συστήματος αποθηκεύει τις οδηγίες και τα δεδομένα του προγράμματος.
2.3.1. Πτητική Μνήμη
Μια κύρια διάκριση σε έναν τύπο μνήμης είναι η μεταβλητότητα. Η πτητική μνήμη διατηρεί τα περιεχόμενά της μόνο όσο τροφοδοτείτε με ρεύμα τη συσκευή μνήμης. Μόλις διακόψετε την τροφοδοσία, η μνήμη χάνει τα περιεχόμενά της.
ExampΤα λιγότερα πτητικά στοιχεία μνήμης είναι η RAM, η προσωρινή μνήμη και οι καταχωρητές. Αυτοί είναι τύποι γρήγορης μνήμης που αυξάνουν την απόδοση λειτουργίας. Η Altera συνιστά να φορτώνετε και να εκτελείτε οδηγίες επεξεργαστή Nios V στη RAM και να αντιστοιχίζετε τον πυρήνα IP του Nios V με την On-Chip Memory IP ή την External Memory Interface IP για βέλτιστη απόδοση.
Για να βελτιώσετε την απόδοση, μπορείτε να εξαλείψετε πρόσθετα στοιχεία προσαρμογής του Platform Designer αντιστοιχίζοντας τον τύπο ή το πλάτος της διεπαφής του διαχειριστή δεδομένων επεξεργαστή Nios V με τη μνήμη RAM εκκίνησης. Για παράδειγμα,ampΔηλαδή, μπορείτε να διαμορφώσετε την On-Chip Memory II με μια διεπαφή AXI-32 4-bit, η οποία ταιριάζει με τη διεπαφή διαχείρισης δεδομένων Nios V.
Σχετικές πληροφορίες · Εξωτερικές διεπαφές μνήμης Κέντρο υποστήριξης IP · Ενσωματωμένη μνήμη (RAM ή ROM) Altera FPGA IP · Ενσωματωμένη μνήμη II (RAM ή ROM) Altera FPGA IP · Εφαρμογή επεξεργαστή Nios V Εκτέλεση επιτόπου από OCRAM στη σελίδα 54
2.3.1.1. Διαμόρφωση μνήμης ενσωματωμένης στο τσιπ RAM ή ROM
Μπορείτε να διαμορφώσετε τις διευθύνσεις IP της ενσωματωμένης μνήμης Altera FPGA ως RAM ή ROM. · Η μνήμη RAM παρέχει δυνατότητα ανάγνωσης και εγγραφής και έχει πτητική φύση. Εάν είστε
Κατά την εκκίνηση του επεξεργαστή Nios V από μια ενσωματωμένη μνήμη RAM (On-Chip RAM), πρέπει να βεβαιωθείτε ότι το περιεχόμενο εκκίνησης διατηρείται και δεν καταστρέφεται σε περίπτωση επαναφοράς κατά τη διάρκεια της εκτέλεσης. · Εάν ένας επεξεργαστής Nios V εκκινεί από ROM, οποιοδήποτε σφάλμα λογισμικού στον επεξεργαστή Nios V δεν μπορεί να αντικαταστήσει εσφαλμένα τα περιεχόμενα της ενσωματωμένης μνήμης. Μειώνοντας έτσι τον κίνδυνο καταστροφής του λογισμικού εκκίνησης.
Σχετικές πληροφορίες · Ενσωματωμένη μνήμη (RAM ή ROM) Altera FPGA IP · Ενσωματωμένη μνήμη II (RAM ή ROM) Altera FPGA IP · Εφαρμογή επεξεργαστή Nios V Εκτέλεση επιτόπου από OCRAM στη σελίδα 54

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 26

Αποστολή σχολίων

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας 726952 | 2025.07.16
2.3.1.2. Κρυφές μνήμες
Οι ενσωματωμένες μνήμες χρησιμοποιούνται συνήθως για την υλοποίηση της λειτουργικότητας της προσωρινής μνήμης (cache) λόγω της χαμηλής καθυστέρησης που εμφανίζουν. Ο επεξεργαστής Nios V χρησιμοποιεί ενσωματωμένη μνήμη (on-chip memory) για τις προσωρινές μνήμες εντολών και δεδομένων. Η περιορισμένη χωρητικότητα της ενσωματωμένης μνήμης συνήθως δεν αποτελεί πρόβλημα για τις προσωρινές μνήμες, επειδή είναι συνήθως μικρές.
Οι προσωρινές μνήμες χρησιμοποιούνται συνήθως υπό τις ακόλουθες συνθήκες:
· Η κανονική μνήμη βρίσκεται εκτός τσιπ και έχει μεγαλύτερο χρόνο πρόσβασης από την ενσωματωμένη μνήμη.
· Τα κρίσιμα για την απόδοση τμήματα του κώδικα λογισμικού μπορούν να χωρέσουν στην προσωρινή μνήμη εντολών, βελτιώνοντας την απόδοση του συστήματος.
· Το τμήμα των δεδομένων που είναι κρίσιμο για την απόδοση και χρησιμοποιείται συχνότερα μπορεί να χωρέσει στην προσωρινή μνήμη δεδομένων, βελτιώνοντας την απόδοση του συστήματος.
Η ενεργοποίηση των cache στον επεξεργαστή Nios V δημιουργεί μια ιεραρχία μνήμης, η οποία ελαχιστοποιεί τον χρόνο πρόσβασης στη μνήμη.
2.3.1.2.1. Περιφερειακή περιοχή
Οποιεσδήποτε ενσωματωμένες IP περιφερειακών, όπως UART, I2C και SPI, δεν πρέπει να αποθηκεύονται στην προσωρινή μνήμη. Η προσωρινή μνήμη συνιστάται ιδιαίτερα για εξωτερικές μνήμες που επηρεάζονται από μεγάλο χρόνο πρόσβασης, ενώ οι εσωτερικές μνήμες στο τσιπ ενδέχεται να εξαιρεθούν λόγω του σύντομου χρόνου πρόσβασής τους. Δεν πρέπει να αποθηκεύετε στην προσωρινή μνήμη ενσωματωμένες IP περιφερειακών, όπως UART, I2C και SPI, εκτός από τις μνήμες. Αυτό είναι σημαντικό επειδή τα συμβάντα από εξωτερικές συσκευές, όπως συσκευές agent που ενημερώνουν τις soft IP, δεν καταγράφονται από την προσωρινή μνήμη του επεξεργαστή και με τη σειρά τους δεν λαμβάνονται από τον επεξεργαστή. Ως αποτέλεσμα, αυτά τα συμβάντα μπορεί να περάσουν απαρατήρητα μέχρι να εκκαθαρίσετε την προσωρινή μνήμη, κάτι που μπορεί να οδηγήσει σε ακούσια συμπεριφορά στο σύστημά σας. Συνοψίζοντας, η περιοχή αντιστοίχισης μνήμης των ενσωματωμένων IP περιφερειακών δεν είναι δυνατή η προσωρινή μνήμη και πρέπει να βρίσκεται εντός των περιφερειακών περιοχών του επεξεργαστή.
Για να ορίσετε μια περιφερειακή περιοχή, ακολουθήστε τα εξής βήματα:
1. Ανοίξτε τον Χάρτη Διευθύνσεων του συστήματος στο Platform Designer.
2. Μεταβείτε στον χάρτη διευθύνσεων του Διαχειριστή εντολών και του Διαχειριστή δεδομένων του επεξεργαστή.
3. Προσδιορίστε τα περιφερειακά και τις μνήμες στο σύστημά σας.
Εικόνα 12. Πρampφύλλο του Χάρτη Διευθύνσεων

Σημείωση: Τα μπλε βέλη δείχνουν προς τις μνήμες. 4. Ομαδοποιήστε τις περιφερειακές συσκευές:
α. Η μνήμη ως προσωρινά αποθηκευμένη β. Οι περιφερειακές συσκευές ως μη προσωρινά αποθηκευμένες

Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 27

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας
726952 | 2025.07.16

Πίνακας 19. Περιοχή με δυνατότητα προσωρινής αποθήκευσης και μη προσωρινής αποθήκευσης

Υποτελής

Χάρτης διευθύνσεων

Κατάσταση

Περιφερειακή Περιοχή

Μέγεθος

Διεύθυνση βάσης

user_application_mem.s1

0x0 ~ 0x3ffff

Προσωρινά

N/A

N/A

cpu.dm_agent bootcopier_rom.s1

0x40000 ~ 0x4ffff 0x50000 ~ 0x517ff

Μη προσβάσιμο στην προσωρινή μνήμη

65536 bytes Δ/Υ

0x40000 N/A

bootcopier_ram.s1 cpu.timer_sw_agent mailbox.avmm

0x52000 ~ 0x537ff 0x54000 ~ 0x5403f 0x54040 ~ 0x5407f

Διαθέσιμο στην προσωρινή μνήμη

144 bytes (το ελάχιστο μέγεθος είναι 65536 bytes)

0x54000

sysid_qsys_0.control_slave

0x54080 ~ 0x54087

Μη διαθέσιμο στην προσωρινή μνήμη

uart.avalon_jtag_δούλος

0x54088 ~ 0x5408f

Μη διαθέσιμο στην προσωρινή μνήμη

5. Ευθυγραμμίστε τις περιφερειακές περιοχές με τα συγκεκριμένα μεγέθη τους:
· Για π.χampΔηλαδή, αν το μέγεθος είναι 65536 byte, αντιστοιχεί σε 0x10000 byte. Επομένως, η επιτρεπόμενη διεύθυνση βάσης πρέπει να είναι πολλαπλάσιο του 0x10000.
· Το CPU.dm_agent χρησιμοποιεί μια βασική διεύθυνση 0x40000, η ​​οποία είναι πολλαπλάσιο του 0x10000. Ως αποτέλεσμα, η Περιφερειακή Περιοχή Α, με μέγεθος 65536 byte και βασική διεύθυνση 0x40000, πληροί τις απαιτήσεις.
· Η βασική διεύθυνση της συλλογής μη αποθηκευμένων περιοχών στην προσωρινή μνήμη 0x54000 δεν είναι πολλαπλάσιο του 0x10000. Πρέπει να τις αντιστοιχίσετε εκ νέου σε 0x60000 ή σε άλλο πολλαπλάσιο του 0x10000. Έτσι, η Περιφερειακή Περιοχή Β, η οποία έχει μέγεθος 65536 byte και βασική διεύθυνση 0x60000, ικανοποιεί τα κριτήρια.

Πίνακας 20. Περιοχή με δυνατότητα προσωρινής αποθήκευσης και μη δυνατότητα προσωρινής αποθήκευσης με επανατοποθέτηση

Υποτελής

Χάρτης διευθύνσεων

Κατάσταση

Περιφερειακή Περιοχή

Μέγεθος

Διεύθυνση βάσης

user_application_mem.s1

0x0 ~ 0x3ffff

Προσωρινά

N/A

N/A

cpu.dm_agent

0x40000 ~ 0x4ffff

Μη προσβάσιμο στην προσωρινή μνήμη 65536 bytes

0x40000

bootcopier_rom.s1

0x50000 ~ 0x517ff

Προσωρινά

N/A

N/A

bootcopier_ram.s1 cpu.timer_sw_agent mailbox.avmm sysid_qsys_0.control_slave

0x52000 ~ 0x537ff 0x60000 ~ 0x6003f 0x60040 ~ 0x6007f 0x60080 ~ 0x60087

Διαθέσιμο στην προσωρινή μνήμη

144 bytes (το ελάχιστο μέγεθος είναι 65536 bytes)

0x60000

uart.avalon_jtag_δούλος

0x60088 ~ 0x6008f

Μη διαθέσιμο στην προσωρινή μνήμη

2.3.1.3. Στενά συνδεδεμένη μνήμη
Οι σφιχτά συνδεδεμένες μνήμες (TCM) υλοποιούνται χρησιμοποιώντας ενσωματωμένη μνήμη, καθώς η χαμηλή καθυστέρηση που έχουν τις καθιστά ιδανικές για την εργασία. Οι TCM είναι μνήμες που αντιστοιχίζονται στον τυπικό χώρο διευθύνσεων, αλλά έχουν μια ειδική διεπαφή για τον μικροεπεξεργαστή και διαθέτουν τις ιδιότητες υψηλής απόδοσης και χαμηλής καθυστέρησης της προσωρινής μνήμης. Η TCM παρέχει επίσης μια δευτερεύουσα διεπαφή για τον εξωτερικό κεντρικό υπολογιστή. Ο επεξεργαστής και ο εξωτερικός κεντρικός υπολογιστής έχουν το ίδιο επίπεδο δικαιωμάτων για να χειρίζονται την TCM.

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 28

Αποστολή σχολίων

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας
726952 | 2025.07.16

Σημείωμα:

Όταν η δευτερεύουσα θύρα TCM είναι συνδεδεμένη σε έναν εξωτερικό κεντρικό υπολογιστή, ενδέχεται να εμφανίζεται με διαφορετική διεύθυνση βάσης από τη διεύθυνση βάσης που έχει αντιστοιχιστεί στον πυρήνα του επεξεργαστή. Η Altera συνιστά την ευθυγράμμιση και των δύο διευθύνσεων στην ίδια τιμή.

2.3.1.4. Εξωτερική διεπαφή μνήμης (EMIF)
Η EMIF (External Memory Interface) λειτουργεί παρόμοια με την SRAM (Static Random Access Memory), αλλά είναι δυναμική και απαιτεί περιοδική ανανέωση για να διατηρήσει το περιεχόμενό της. Τα δυναμικά κελιά μνήμης στην EMIF είναι πολύ μικρότερα από τα στατικά κελιά μνήμης στην SRAM, γεγονός που έχει ως αποτέλεσμα συσκευές μνήμης μεγαλύτερης χωρητικότητας και χαμηλότερου κόστους.
Εκτός από την απαίτηση ανανέωσης, το EMIF έχει συγκεκριμένες απαιτήσεις διεπαφής που συχνά απαιτούν εξειδικευμένο υλικό ελεγκτή. Σε αντίθεση με το SRAM, το οποίο έχει ένα σταθερό σύνολο γραμμών διευθύνσεων, το EMIF οργανώνει τον χώρο μνήμης του σε τράπεζες, γραμμές και στήλες. Η εναλλαγή μεταξύ τραπεζών και γραμμών εισάγει κάποια επιβάρυνση, επομένως πρέπει να ταξινομήσετε προσεκτικά τις προσβάσεις μνήμης για να χρησιμοποιήσετε αποτελεσματικά το EMIF. Το EMIF επίσης πολυπλέκει διευθύνσεις γραμμών και στηλών μέσω των ίδιων γραμμών διευθύνσεων, μειώνοντας τον αριθμό των ακίδων που απαιτούνται για ένα δεδομένο μέγεθος EMIF.
Οι εκδόσεις του EMIF υψηλότερης ταχύτητας, όπως οι DDR, DDR2, DDR3, DDR4 και DDR5, επιβάλλουν αυστηρές απαιτήσεις ακεραιότητας σήματος που πρέπει να λάβουν υπόψη οι σχεδιαστές PCB.
Οι συσκευές EMIF κατατάσσονται μεταξύ των πιο οικονομικών και υψηλής χωρητικότητας τύπων μνήμης RAM που διατίθενται, καθιστώντας τες μια δημοφιλή επιλογή. Ένα βασικό στοιχείο μιας διεπαφής EMIF είναι η διεύθυνση IP του EMIF, η οποία διαχειρίζεται εργασίες που σχετίζονται με την πολυπλεξία διευθύνσεων, την ανανέωση και την εναλλαγή μεταξύ γραμμών και συστοιχιών. Αυτός ο σχεδιασμός επιτρέπει στο υπόλοιπο σύστημα να έχει πρόσβαση στο EMIF χωρίς να χρειάζεται να κατανοήσει την εσωτερική του αρχιτεκτονική.

Σχετικές πληροφορίες Εξωτερικές διεπαφές μνήμης Κέντρο υποστήριξης IP

2.3.1.4.1. Επέκταση IP Εύρους Διευθύνσεων
Η Address Span Extender Altera FPGA IP επιτρέπει στις διεπαφές κεντρικού υπολογιστή με αντιστοίχιση μνήμης να έχουν πρόσβαση σε έναν μεγαλύτερο ή μικρότερο χάρτη διευθύνσεων από αυτόν που επιτρέπει το πλάτος των σημάτων διευθύνσεών τους. Η Address Span Extender IP χωρίζει τον διευθυνσιοδοτήσιμο χώρο σε πολλά ξεχωριστά παράθυρα, έτσι ώστε ο κεντρικός υπολογιστής να μπορεί να έχει πρόσβαση στο κατάλληλο μέρος της μνήμης μέσω του παραθύρου.
Η Επέκταση Εύρους Διευθύνσεων δεν περιορίζει τα πλάτη του κεντρικού υπολογιστή και του παράγοντα σε διαμόρφωση 32-bit και 64-bit. Μπορείτε να χρησιμοποιήσετε την Επέκταση Εύρους Διευθύνσεων με παράθυρα διευθύνσεων 1-64 bit.

Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 29

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας
726952 | 2025.07.16

Σχήμα 13. Επέκταση εύρους διευθύνσεων Altera FPGA IP
Διεύθυνση Λέξης Πράκτορα

Επέκταση εύρους διευθύνσεων

A

Πίνακας χαρτογράφησης
Θύρα ελέγχου Α

Μητρώο Ελέγχου 0 Μητρώο Ελέγχου Z-1

Διευρυμένη Διεύθυνση Κεντρικού Υπολογιστή H

Σχετικές Πληροφορίες
Οδηγός χρήστη Quartus® Prime Pro Edition: Σχεδιαστής πλατφόρμας. Ανατρέξτε στο θέμα Επέκταση έκτασης διευθύνσεων Intel® FPGA IP για περισσότερες πληροφορίες.

2.3.1.4.2. Χρήση IP επέκτασης εύρους διευθύνσεων με επεξεργαστή Nios V
Ο επεξεργαστής Nios V 32-bit μπορεί να διευθυνσιοδοτήσει έως και 4 GB εύρους διευθύνσεων. Εάν το EMIF περιέχει περισσότερα από 4 GB μνήμης, υπερβαίνει το μέγιστο υποστηριζόμενο εύρος διευθύνσεων, καθιστώντας το σύστημα Platform Designer εσφαλμένο. Απαιτείται μια IP επέκτασης εύρους διευθύνσεων (Address Span Extender IP) για την επίλυση αυτού του προβλήματος, διαιρώντας έναν μόνο χώρο διευθύνσεων EMIF σε πολλά μικρότερα παράθυρα.
Η Altera συνιστά να λάβετε υπόψη τις ακόλουθες παραμέτρους.

Πίνακας 21. Παράμετροι επέκτασης εύρους διευθύνσεων

Παράμετρος

Προτεινόμενες ρυθμίσεις

Πλάτος διαδρομής δεδομένων
Πλάτος Διευρυμένης Διεύθυνσης Master Byte

Επιλέξτε 32-bit, το οποίο αντιστοιχεί στον επεξεργαστή 32-bit. Εξαρτάται από το μέγεθος μνήμης EMIF.

Πλάτος διεύθυνσης δευτερεύουσας λέξης Πλάτος καταμέτρησης εκρήξεων

Επιλέξτε 2 GB ή λιγότερο. Το υπόλοιπο εύρος διευθύνσεων του επεξεργαστή Nios V δεσμεύεται για άλλες ενσωματωμένες soft IP.
Ξεκινήστε με 1 και αυξήστε σταδιακά αυτήν την τιμή για να βελτιώσετε την απόδοση.

Αριθμός δευτερευόντων παραθύρων

Επιλέξτε 1 υποπαράθυρο εάν συνδέετε το EMIF στον επεξεργαστή Nios V ως μνήμη εντολών και δεδομένων ή και τα δύο. Η εναλλαγή μεταξύ πολλαπλών υποπαραθύρων ενώ ο επεξεργαστής Nios V εκτελείται από το EMIF είναι επικίνδυνη.

Ενεργοποίηση θύρας ελέγχου υποτελούς

Απενεργοποιήστε την θύρα ελέγχου slave εάν συνδέετε το EMIF στον επεξεργαστή Nios V ως μνήμη εντολών ή/και δεδομένων. Ίδια ζητήματα με τον αριθμό των δευτερευόντων παραθύρων.

Μέγιστος αριθμός αναγνώσεων σε εκκρεμότητα

Ξεκινήστε με 1 και αυξήστε σταδιακά αυτήν την τιμή για να βελτιώσετε την απόδοση.

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 30

Αποστολή σχολίων

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας 726952 | 2025.07.16
Σχήμα 14. Σύνδεση εντολών και διαχειριστή δεδομένων με την επέκταση έκτασης διευθύνσεων

Σχήμα 15. Αντιστοίχιση διευθύνσεων

Σημειώστε ότι η Επέκταση Εύρους Διευθύνσεων (Address Span Extender) μπορεί να έχει πρόσβαση σε ολόκληρο τον χώρο μνήμης 8GB του EMIF. Ωστόσο, μέσω της Επέκτασης Εύρους Διευθύνσεων (Address Span Extender), ο επεξεργαστής Nios V μπορεί να έχει πρόσβαση μόνο στον πρώτο χώρο μνήμης 1GB του EMIF.

Σχήμα 16. Απλοποιημένο διάγραμμα μπλοκ

Σύστημα σχεδιαστή πλατφόρμας

Υπόλοιπα 3 GB

Διεύθυνση επεξεργαστή Nios V

Το span είναι για ενσωματωμένο

NNioios sVV PProrocecsesosor r
M

soft IPs στο ίδιο σύστημα.
Παράθυρο 1 GB

Έκταση διεύθυνσης

S

Επέκταση

M

Μόνο το πρώτο 1 GB

της μνήμης EMIF είναι συνδεδεμένη με το Nios V

EMIF

επεξεργαστή.

8 GB
S

Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 31

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας
726952 | 2025.07.16
2.3.1.4.3. Ορισμός Συσκευής Μνήμης Συνδετήρα Επέκτασης Εύρους Διευθύνσεων 1. Ορίστε την Επέκταση Εύρους Διευθύνσεων (EMIF) ως το διάνυσμα επαναφοράς. Εναλλακτικά, μπορείτε να αντιστοιχίσετε το διάνυσμα επαναφοράς του επεξεργαστή Nios V σε άλλες μνήμες, όπως OCRAM ή συσκευές flash.
Σχήμα 17. Πολλαπλές επιλογές ως διάνυσμα επαναφοράς
Ωστόσο, ο Επεξεργαστής Πακέτου Υποστήριξης Πλακέτας (BSP) δεν μπορεί να καταχωρήσει αυτόματα την Επέκταση Εύρους Διευθύνσεων (EMIF) ως έγκυρη μνήμη. Ανάλογα με την επιλογή που κάνατε, θα δείτε δύο διαφορετικές καταστάσεις, όπως φαίνεται στα παρακάτω σχήματα. Σχήμα 18. Σφάλμα BSP κατά τον ορισμό της Επέκτασης Εύρους Διευθύνσεων (EMIF) ως Διανύσματος Επαναφοράς

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 32

Αποστολή σχολίων

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας 726952 | 2025.07.16
Σχήμα 19. Λείπει το EMIF κατά τον ορισμό άλλων μνημών ως διάνυσμα επαναφοράς

2. Πρέπει να προσθέσετε χειροκίνητα την Επέκταση Εύρους Διευθύνσεων (EMIF) χρησιμοποιώντας τις επιλογές Προσθήκη Συσκευής Μνήμης, Προσθήκη Περιοχής Μνήμης Συνδέσμου και Προσθήκη Αντιστοιχίσεων Ενότητας Συνδέσμου στην καρτέλα Σκριπτ Συνδέσμου BSP.
3. Ακολουθήστε αυτά τα βήματα:
α. Προσδιορίστε το εύρος διευθύνσεων του Address Span Extender χρησιμοποιώντας τον Χάρτη Μνήμης (το π.χ.ampΤο le στο ακόλουθο σχήμα χρησιμοποιεί το εύρος Address Span Extender από 0x0 έως 0x3fff_ffff).
Σχήμα 20. Χάρτης μνήμης

β. Κάντε κλικ στην επιλογή Προσθήκη συσκευής μνήμης και συμπληρώστε με βάση τις πληροφορίες στον Χάρτη μνήμης του σχεδίου σας: i. Όνομα συσκευής: emif_ddr4. Σημείωση: Βεβαιωθείτε ότι αντιγράφετε το ίδιο όνομα από τον Χάρτη μνήμης. ii. Διεύθυνση βάσης: 0x0 iii. Μέγεθος: 0x40000000
γ. Κάντε κλικ στην επιλογή Προσθήκη για να προσθέσετε μια νέα περιοχή μνήμης συνδέτη:

Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 33

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας
726952 | 2025.07.16

Πίνακας 22. Προσθήκη περιοχής μνήμης συνδετήρα

Βήματα

Επαναφορά διανύσματος

emif_ddr4

Άλλες αναμνήσεις

1

Προσθέστε μια νέα περιοχή μνήμης συνδέτη που ονομάζεται επαναφορά. Προσθέστε μια νέα περιοχή μνήμης συνδέτη για το

· Όνομα περιοχής: επαναφορά

emif_ddr4.

· Μέγεθος περιοχής: 0x20

· Όνομα περιοχής: emif_ddr4

· Συσκευή μνήμης: emif_ddr4

· Μέγεθος περιοχής: 0x40000000

· Μετατόπιση μνήμης: 0x0

· Συσκευή μνήμης: emif_ddr4

· Μετατόπιση μνήμης: 0x0

2

Προσθήκη νέας περιοχής μνήμης συνδέτη για το

υπόλοιπο emif_ddr4.

· Όνομα περιοχής: emif_ddr4

· Μέγεθος περιοχής: 0x3fffffe0

· Συσκευή μνήμης: emif_ddr4

· Μετατόπιση μνήμης: 0x20

Σχήμα 21. Περιοχή σύνδεσης κατά τον ορισμό του Address Span Extender (EMIF) ως διάνυσμα επαναφοράς

Σχήμα 22. Περιοχή συνδέτη κατά τον ορισμό άλλων μνημών ως διάνυσμα επαναφοράς
δ. Μόλις προστεθεί το emif_ddr4 στο BSP, μπορείτε να το επιλέξετε για οποιαδήποτε ενότητα σύνδεσης.
Σχήμα 23. Προστέθηκε με επιτυχία η επέκταση Address Span (EMIF)

ε. Αγνοήστε την προειδοποίηση ότι η συσκευή μνήμης emif_ddr4 δεν είναι ορατή στη σχεδίαση SOPC.
στ. Προχωρήστε στη δημιουργία BSP.
Σχετικές πληροφορίες Εισαγωγή στις μεθόδους εκκίνησης του επεξεργαστή Nios V στη σελίδα 51

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 34

Αποστολή σχολίων

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας 726952 | 2025.07.16
2.3.2. Μη πτητική μνήμη
Η μη πτητική μνήμη διατηρεί το περιεχόμενό της όταν απενεργοποιείται η τροφοδοσία, καθιστώντας την μια καλή επιλογή για την αποθήκευση πληροφοριών που το σύστημα πρέπει να ανακτήσει μετά από έναν κύκλο απενεργοποίησης του συστήματος. Η μη πτητική μνήμη αποθηκεύει συνήθως κώδικα εκκίνησης του επεξεργαστή, μόνιμες ρυθμίσεις εφαρμογών και δεδομένα διαμόρφωσης Altera FPGA. Αν και η μη πτητική μνήμη έχει το πλεονέκτημαtagΗ διατήρηση των δεδομένων της όταν αφαιρείτε την τροφοδοσία, είναι πολύ πιο αργή σε σύγκριση με την πτητική μνήμη και συχνά έχει πιο σύνθετες διαδικασίες εγγραφής και διαγραφής. Η μη πτητική μνήμη είναι επίσης συνήθως εγγυημένη ότι μπορεί να διαγραφεί μόνο έναν δεδομένο αριθμό φορών, μετά τις οποίες ενδέχεται να παρουσιάσει βλάβη.
ExampΤα αρχεία μη πτητικής μνήμης περιλαμβάνουν όλους τους τύπους flash, EPROM και EEPROM. Η Altera συνιστά να αποθηκεύετε bitstreams FPGA της Altera και εικόνες προγράμματος Nios V σε μη πτητική μνήμη και να χρησιμοποιείτε σειριακή μνήμη flash ως συσκευή εκκίνησης για τους επεξεργαστές Nios V.
Σχετικές Πληροφορίες
· Γενικός οδηγός χρήστη για τη διεπαφή σειριακής λάμψης Altera FPGA IP
· Οδηγός χρήστη για το Mailbox Client Altera FPGA IP · Οδηγός χρήστη για τη μνήμη Flash χρήστη MAX® 10: Ενσωματωμένος πυρήνας IP Altera FPGA Flash
2.4. Βέλτιστες πρακτικές για ρολόγια και επαναφορές
Είναι σημαντικό να κατανοήσετε πώς το ρολόι και ο τομέας επαναφοράς του επεξεργαστή Nios V αλληλεπιδρούν με κάθε περιφερειακό στο οποίο συνδέονται. Ένα απλό σύστημα επεξεργαστή Nios V ξεκινά με έναν μόνο τομέα ρολογιού και μπορεί να γίνει περίπλοκο με ένα σύστημα πολλαπλών τομέων ρολογιού όταν ένας τομέας γρήγορου ρολογιού συγκρούεται με έναν τομέα αργού ρολογιού. Πρέπει να λάβετε υπόψη και να κατανοήσετε πώς αυτοί οι διαφορετικοί τομείς ακολουθούν μετά την επαναφορά και να βεβαιωθείτε ότι δεν υπάρχουν ανεπαίσθητα προβλήματα.
Για βέλτιστες πρακτικές, η Altera συνιστά την τοποθέτηση του επεξεργαστή Nios V και της μνήμης εκκίνησης στον ίδιο τομέα ρολογιού. Μην αποδεσμεύετε τον επεξεργαστή Nios V από επαναφορά σε τομέα γρήγορου ρολογιού όταν εκκινείται από μια μνήμη που βρίσκεται σε τομέα πολύ αργού ρολογιού, κάτι που μπορεί να προκαλέσει σφάλμα ανάκτησης εντολών. Ενδέχεται να χρειαστείτε κάποια χειροκίνητη αλληλουχία πέρα ​​από αυτήν που παρέχει το Platform Designer από προεπιλογή και σχεδιάστε την τοπολογία απελευθέρωσης επαναφοράς ανάλογα με την περίπτωση χρήσης σας. Εάν θέλετε να επαναφέρετε το σύστημά σας αφού αυτό ενεργοποιηθεί και εκτελεστεί για κάποιο χρονικό διάστημα, εφαρμόστε τις ίδιες παραμέτρους στην αλληλουχία επαναφοράς συστήματος και στην απαίτηση αρχικοποίησης μετά την επαναφορά.
2.4.1. Σύστημα JTAG Ρολόι
Ο καθορισμός των περιορισμών ρολογιού σε κάθε σύστημα επεξεργαστή Nios V είναι μια σημαντική παράμετρος σχεδιασμού συστήματος και απαιτείται για την ορθότητα και την ντετερμινιστική συμπεριφορά. Ο Αναλυτής Χρονισμού Quartus Prime εκτελεί στατική ανάλυση χρονισμού για να επικυρώσει την απόδοση χρονισμού όλης της λογικής στο σχεδιασμό σας χρησιμοποιώντας μεθοδολογία περιορισμών, ανάλυσης και αναφοράς που είναι συμβατή με τον κλάδο.
Example 1. Βασικό ρολόι 100 MHz με κύκλο λειτουργίας 50/50 και 16 MHz JTAG Ρολόι
#*************************************************************** # Δημιουργία ρολογιού 100MHz #*************************************************************** create_clock -name {clk} -περίοδος 10 [get_ports {clk}] #************************ Δημιουργία 16MHz JTAG Ρολόι #************************

Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 35

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας
726952 | 2025.07.16
create_clock -name {altera_reserved_tck} -period 62.500 [get_ports {altera_reserved_tck}] set_clock_groups -asynchronous -group [get_clocks {altera_reserved_tck}] Σχετικές πληροφορίες Βιβλίο μαγειρικής Quartus Prime Timing Analyzer
2.4.2. Επαναφορά διεπαφής αιτήματος
Ο επεξεργαστής Nios V περιλαμβάνει μια προαιρετική δυνατότητα αιτήματος επαναφοράς. Η δυνατότητα αιτήματος επαναφοράς αποτελείται από τα σήματα reset_req και reset_req_ack.
Για να ενεργοποιήσετε το αίτημα επαναφοράς στο Platform Designer: 1. Εκκινήστε τον Επεξεργαστή Παραμέτρων IP Επεξεργαστή Nios V. 2. Στη ρύθμιση Χρήση Αιτήματος Επαναφοράς, ενεργοποιήστε τη Διεπαφή Προσθήκης Αιτήματος Επαναφοράς
επιλογή.
Σχήμα 24. Ενεργοποίηση αιτήματος επαναφοράς επεξεργαστή Nios V
Το σήμα reset_req λειτουργεί σαν διακοπή. Όταν δηλώνετε το reset_req, ζητάτε επαναφορά στον πυρήνα. Ο πυρήνας περιμένει οποιαδήποτε εκκρεμή συναλλαγή διαύλου για να ολοκληρώσει τη λειτουργία του. Για παράδειγμαampΔηλαδή, εάν υπάρχει μια εκκρεμής συναλλαγή πρόσβασης στη μνήμη, ο πυρήνας περιμένει μια πλήρη απόκριση. Ομοίως, ο πυρήνας δέχεται οποιαδήποτε εκκρεμή απόκριση εντολής, αλλά δεν εκδίδει αίτημα εντολής μετά τη λήψη του σήματος reset_req.
Η λειτουργία επαναφοράς αποτελείται από την ακόλουθη ροή: 1. Ολοκλήρωση όλων των εκκρεμών λειτουργιών 2. Εκκαθάριση της εσωτερικής αγωγιμότητας 3. Ορισμός του Μετρητή Προγράμματος στο διάνυσμα επαναφοράς 4. Επαναφορά του πυρήνα. Ολόκληρη η λειτουργία επαναφοράς διαρκεί μερικούς κύκλους ρολογιού. Το reset_req πρέπει να παραμείνει ενεργοποιημένο μέχρι να ενεργοποιηθεί το reset_req_ack, υποδεικνύοντας ότι η λειτουργία επαναφοράς του πυρήνα ολοκληρώθηκε με επιτυχία. Εάν δεν γίνει αυτό, η κατάσταση του πυρήνα δεν είναι ντετερμινιστική.

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 36

Αποστολή σχολίων

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας 726952 | 2025.07.16
2.4.2.1. Τυπικές περιπτώσεις χρήσης
· Μπορείτε να ενεργοποιήσετε το σήμα reset_req κατά την ενεργοποίηση για να αποτρέψετε την εκκίνηση της εκτέλεσης προγράμματος από τον πυρήνα του επεξεργαστή Nios V από το διάνυσμα επαναφοράς μέχρι άλλοι κεντρικοί υπολογιστές FPGA στο σύστημα να αρχικοποιήσουν τη μνήμη εκκίνησης του επεξεργαστή Nios V. Σε αυτήν την περίπτωση, ολόκληρο το υποσύστημα μπορεί να υποστεί μια καθαρή επαναφορά υλικού. Ο επεξεργαστής Nios V διατηρείται επ' αόριστον σε κατάσταση αιτήματος επαναφοράς μέχρι οι άλλοι κεντρικοί υπολογιστές FPGA να αρχικοποιήσουν τη μνήμη εκκίνησης του επεξεργαστή.
· Σε ένα σύστημα όπου πρέπει να επαναφέρετε τον πυρήνα του επεξεργαστή Nios V χωρίς να διαταράξετε το υπόλοιπο σύστημα, μπορείτε να ενεργοποιήσετε το σήμα reset_req για να διακόψετε καθαρά την τρέχουσα λειτουργία του πυρήνα και να επανεκκινήσετε τον επεξεργαστή από το διάνυσμα επαναφοράς μόλις το σύστημα απελευθερώσει το σήμα reset_req_ack.
· Ένας εξωτερικός κεντρικός υπολογιστής μπορεί να χρησιμοποιήσει τη διεπαφή αιτήματος επαναφοράς για να διευκολύνει τις υλοποιήσεις των ακόλουθων εργασιών:
— Διακοπή του τρέχοντος προγράμματος επεξεργαστών Nios V.
— Φόρτωση ενός νέου προγράμματος στη μνήμη εκκίνησης του επεξεργαστή Nios V.
— Επιτρέψτε στον επεξεργαστή να ξεκινήσει την εκτέλεση του νέου προγράμματος.
Η Altera συνιστά να εφαρμόσετε έναν μηχανισμό χρονικού ορίου για την παρακολούθηση της κατάστασης του σήματος reset_req_ack. Εάν ο πυρήνας του επεξεργαστή Nios V βρεθεί σε κατάσταση άπειρης αναμονής και σταματήσει για άγνωστο λόγο, το reset_req_ack δεν μπορεί να επιβεβαιώσει επ' αόριστον. Ο μηχανισμός χρονικού ορίου σάς επιτρέπει να:
· Ορίστε μια περίοδο χρονικού ορίου αποκατάστασης και εκτελέστε αποκατάσταση συστήματος με επαναφορά σε επίπεδο συστήματος.
· Εκτελέστε επαναφορά σε επίπεδο υλικού.
2.4.3. Επαναφορά IP έκδοσης
Οι συσκευές που βασίζονται σε SDM της Altera χρησιμοποιούν μια παράλληλη αρχιτεκτονική βασισμένη σε τομείς που κατανέμει τη λογική του πυρήνα fabric σε πολλούς τομείς. Η Altera συνιστά να χρησιμοποιήσετε το Reset Release Altera FPGA IP ως μία από τις αρχικές εισόδους στο κύκλωμα επαναφοράς. Οι συσκευές που βασίζονται σε SDM της Intel® περιλαμβάνουν τις συσκευές Stratix® 10 και AgilexTM. Οι συσκευές που βασίζονται σε μπλοκ ελέγχου δεν επηρεάζονται από αυτήν την απαίτηση.
Σχετικές Πληροφορίες
AN 891: Χρήση του Altera FPGA IP με Επαναφορά Έκδοσης
2.5. Ανάθεση Προεπιλεγμένου Εκπροσώπου
Το Platform Designer σάς επιτρέπει να καθορίσετε έναν προεπιλεγμένο παράγοντα που λειτουργεί ως ο προεπιλεγμένος παράγοντας απόκρισης σφάλματος. Ο προεπιλεγμένος παράγοντας που ορίζετε παρέχει μια υπηρεσία απόκρισης σφάλματος για κεντρικούς υπολογιστές που επιχειρούν μη αποκωδικοποιημένες προσβάσεις στον χάρτη διευθύνσεων.
Τα ακόλουθα σενάρια ενεργοποιούν ένα μη αποκωδικοποιημένο συμβάν:
· Παραβίαση κατάστασης ασφάλειας συναλλαγής διαύλου
· Πρόσβαση συναλλαγής σε απροσδιόριστη περιοχή μνήμης
· Συμβάν εξαίρεσης κ.λπ.

Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 37

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας
726952 | 2025.07.16

Θα πρέπει να ανατεθεί σε έναν προεπιλεγμένο πράκτορα (default agent) ο οποίος θα χειρίζεται τέτοια συμβάντα, όπου μια απροσδιόριστη συναλλαγή αναδρομολογείται στον προεπιλεγμένο πράκτορα και στη συνέχεια απαντά στον επεξεργαστή Nios V με μια απόκριση σφάλματος.
Σχετικές Πληροφορίες
· Οδηγός χρήστη Quartus Prime Pro Edition: Σχεδιαστής πλατφόρμας. Ορισμός προεπιλεγμένου παράγοντα
· Οδηγός χρήστη Quartus Prime Pro Edition: Σχεδιαστής πλατφόρμας. Απόκριση σφάλματος Slave Altera FPGA IP
· Github – Συμπληρωματικά στοιχεία επαναφοράς για το Qsys

2.6. Ανάθεση ενός παράγοντα UART για εκτύπωση
Η εκτύπωση είναι χρήσιμη για τον εντοπισμό σφαλμάτων στην εφαρμογή λογισμικού, καθώς και για την παρακολούθηση της κατάστασης του συστήματός σας. Η Altera συνιστά την εκτύπωση βασικών πληροφοριών, όπως ένα μήνυμα εκκίνησης, ένα μήνυμα σφάλματος και την πρόοδο εκτέλεσης της εφαρμογής λογισμικού.
Αποφύγετε τη χρήση της συνάρτησης της βιβλιοθήκης printf() στις ακόλουθες περιπτώσεις: · Η βιβλιοθήκη printf() προκαλεί την καθυστέρηση της εφαρμογής εάν κανένας κεντρικός υπολογιστής δεν διαβάζει την έξοδο.
Αυτό ισχύει για το J.TAG Μόνο UART. · Η βιβλιοθήκη printf() καταναλώνει μεγάλες ποσότητες μνήμης προγράμματος.

2.6.1. Πρόληψη θέσεων στάθμευσης από το JTAG UART

Πίνακας 23. Διαφορές μεταξύ Παραδοσιακού UART και JTAG UART

Τύπος UART Παραδοσιακό UART

Περιγραφή
Μεταδίδει σειριακά δεδομένα ανεξάρτητα από το αν κάποιος εξωτερικός κεντρικός υπολογιστής ακούει. Εάν κανένας κεντρικός υπολογιστής δεν διαβάσει τα σειριακά δεδομένα, τα δεδομένα χάνονται.

JTAG UART

Γράφει τα μεταδιδόμενα δεδομένα σε ένα buffer εξόδου και βασίζεται σε έναν εξωτερικό κεντρικό υπολογιστή για να διαβάσει από το buffer για να το αδειάσει.

Το JTAG Ο οδηγός UART περιμένει όταν η προσωρινή μνήμη εξόδου είναι πλήρης. Το JTAG Το πρόγραμμα οδήγησης UART περιμένει την ανάγνωση από την προσωρινή μνήμη εξόδου από έναν εξωτερικό κεντρικό υπολογιστή πριν από την εγγραφή περισσότερων δεδομένων μετάδοσης. Αυτή η διαδικασία αποτρέπει την απώλεια δεδομένων μετάδοσης.
Ωστόσο, όταν δεν απαιτείται εντοπισμός σφαλμάτων συστήματος, όπως κατά την παραγωγή, τα ενσωματωμένα συστήματα αναπτύσσονται χωρίς να υπάρχει συνδεδεμένος κεντρικός υπολογιστής στο J.TAG UART. Εάν το σύστημα επέλεξε το JTAG UART ως παράγοντα UART, θα μπορούσε να προκαλέσει καθυστέρηση στο σύστημα επειδή δεν είναι συνδεδεμένος εξωτερικός κεντρικός υπολογιστής.
Για να αποτρέψετε την καθυστέρηση από τον JTAG UART, εφαρμόστε μία από τις ακόλουθες επιλογές:

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 38

Αποστολή σχολίων

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας
726952 | 2025.07.16

Πίνακας 24. Πρόληψη κατά την καθυστέρηση από τον JTAG UART

Επιλογές
Δεν υπάρχει διεπαφή UART και πρόγραμμα οδήγησης
Χρήση άλλης διεπαφής UART και προγράμματος οδήγησης
Διατηρήστε το JTAG Διεπαφή UART (χωρίς πρόγραμμα οδήγησης)

Κατά την ανάπτυξη υλικού (στο Platform Designer)

Κατά την ανάπτυξη λογισμικού (στον Επεξεργαστή πακέτων υποστήριξης πίνακα)

Αφαίρεση JTAG UART από το σύστημα

Ρυθμίστε τα hal.stdin, hal.stdout και hal.stderr ως "Κανένα".

Αντικατάσταση JTAG UART με άλλα λογισμικά Ρύθμιση παραμέτρων hal.stdin, hal.stdout και hal.stderr

UART IP

με άλλα soft UART IP.

Διατηρήστε το JTAG UART στο σύστημα

· Ρυθμίστε τα hal.stdin, hal.stdout και hal.stderr ως "Κανένα" στον Επεξεργαστή Πακέτων Υποστήριξης Πίνακα.
· Απενεργοποίηση JTAG Πρόγραμμα οδήγησης UART στην καρτέλα Πρόγραμμα οδήγησης BSP.

2.7. JTAG σήματα
Η μονάδα εντοπισμού σφαλμάτων του επεξεργαστή Nios V χρησιμοποιεί το JTAG διεπαφή για λήψη λογισμικού ELF και εντοπισμό σφαλμάτων λογισμικού. Όταν κάνετε εντοπισμό σφαλμάτων στο σχέδιό σας με το JTAG διεπαφή, το JTAG Τα σήματα TCK, TMS, TDI και TDO υλοποιούνται ως μέρος του σχεδιασμού. Ο καθορισμός του JTAG Οι περιορισμοί σήματος σε κάθε σύστημα επεξεργαστή Nios V είναι μια σημαντική παράμετρος σχεδιασμού συστήματος και απαιτείται για την ορθότητα και την ντετερμινιστική συμπεριφορά.
Η Altera συνιστά η συχνότητα ρολογιού συστήματος οποιουδήποτε σχεδιασμού να είναι τουλάχιστον τέσσερις φορές η συχνότητα J.TAG συχνότητα ρολογιού για να διασφαλιστεί ότι ο πυρήνας οργάνων στο τσιπ (OCI) λειτουργεί σωστά.
Σχετικές πληροφορίες · Βιβλίο μαγειρικής Quartus® Prime Timing Analyzer: JTAG σήματα
Για περισσότερες πληροφορίες σχετικά με τον JTAG οδηγίες για τους χρονικούς περιορισμούς. · KDB: Γιατί αποτυγχάνει το niosv-download με έναν μη διοχετευμένο επεξεργαστή Nios® V/m στο
JTAG συχνότητα 24MHz ή 16MHz;
2.8. Βελτιστοποίηση της απόδοσης του συστήματος σχεδιαστή πλατφόρμας
Το Platform Designer παρέχει εργαλεία για τη βελτιστοποίηση της απόδοσης της διασύνδεσης συστήματος για σχέδια FPGA Altera.

Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 39

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας
726952 | 2025.07.16
Σχήμα 25. Εξάμηνο Βελτιστοποίησηςamples

Ο πρώηνampΤο σχήμα που φαίνεται δείχνει τα ακόλουθα βήματα:
1. Προσθέτει Γέφυρα Αγωγής για την άμβλυνση κρίσιμων διαδρομών τοποθετώντας την: α. Μεταξύ του Διαχειριστή Εντολών και των πρακτόρων του β. Μεταξύ του Διαχειριστή Δεδομένων και των πρακτόρων του
2. Εφαρμόστε True Dual Port On-Chip RAM, με κάθε θύρα αφιερωμένη στον Instruction Manager και τον Data Manager αντίστοιχα.

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 40

Αποστολή σχολίων

2. Σχεδιασμός Συστήματος Υλικού Επεξεργαστή Nios V με το Λογισμικό Quartus Prime και τον Σχεδιαστή Πλατφόρμας 726952 | 2025.07.16
Ανατρέξτε στους παρακάτω σχετικούς συνδέσμους, οι οποίοι παρουσιάζουν τεχνικές για την αξιοποίηση των διαθέσιμων εργαλείων και τους συμβιβασμούς κάθε υλοποίησης.
Σχετικές πληροφορίες · Οδηγός χρήστη Quartus® Prime Pro Edition: Σχεδιαστής πλατφόρμας
Ανατρέξτε στο θέμα Βελτιστοποίηση της απόδοσης του συστήματος Platform Designer για περισσότερες πληροφορίες. · Οδηγός χρήστη Quartus® Prime Standard Edition: Platform Designer Ανατρέξτε στο θέμα Βελτιστοποίηση της απόδοσης του συστήματος Platform Designer για περισσότερες πληροφορίες.

Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 41

726952 | 2025.07.16 Αποστολή σχολίων

3. Σχεδιασμός συστήματος λογισμικού επεξεργαστή Nios V
Αυτό το κεφάλαιο περιγράφει τη ροή ανάπτυξης λογισμικού για τον επεξεργαστή Nios V και τα εργαλεία λογισμικού που μπορείτε να χρησιμοποιήσετε για την ανάπτυξη του ενσωματωμένου συστήματος σχεδίασης. Το περιεχόμενο χρησιμεύει ως μια γενική εικόνα.view πριν από την ανάπτυξη ενός συστήματος λογισμικού επεξεργαστή Nios V.
Σχήμα 26. Ροή Σχεδιασμού Λογισμικού
Αρχή

Δημιουργήστε το BSP στο Platform Designer χρησιμοποιώντας το BSP Editor

Δημιουργήστε το BSP χρησιμοποιώντας το Nios V Command Shell
Δημιουργήστε την εφαρμογή CMake Build File Χρήση του Nios V Command Shell

Σημείωμα:

Εισαγάγετε το BSP και το Application CMake Build File
Δημιουργήστε την εφαρμογή επεξεργαστή Nios V χρησιμοποιώντας το
RiscFree IDE για Intel FPGA

Δημιουργήστε την εφαρμογή Nios V Processor χρησιμοποιώντας οποιοδήποτε
πρόγραμμα επεξεργασίας πηγαίου κώδικα γραμμής εντολών, CMake και Make
εντολές
Τέλος

Η Altera συνιστά να χρησιμοποιήσετε ένα κιτ ανάπτυξης FPGA της Altera ή μια προσαρμοσμένη πρωτότυπη πλακέτα για την ανάπτυξη λογισμικού και τον εντοπισμό σφαλμάτων. Πολλά περιφερειακά και λειτουργίες σε επίπεδο συστήματος είναι διαθέσιμα μόνο όταν το λογισμικό σας εκτελείται σε μια πραγματική πλακέτα.

© Altera Corporation. Η Altera, το λογότυπο Altera, το λογότυπο `a' και άλλα σήματα της Altera είναι εμπορικά σήματα της Altera Corporation. Η Altera διατηρεί το δικαίωμα να κάνει αλλαγές σε οποιαδήποτε προϊόντα και υπηρεσίες ανά πάσα στιγμή χωρίς προειδοποίηση. Η Altera δεν αναλαμβάνει καμία ευθύνη ή υποχρέωση που προκύπτει από την εφαρμογή ή τη χρήση οποιασδήποτε πληροφορίας, προϊόντος ή υπηρεσίας που περιγράφεται στο παρόν, εκτός εάν έχει συμφωνηθεί ρητά γραπτώς από την Altera. Συνιστάται στους πελάτες της Altera να λαμβάνουν την πιο πρόσφατη έκδοση των προδιαγραφών της συσκευής πριν βασιστούν σε οποιεσδήποτε δημοσιευμένες πληροφορίες και πριν υποβάλουν παραγγελίες για προϊόντα ή υπηρεσίες. *Άλλα ονόματα και εμπορικά σήματα ενδέχεται να θεωρηθούν ιδιοκτησία τρίτων.

3. Σχεδιασμός συστήματος λογισμικού επεξεργαστή Nios V 726952 | 2025.07.16
3.1. Ροή ανάπτυξης λογισμικού επεξεργαστή Nios V
3.1.1. Έργο Πακέτου Υποστήριξης Διοικητικού Συμβουλίου
Ένα έργο Nios V Board Support Package (BSP) είναι μια εξειδικευμένη βιβλιοθήκη που περιέχει κώδικα υποστήριξης ειδικά για το σύστημα. Ένα BSP παρέχει ένα περιβάλλον εκτέλεσης λογισμικού προσαρμοσμένο για έναν επεξεργαστή σε ένα σύστημα υλικού επεξεργαστή Nios V.
Το λογισμικό Quartus Prime παρέχει το Nios V Board Support Package Editor και τα βοηθητικά εργαλεία niosv-bsp για την τροποποίηση των ρυθμίσεων που ελέγχουν τη συμπεριφορά του BSP.
Ένα BSP περιέχει τα ακόλουθα στοιχεία: · Επίπεδο αφαίρεσης υλικού · Προγράμματα οδήγησης συσκευών · Προαιρετικά πακέτα λογισμικού · Προαιρετικό λειτουργικό σύστημα πραγματικού χρόνου
3.1.2. Έργο Εφαρμογής
Ένα έργο εφαρμογής Nios VC/C++ έχει τα ακόλουθα χαρακτηριστικά: · Αποτελείται από μια συλλογή πηγαίου κώδικα και ένα αρχείο CMakeLists.txt.
— Το CMakeLists.txt μεταγλωττίζει τον πηγαίο κώδικα και τον συνδέει με ένα BSP και μία ή περισσότερες προαιρετικές βιβλιοθήκες, για να δημιουργήσει ένα .elf file
· Μία από τις πηγές fileΤο s περιέχει τη συνάρτηση main(). · Περιλαμβάνει κώδικα που καλεί συναρτήσεις σε βιβλιοθήκες και BSP.
Η Altera παρέχει το βοηθητικό εργαλείο niosv-app στα βοηθητικά εργαλεία λογισμικού Quartus Prime για τη δημιουργία του Application CMakeLists.txt και το RiscFree IDE για τα FPGA της Altera για την τροποποίηση του πηγαίου κώδικα σε ένα περιβάλλον βασισμένο στο Eclipse.
3.2. Εργαλεία Ενσωματωμένης Ανάπτυξης FPGA Altera
Ο επεξεργαστής Nios V υποστηρίζει τα ακόλουθα εργαλεία για την ανάπτυξη λογισμικού: · Γραφική διεπαφή χρήστη (GUI) – Εργαλεία γραφικής ανάπτυξης που είναι διαθέσιμα σε
Λειτουργικά συστήματα (OS) Windows* και Linux*. — Επεξεργαστής πακέτων υποστήριξης πλακέτας Nios V (Επεξεργαστής BSP Nios V) — Ashling RiscFree IDE για FPGA Altera · Εργαλεία γραμμής εντολών (CLI) – Εργαλεία ανάπτυξης που ξεκινούν από το Nios V Command Shell. Κάθε εργαλείο παρέχει τη δική του τεκμηρίωση με τη μορφή βοήθειας στην οποία μπορείτε να έχετε πρόσβαση από τη γραμμή εντολών. Ανοίξτε το Nios V Command Shell και πληκτρολογήστε την ακόλουθη εντολή: –βοηθήστε να view το μενού Βοήθεια. — Nios V Utilities Εργαλεία — File Εργαλεία μετατροπής μορφής — Άλλα βοηθητικά εργαλεία

Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 43

3. Σχεδιασμός συστήματος λογισμικού επεξεργαστή Nios V 726952 | 2025.07.16

Πίνακας 25. Σύνοψη Εργαλείων GUI και Εργαλείων Γραμμής Εντολών

Εργο

Εργαλείο GUI

Εργαλείο γραμμής εντολών

Δημιουργία ενός BSP

Nios V BSP Editor

· Στο λογισμικό Quartus Prime Pro Edition: niosv-bsp -c -s=<.qsys file> -t= [ΕΠΙΛΟΓΕΣ] ρυθμίσεις.bsp
· Στο λογισμικό Quartus Prime Standard Edition: niosv-bsp -c -s=<.sopcinfo file> -t= [ΕΠΙΛΟΓΕΣ] ρυθμίσεις.bsp

Δημιουργία ενός BSP χρησιμοποιώντας υπάρχον .bsp file
Ενημέρωση ενός BSP

Επεξεργαστής Nios V BSP Επεξεργαστής Nios V BSP

niosv-bsp -g [ΕΠΙΛΟΓΕΣ] ρυθμίσεις.bsp niosv-bsp -u [ΕΠΙΛΟΓΕΣ] ρυθμίσεις.bsp

Εξέταση ενός BSP

Nios V BSP Editor

niosv-bsp -q -E= [ΕΠΙΛΟΓΕΣ] ρυθμίσεις.bsp

Δημιουργία εφαρμογής

niosv-app -a= -β= -s= fileκατάλογος s> [ΕΠΙΛΟΓΕΣ]

Δημιουργία βιβλιοθήκης χρηστών

niosv-app -l= -s= fileκατάλογος s> -p= [ΕΠΙΛΟΓΕΣ]

Τροποποίηση εφαρμογής Τροποποίηση βιβλιοθήκης χρηστών Δημιουργία εφαρμογής

RiscFree IDE για FPGA Altera
RiscFree IDE για FPGA Altera
RiscFree IDE για FPGA Altera

Οποιοσδήποτε επεξεργαστής πηγαίου κώδικα γραμμής εντολών
Οποιοσδήποτε επεξεργαστής πηγαίου κώδικα γραμμής εντολών
· φτιάχνω · κάνω

Δημιουργία βιβλιοθήκης χρηστών

RiscFree IDE για FPGA Altera

· φτιάχνω · κάνω

Λήψη εφαρμογής ELF
Μετατροπή του .elf file

RiscFree IDE για FPGA Altera

niosv-λήψη
· elf2flash · elf2hex

Σχετικές Πληροφορίες
Οδηγός χρήστη για το ολοκληρωμένο περιβάλλον ανάπτυξης (IDE) Ashling RiscFree για FPGA Altera

3.2.1. Επεξεργαστής πακέτου υποστήριξης πλακέτας επεξεργαστή Nios V
Μπορείτε να χρησιμοποιήσετε τον Επεξεργαστή BSP του επεξεργαστή Nios V για να εκτελέσετε τις ακόλουθες εργασίες: · Δημιουργία ή τροποποίηση ενός έργου BSP του επεξεργαστή Nios V · Επεξεργασία ρυθμίσεων, περιοχών σύνδεσης και αντιστοιχίσεων ενοτήτων · Επιλογή πακέτων λογισμικού και προγραμμάτων οδήγησης συσκευών.
Οι δυνατότητες του BSP Editor περιλαμβάνουν τις δυνατότητες των βοηθητικών προγραμμάτων niosv-bsp. Οποιοδήποτε έργο δημιουργείται στον BSP Editor μπορεί επίσης να δημιουργηθεί χρησιμοποιώντας τα βοηθητικά προγράμματα γραμμής εντολών.

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 44

Αποστολή σχολίων

3. Σχεδιασμός συστήματος λογισμικού επεξεργαστή Nios V 726952 | 2025.07.16

Σημείωμα:

Για το λογισμικό Quartus Prime Standard Edition, ανατρέξτε στο AN 980: Nios V Processor Quartus Prime Software Support για τα βήματα ενεργοποίησης του BSP Editor GUI.

Για να εκκινήσετε τον Επεξεργαστή BSP, ακολουθήστε τα εξής βήματα: 1. Ανοίξτε το Platform Designer και μεταβείτε στο File μενού.
α. Για να ανοίξετε μια υπάρχουσα ρύθμιση BSP file, κάντε κλικ στο Άνοιγμα… β. Για να δημιουργήσετε ένα νέο BSP, κάντε κλικ στο Νέο BSP… 2. Επιλέξτε την καρτέλα Επεξεργαστής BSP και δώστε τις κατάλληλες λεπτομέρειες.

Σχήμα 27. Εκκίνηση του Επεξεργαστή BSP

Σχετικές πληροφορίες AN 980: Υποστήριξη λογισμικού επεξεργαστή Nios V Quartus Prime
3.2.2. RiscFree IDE για FPGA Altera
Το RiscFree IDE για τα FPGA της Altera είναι ένα IDE βασισμένο στο Eclipse για τον επεξεργαστή Nios V. Η Altera συνιστά να αναπτύξετε το λογισμικό του επεξεργαστή Nios V σε αυτό το IDE για τους ακόλουθους λόγους: · Οι λειτουργίες έχουν αναπτυχθεί και επαληθευτεί ώστε να είναι συμβατές με το Nios V.
ροή κατασκευής επεξεργαστή. · Εξοπλισμένο με όλες τις απαραίτητες αλυσίδες εργαλείων και υποστηρικτικά εργαλεία που σας επιτρέπουν
για εύκολη έναρξη της ανάπτυξης του επεξεργαστή Nios V.
Σχετικές πληροφορίες Οδηγός χρήστη για το ολοκληρωμένο περιβάλλον ανάπτυξης (IDE) Ashling RiscFree για FPGA Altera
3.2.3. Εργαλεία Nios V Utilities
Μπορείτε να δημιουργήσετε, να τροποποιήσετε και να δημιουργήσετε προγράμματα Nios V με εντολές που πληκτρολογούνται σε μια γραμμή εντολών ή ενσωματώνονται σε ένα σενάριο. Τα εργαλεία γραμμής εντολών Nios V που περιγράφονται σε αυτήν την ενότητα βρίσκονται στο Κατάλογος /niosv/bin.

Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 45

3. Σχεδιασμός συστήματος λογισμικού επεξεργαστή Nios V 726952 | 2025.07.16

Πίνακας 26. Εργαλεία Nios V Utilities

Εργαλεία γραμμής εντολών

Περίληψη

εφαρμογή-niosv niosv-bsp λήψη-niosv κελύφος-niosv αναφορά-στοίβα-niosv

Για να δημιουργήσετε και να διαμορφώσετε ένα έργο εφαρμογής.
Για να δημιουργήσετε ή να ενημερώσετε τις ρυθμίσεις ενός BSP file και δημιουργήστε το BSP fileς. Για να κατεβάσετε το ELF file σε έναν επεξεργαστή Nios® V.
Για να ανοίξετε το Nios V Command Shell. Για να σας ενημερώσουμε για τον διαθέσιμο χώρο μνήμης στην εφαρμογή σας .elf για χρήση σε στοίβα ή σωρό.

3.2.4. File Εργαλεία μετατροπής μορφής

File Η μετατροπή μορφής είναι μερικές φορές απαραίτητη κατά τη μεταφορά δεδομένων από ένα βοηθητικό πρόγραμμα σε ένα άλλο. file τα εργαλεία μετατροπής μορφής βρίσκονται στο
Κατάλογος εγκατάστασης λογισμικού>κατάλογος/niosv/bin.

Πίνακας 27. File Εργαλεία μετατροπής μορφής

Εργαλεία γραμμής εντολών elf2flash elf2hex

Σύνοψη Για να μεταφράσετε το .elf file σε μορφή .srec για προγραμματισμό μνήμης flash. Για να μεταφράσετε το .elf file σε μορφή .hex για αρχικοποίηση μνήμης.

3.2.5. Άλλα Εργαλεία Βοηθητικών Προγραμμάτων

Ενδέχεται να χρειαστείτε τα ακόλουθα εργαλεία γραμμής εντολών κατά την κατασκευή ενός συστήματος που βασίζεται σε επεξεργαστή Nios V. Αυτά τα εργαλεία γραμμής εντολών παρέχονται είτε από την Intel είτε /quartus/bin ή αποκτήθηκε από
εργαλεία ανοιχτού κώδικα.

Πίνακας 28. Άλλα εργαλεία γραμμής εντολών

Εργαλεία γραμμής εντολών

Τύπος

Περίληψη

τερματικό juart

Παρέχεται από την Intel

Για την παρακολούθηση του stdout και του stderr και για την παροχή εισόδου σε έναν επεξεργαστή Nios® V
υποσύστημα μέσω stdin. Αυτό το εργαλείο ισχύει μόνο για το JTAG UART IP όταν είναι συνδεδεμένο στον επεξεργαστή Nios® V.

openocd

Παρέχεται από την Intel Για την εκτέλεση του OpenOCD.

openocd-cfg-gen

Παροχή από την Intel · Για τη δημιουργία της διαμόρφωσης OpenOCD file· Για να εμφανίσετε το JTAG δείκτης συσκευής αλυσίδας.

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 46

Αποστολή σχολίων

726952 | 2025.07.16 Αποστολή σχολίων
4. Λύσεις διαμόρφωσης και εκκίνησης επεξεργαστή Nios V
Μπορείτε να διαμορφώσετε τον επεξεργαστή Nios V για εκκίνηση και εκτέλεση λογισμικού από διαφορετικές θέσεις μνήμης. Η μνήμη εκκίνησης είναι η μνήμη Quad Serial Peripheral Interface (QSPI) flash, η On-Chip Memory (OCRAM) ή η Thrillly Coupled Memory (TCM).
Σχετικές πληροφορίες · Συνθήκες ενεργοποίησης στη σελίδα 193 · Ενεργοποιητές ενεργοποίησης
Για περισσότερες πληροφορίες σχετικά με τις ενεργοποιήσεις ενεργοποίησης.
4.1. Εισαγωγή
Ο επεξεργαστής Nios V υποστηρίζει δύο τύπους διεργασιών εκκίνησης: · Εκτέλεση επί τόπου (XIP) χρησιμοποιώντας τη συνάρτηση alt_load() · Πρόγραμμα αντιγράφηκε στη RAM χρησιμοποιώντας το πρόγραμμα αντιγραφής εκκίνησης. Η ανάπτυξη ενσωματωμένων προγραμμάτων Nios V βασίζεται στο επίπεδο αφαίρεσης υλικού (HAL). Το HAL παρέχει ένα μικρό πρόγραμμα φόρτωσης εκκίνησης (γνωστό και ως πρόγραμμα αντιγραφής εκκίνησης) που αντιγράφει τα σχετικά τμήματα σύνδεσης από τη μνήμη εκκίνησης στη θέση χρόνου εκτέλεσης κατά την εκκίνηση. Μπορείτε να καθορίσετε τις θέσεις χρόνου εκτέλεσης στη μνήμη δεδομένων και προγράμματος χειριζόμενοι τις ρυθμίσεις του Επεξεργαστή Πακέτου Υποστήριξης Πλακέτας (BSP). Αυτή η ενότητα περιγράφει: · Το πρόγραμμα αντιγραφής εκκίνησης επεξεργαστή Nios V που εκκινεί το σύστημα επεξεργαστή Nios V σύμφωνα με
η επιλογή μνήμης εκκίνησης · ​​Επιλογές εκκίνησης επεξεργαστή Nios V και γενική ροή · Λύσεις προγραμματισμού Nios V για την επιλεγμένη μνήμη εκκίνησης
4.2. Σύνδεση εφαρμογών
Όταν δημιουργείτε το έργο επεξεργαστή Nios V, ο Επεξεργαστής BSP δημιουργεί δύο συνδέσμους που σχετίζονται με files: · linker.x: Η εντολή σύνδεσης file που κάνουν οι εφαρμογές που δημιουργούνταιfile χρήσεις
για να δημιουργήσετε το δυαδικό αρχείο .elf file. · linker.h: Περιέχει πληροφορίες σχετικά με τη διάταξη μνήμης του συνδέσμου. Όλες οι τροποποιήσεις στις ρυθμίσεις του συνδέσμου που κάνετε στο έργο BSP επηρεάζουν τα περιεχόμενα αυτών των δύο συνδέσμων. fileς. Κάθε εφαρμογή επεξεργαστή Nios V περιέχει τις ακόλουθες ενότητες σύνδεσης:
© Altera Corporation. Η Altera, το λογότυπο Altera, το λογότυπο `a' και άλλα σήματα της Altera είναι εμπορικά σήματα της Altera Corporation. Η Altera διατηρεί το δικαίωμα να κάνει αλλαγές σε οποιαδήποτε προϊόντα και υπηρεσίες ανά πάσα στιγμή χωρίς προειδοποίηση. Η Altera δεν αναλαμβάνει καμία ευθύνη ή υποχρέωση που προκύπτει από την εφαρμογή ή τη χρήση οποιασδήποτε πληροφορίας, προϊόντος ή υπηρεσίας που περιγράφεται στο παρόν, εκτός εάν έχει συμφωνηθεί ρητά γραπτώς από την Altera. Συνιστάται στους πελάτες της Altera να λαμβάνουν την πιο πρόσφατη έκδοση των προδιαγραφών της συσκευής πριν βασιστούν σε οποιεσδήποτε δημοσιευμένες πληροφορίες και πριν υποβάλουν παραγγελίες για προϊόντα ή υπηρεσίες. *Άλλα ονόματα και εμπορικά σήματα ενδέχεται να θεωρηθούν ιδιοκτησία τρίτων.

4. Λύσεις διαμόρφωσης και εκκίνησης επεξεργαστή Nios V 726952 | 2025.07.16

Πίνακας 29. Τμήματα συνδετήρα

.κείμενο

Ενότητες συνδέσμων

.rodata

.rwdata

.bss

.σωρός

.σωρός

Περιγραφές Εκτελέσιμος κώδικας. Οποιαδήποτε δεδομένα μόνο για ανάγνωση χρησιμοποιούνται στην εκτέλεση του προγράμματος. Αποθηκεύει δεδομένα ανάγνωσης-εγγραφής που χρησιμοποιούνται στην εκτέλεση του προγράμματος. Περιέχει μη αρχικοποιημένα στατικά δεδομένα. Περιέχει δυναμικά εκχωρημένη μνήμη. Αποθηκεύει παραμέτρους κλήσης συνάρτησης και άλλα προσωρινά δεδομένα.

Μπορείτε να προσθέσετε επιπλέον ενότητες σύνδεσης στο αρχείο .elf file για τη διατήρηση προσαρμοσμένου κώδικα και δεδομένων. Αυτές οι ενότητες σύνδεσης τοποθετούνται σε επώνυμες περιοχές μνήμης, οι οποίες ορίζονται ώστε να αντιστοιχούν σε φυσικές συσκευές μνήμης και διευθύνσεις. Από προεπιλογή, το BSP Editor δημιουργεί αυτόματα αυτές τις ενότητες σύνδεσης. Ωστόσο, μπορείτε να ελέγξετε τις ενότητες σύνδεσης για μια συγκεκριμένη εφαρμογή.

4.2.1. Συμπεριφορά σύνδεσης
Αυτή η ενότητα περιγράφει την προεπιλεγμένη συμπεριφορά σύνδεσης του Επεξεργαστή BSP και τον τρόπο ελέγχου της συμπεριφοράς σύνδεσης.

4.2.1.1. Προεπιλεγμένη σύνδεση BSP
Κατά τη διαμόρφωση του BSP, τα εργαλεία εκτελούν αυτόματα τα ακόλουθα βήματα:
1. Αντιστοίχιση ονομάτων περιοχών μνήμης: Αντιστοιχίστε ένα όνομα σε κάθε συσκευή μνήμης συστήματος και προσθέστε κάθε όνομα στον σύνδεσμο file ως περιοχή μνήμης.
2. Εύρεση της μεγαλύτερης μνήμης: Προσδιορίστε τη μεγαλύτερη περιοχή μνήμης ανάγνωσης και εγγραφής στον σύνδεσμο file.
3. Αντιστοίχιση τμημάτων σύνδεσης: Τοποθετήστε τα προεπιλεγμένα τμήματα σύνδεσης (.text, .rodata, .rwdata, .bss, .heap και .stack) στην περιοχή μνήμης που προσδιορίστηκε στο προηγούμενο βήμα.
4. Γράψτε files: Γράψτε τα αρχεία linker.x και linker.h files.
Συνήθως, το σχήμα κατανομής ενότητας σύνδεσης λειτουργεί κατά τη διάρκεια της διαδικασίας ανάπτυξης λογισμικού, επειδή η εφαρμογή είναι εγγυημένη ότι θα λειτουργήσει εάν η μνήμη είναι αρκετά μεγάλη.
Οι κανόνες για την προεπιλεγμένη συμπεριφορά σύνδεσης περιέχονται στα σενάρια Tcl που δημιουργούνται από την Altera, bsp-set-defaults.tcl και bsp-linker-utils.tcl, τα οποία βρίσκονται στο Κατάλογος /niosv/scripts/bsp-defaults. Η εντολή niosv-bsp καλεί αυτά τα σενάρια. Μην τα τροποποιείτε απευθείας.

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 48

Αποστολή σχολίων

4. Λύσεις διαμόρφωσης και εκκίνησης επεξεργαστή Nios V 726952 | 2025.07.16

4.2.1.2. Διαμορφώσιμη σύνδεση BSP
Μπορείτε να διαχειριστείτε την προεπιλεγμένη συμπεριφορά σύνδεσης στην καρτέλα Linker Script του BSP Editor. Χειριστείτε το linker script χρησιμοποιώντας τις ακόλουθες μεθόδους: · Προσθήκη περιοχής μνήμης: Αντιστοιχίζει ένα όνομα περιοχής μνήμης σε μια φυσική συσκευή μνήμης. · Προσθήκη αντιστοίχισης ενότητας: Αντιστοιχίζει ένα όνομα ενότητας σε μια περιοχή μνήμης. Το BSP
Ο Επεξεργαστής σάς επιτρέπει να view τον χάρτη μνήμης πριν και μετά την πραγματοποίηση αλλαγών.

4.3. Μέθοδοι εκκίνησης επεξεργαστή Nios V

Υπάρχουν μερικές μέθοδοι για την εκκίνηση του επεξεργαστή Nios V σε συσκευές Altera FPGA. Οι μέθοδοι εκκίνησης του επεξεργαστή Nios V ποικίλλουν ανάλογα με την επιλογή μνήμης flash και τις οικογένειες συσκευών.

Πίνακας 30. Υποστηριζόμενες μνήμες Flash με αντίστοιχες επιλογές εκκίνησης

Υποστηριζόμενες μνήμες εκκίνησης

Συσκευή

Ενσωματωμένη μνήμη flash (για εσωτερική διαμόρφωση)

Μόνο έως 10 συσκευές (με On-Chip Flash IP)

Γενικής χρήσης QSPI Flash (μόνο για δεδομένα χρήστη)

Όλες οι υποστηριζόμενες συσκευές FPGA (με Generic Serial Flash Interface FPGA IP)

Διαμόρφωση QSPI Flash (για διαμόρφωση Active Serial)

Έλεγχος βάσει μπλοκ
συσκευές (με Γενόσημα
Σειριακή Διεπαφή Flash Intel FPGA IP(2)

Μέθοδοι εκκίνησης επεξεργαστή Nios V

Τοποθεσία εκτέλεσης εφαρμογής

Φωτοαντιγραφικό εκκίνησης

Εκτέλεση εφαρμογής επεξεργαστή Nios V επιτόπου από το On-Chip Flash

Ενσωματωμένη μνήμη flash (XIP) + OCRAM/ Εξωτερική μνήμη RAM (για εγγράψιμα τμήματα δεδομένων)

Συνάρτηση alt_load()

Η εφαρμογή επεξεργαστή Nios V αντιγράφηκε από την ενσωματωμένη μνήμη flash στη μνήμη RAM χρησιμοποιώντας το πρόγραμμα αντιγραφής εκκίνησης.

OCRAM/Εξωτερική μνήμη RAM

Επαναχρησιμοποίηση του Bootloader μέσω GSFI

Εκτέλεση εφαρμογής επεξεργαστή Nios V επί τόπου από μνήμη flash QSPI γενικής χρήσης

Μνήμη QSPI γενικής χρήσης (XIP) + OCRAM/ Εξωτερική μνήμη RAM (για εγγράψιμα τμήματα δεδομένων)

Συνάρτηση alt_load()

Η εφαρμογή επεξεργαστή Nios V αντιγράφηκε από τη μνήμη flash QSPI γενικής χρήσης στη μνήμη RAM χρησιμοποιώντας το πρόγραμμα αντιγραφής εκκίνησης

OCRAM/Εξωτερική μνήμη RAM

Bootloader μέσω GSFI

Εκτέλεση εφαρμογής επεξεργαστή Nios V επιτόπου από τη διαμόρφωση QSPI flash

Διαμόρφωση μνήμης QSPI flash (XIP) + OCRAM/ Εξωτερική μνήμη RAM (για εγγράψιμα τμήματα δεδομένων)

Συνάρτηση alt_load()

Η εφαρμογή επεξεργαστή Nios V αντιγράφηκε από τη μνήμη flash QSPI διαμόρφωσης στη μνήμη RAM χρησιμοποιώντας το πρόγραμμα αντιγραφής εκκίνησης

OCRAM/Εξωτερικός Bootloader RAM μέσω GSFI συνέχεια…

(2) Ανατρέξτε στο AN 980: Υποστήριξη λογισμικού Nios V Processor Quartus Prime για τη λίστα συσκευών.

Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 49

4. Λύσεις διαμόρφωσης και εκκίνησης επεξεργαστή Nios V 726952 | 2025.07.16

Υποστηριζόμενες μνήμες εκκίνησης
Μνήμη ενσωματωμένη στο τσιπ (OCRAM) Μνήμη στενά συνδεδεμένη (TCM)

Συσκευή
Συσκευές που βασίζονται σε SDM (με Mailbox Client Intel FPGA IP). (2)
Όλες οι υποστηριζόμενες συσκευές Altera FPGA (2)
Όλες οι υποστηριζόμενες συσκευές Altera FPGA(2)

Μέθοδοι εκκίνησης επεξεργαστή Nios V
Η εφαρμογή επεξεργαστή Nios V αντιγράφηκε από τη μνήμη flash QSPI διαμόρφωσης στη μνήμη RAM χρησιμοποιώντας το πρόγραμμα αντιγραφής εκκίνησης
Εκτέλεση εφαρμογής επεξεργαστή Nios V επιτόπου από OCRAM
Εκτέλεση εφαρμογής επεξεργαστή Nios V επιτόπου από το TCM

Τοποθεσία εκτέλεσης εφαρμογής

Φωτοαντιγραφικό εκκίνησης

Εκκινητής OCRAM/Εξωτερικής RAM μέσω SDM

OCRAM

Συνάρτηση alt_load()

Εντολή TCM (XIP) Καμία + Δεδομένα TCM (για εγγράψιμα τμήματα δεδομένων)

Σχήμα 28. Ροή εκκίνησης επεξεργαστή Nios V

Επαναφορά

Ο επεξεργαστής μεταπηδά στην επαναφορά του διανύσματος (έναρξη κώδικα εκκίνησης)

Ο κώδικας της εφαρμογής μπορεί να αντιγραφεί σε άλλη θέση μνήμης (ανάλογα με τις επιλογές εκκίνησης)
Ο κώδικας εκκίνησης αρχικοποιεί τον επεξεργαστή

Ανάλογα με τις επιλογές εκκίνησης, ο κώδικας εκκίνησης μπορεί να αντιγράψει τις αρχικές τιμές για δεδομένα/κώδικα σε άλλο χώρο μνήμης (alt_load)
Ο κώδικας εκκίνησης αρχικοποιεί τον κώδικα εφαρμογής και τον χώρο μνήμης δεδομένων
Ο κώδικας εκκίνησης αρχικοποιεί όλα τα περιφερειακά του συστήματος με προγράμματα οδήγησης HAL (alt_main)
Είσοδος στο κύριο
Σχετικές πληροφορίες · Γενικός οδηγός χρήστη για τη διεπαφή σειριακής λάμψης Altera FPGA IP
Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 50

Αποστολή σχολίων

4. Λύσεις διαμόρφωσης και εκκίνησης επεξεργαστή Nios V 726952 | 2025.07.16
· Οδηγός χρήστη για το Mailbox Client Altera FPGA IP · Υποστήριξη λογισμικού AN 980: Επεξεργαστής Nios V Quartus Prime
4.4. Εισαγωγή στις μεθόδους εκκίνησης του επεξεργαστή Nios V
Τα συστήματα επεξεργαστών Nios V απαιτούν τη διαμόρφωση των εικόνων λογισμικού στη μνήμη του συστήματος προτού ο επεξεργαστής μπορέσει να ξεκινήσει την εκτέλεση του προγράμματος εφαρμογής. Ανατρέξτε στις Ενότητες Συνδέσμου για τις προεπιλεγμένες ενότητες σύνδεσης.
Ο Επεξεργαστής BSP δημιουργεί ένα σενάριο σύνδεσης που εκτελεί τις ακόλουθες λειτουργίες: · Διασφαλίζει ότι το λογισμικό του επεξεργαστή είναι συνδεδεμένο σύμφωνα με τις ρυθμίσεις του συνδέσμου
του επεξεργαστή BSP και καθορίζει πού βρίσκεται το λογισμικό στη μνήμη. · Τοποθετεί την περιοχή κώδικα του επεξεργαστή στο στοιχείο μνήμης σύμφωνα με το
εκχωρημένα στοιχεία μνήμης.
Η ακόλουθη ενότητα περιγράφει συνοπτικά τις διαθέσιμες μεθόδους εκκίνησης του επεξεργαστή Nios V.
4.4.1. Εκτέλεση εφαρμογής επεξεργαστή Nios V στην αρχική του θέση από την εκκίνηση της μονάδας flash
Η Altera σχεδίασε τους ελεγκτές flash έτσι ώστε ο χώρος διευθύνσεων εκκίνησης flash να είναι άμεσα προσβάσιμος στον επεξεργαστή Nios V κατά την επαναφορά του συστήματος, χωρίς να χρειάζεται να αρχικοποιηθεί ο ελεγκτής μνήμης ή οι συσκευές μνήμης. Αυτό επιτρέπει στον επεξεργαστή Nios V να εκτελεί κώδικα εφαρμογής που είναι αποθηκευμένος στις συσκευές εκκίνησης απευθείας χωρίς να χρησιμοποιεί αντιγραφέα εκκίνησης για την αντιγραφή του κώδικα σε άλλο τύπο μνήμης. Οι ελεγκτές flash είναι: · Ενσωματωμένη μνήμη flash με On-Chip Flash IP (μόνο στη συσκευή MAX® 10) · Γενικής χρήσης μνήμη flash QSPI με Generic Serial Flash Interface IP · Διαμόρφωση μνήμης flash QSPI με Generic Serial Flash Interface IP (εκτός από το MAX 10
συσκευές)
Όταν η εφαρμογή επεξεργαστή Nios V εκτελείται επιτόπου από τη μνήμη flash εκκίνησης, ο Επεξεργαστής BSP εκτελεί τις ακόλουθες λειτουργίες: · Ορίζει τις ενότητες σύνδεσης .text στην περιοχή μνήμης flash εκκίνησης. · Ορίζει τις ενότητες σύνδεσης .bss, .rodata, .rwdata, .stack και .heap στη μνήμη RAM.
περιοχή μνήμης. Πρέπει να ενεργοποιήσετε τη συνάρτηση alt_load() στις Ρυθμίσεις BSP για να αντιγράψετε τις ενότητες δεδομένων (.rodata, .rwdata,, .exceptions) στη μνήμη RAM κατά την επαναφορά του συστήματος. Η ενότητα κώδικα (.text) παραμένει στην περιοχή μνήμης flash εκκίνησης.
Σχετικές πληροφορίες · Γενικός οδηγός χρήστη για τη διεπαφή σειριακής λάμψης Altera FPGA IP · Οδηγός χρήστη για τη μνήμη flash Altera MAX 10
4.4.1.1. alt_load()
Μπορείτε να ενεργοποιήσετε τη συνάρτηση alt_load() στον κώδικα HAL χρησιμοποιώντας τον Επεξεργαστή BSP.
Όταν χρησιμοποιείται στη ροή εκκίνησης εκτέλεσης επί τόπου, η συνάρτηση alt_load() εκτελεί τις ακόλουθες εργασίες:

Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 51

4. Λύσεις διαμόρφωσης και εκκίνησης επεξεργαστή Nios V 726952 | 2025.07.16

· Λειτουργεί ως μίνι αντιγραφέας εκκίνησης που αντιγράφει τα τμήματα μνήμης στη RAM με βάση τις ρυθμίσεις BSP.
· Αντιγράφει ενότητες δεδομένων (.rodata, .rwdata, .exceptions) στη μνήμη RAM, αλλά όχι τις ενότητες κώδικα (.text). Η ενότητα κώδικα (.text) είναι μια ενότητα μόνο για ανάγνωση και παραμένει στην περιοχή της μνήμης flash κατά την εκκίνηση. Αυτή η διαμέριση βοηθά στην ελαχιστοποίηση της χρήσης της RAM, αλλά μπορεί να περιορίσει την απόδοση εκτέλεσης κώδικα, επειδή οι προσβάσεις στη μνήμη flash είναι πιο αργές από τις προσβάσεις στη μνήμη RAM που είναι ενσωματωμένη στο τσιπ.

Ο παρακάτω πίνακας παραθέτει τις ρυθμίσεις και τις λειτουργίες του Επεξεργαστή BSP:

Πίνακας 31. Ρυθμίσεις Επεξεργαστή BSP
Ρύθμιση του Επεξεργαστή BSP hal.linker.enable_alt_load hal.linker.enable_alt_load_copy_rodata hal.linker.enable_alt_load_copy_rwdata hal.linker.enable_alt_load_copy_exceptions

Συνάρτηση Ενεργοποιεί τη συνάρτηση alt_load(). Η alt_load() αντιγράφει την ενότητα .rodata στη μνήμη RAM. Η alt_load() αντιγράφει την ενότητα .rwdata στη μνήμη RAM. Η alt_load() αντιγράφει την ενότητα .exceptions στη μνήμη RAM.

4.4.2. Εφαρμογή επεξεργαστή Nios V αντιγράφηκε από τη μνήμη Boot Flash στη μνήμη RAM χρησιμοποιώντας το Boot Copier
Ο επεξεργαστής Nios V και το HAL περιλαμβάνουν ένα boot copier που παρέχει επαρκή λειτουργικότητα για τις περισσότερες εφαρμογές επεξεργαστή Nios V και είναι βολικό στην υλοποίηση με τη ροή ανάπτυξης λογισμικού Nios V.
Όταν η εφαρμογή χρησιμοποιεί ένα πρόγραμμα αντιγραφής εκκίνησης, ορίζει όλες τις ενότητες σύνδεσης (.text, .heap, .rwdata, .rodata, .bss, .stack) σε μια εσωτερική ή εξωτερική μνήμη RAM. Η χρήση του προγράμματος αντιγραφής εκκίνησης για την αντιγραφή μιας εφαρμογής επεξεργαστή Nios V από τη μνήμη flash εκκίνησης στην εσωτερική ή εξωτερική μνήμη RAM για εκτέλεση βοηθά στη βελτίωση της απόδοσης εκτέλεσης.
Για αυτήν την επιλογή εκκίνησης, ο επεξεργαστής Nios V ξεκινά την εκτέλεση του λογισμικού αντιγραφής εκκίνησης κατά την επαναφορά του συστήματος. Το λογισμικό αντιγράφει την εφαρμογή από τη μνήμη flash εκκίνησης στην εσωτερική ή εξωτερική μνήμη RAM. Μόλις ολοκληρωθεί η διαδικασία, ο επεξεργαστής Nios V μεταφέρει τον έλεγχο του προγράμματος στην εφαρμογή.

Σημείωμα:

Εάν το boot copier βρίσκεται σε flash, τότε η συνάρτηση alt_load() δεν χρειάζεται να κληθεί επειδή και οι δύο εξυπηρετούν τον ίδιο σκοπό.

4.4.2.1. Εκκίνηση επεξεργαστή Nios V μέσω Γενικής Σειριακής Διεπαφής Flash
Το Bootloader μέσω GSFI είναι το boot copier του επεξεργαστή Nios V που υποστηρίζει μνήμη flash QSPI σε συσκευές που βασίζονται σε μπλοκ ελέγχου. Το Bootloader μέσω GSFI περιλαμβάνει τις ακόλουθες δυνατότητες:
· Εντοπίζει την εφαρμογή λογισμικού σε μη πτητική μνήμη.
· Αποσυμπιέζει και αντιγράφει την εικόνα της εφαρμογής λογισμικού στη μνήμη RAM.
· Μετατρέπει αυτόματα την εκτέλεση του επεξεργαστή σε κώδικα εφαρμογής στη μνήμη RAM μετά την ολοκλήρωση της αντιγραφής.

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 52

Αποστολή σχολίων

4. Λύσεις διαμόρφωσης και εκκίνησης επεξεργαστή Nios V 726952 | 2025.07.16

Η εικόνα εκκίνησης βρίσκεται ακριβώς μετά το αντιγραφικό εκκίνησης. Πρέπει να βεβαιωθείτε ότι ο επεξεργαστής Nios V επαναφέρει τα σημεία μετατόπισης στην αρχή του αντιγραφικού εκκίνησης. Το Σχήμα: Χάρτης μνήμης για QSPI Flash με Bootloader μέσω GSFI χάρτης μνήμης για QSPI Flash με Bootloader μέσω GSFI δείχνει τον χάρτη μνήμης flash για QSPI flash όταν χρησιμοποιείται ένα αντιγραφικό εκκίνησης. Αυτός ο χάρτης μνήμης υποθέτει ότι η μνήμη flash αποθηκεύει την εικόνα FPGA και το λογισμικό εφαρμογής.

Πίνακας 32. Bootloader μέσω GSFI για Nios V Processor Core

Πυρήνας επεξεργαστή Nios V
Επεξεργαστής Nios V/m

Bootloader μέσω GSFI File Τοποθεσία
/niosv/components/bootloader/niosv_m_bootloader.srec

Επεξεργαστής Nios V/g

/niosv/components/bootloader/niosv_g_bootloader.srec

Σχήμα 29. Χάρτης μνήμης για QSPI Flash με Bootloader μέσω GSFI

Δεδομένα Πελάτη (*.hex)

Κωδικός εφαρμογής

Σημείωμα:

Επαναφορά μετατόπισης διανύσματος

Φωτοαντιγραφικό εκκίνησης

0x01E00000

Εικόνα FPGA (*.sof)

0x00000000

1. Στην αρχή του χάρτη μνήμης βρίσκεται η εικόνα FPGA ακολουθούμενη από τα δεδομένα σας, τα οποία αποτελούνται από το αντιγραφικό εκκίνησης και τον κώδικα της εφαρμογής.
2. Πρέπει να ορίσετε την μετατόπιση επαναφοράς επεξεργαστή Nios V στο Platform Designer και να την κατευθύνετε προς την αρχή του αντιγραφέα εκκίνησης.
3. Το μέγεθος της εικόνας FPGA είναι άγνωστο. Μπορείτε να μάθετε το ακριβές μέγεθος μόνο μετά τη μεταγλώττιση του έργου Quartus Prime. Πρέπει να καθορίσετε ένα ανώτατο όριο για το μέγεθος της εικόνας FPGA Altera. Για παράδειγμαampΔηλαδή, εάν το μέγεθος της εικόνας FPGA εκτιμάται ότι είναι μικρότερο από 0x01E00000, ορίστε την τιμή Reset Offset σε 0x01E00000 στο Platform Designer, το οποίο είναι επίσης η έναρξη του αντιγραφέα εκκίνησης.
4. Μια καλή πρακτική σχεδιασμού συνίσταται στον ορισμό της μετατόπισης διανύσματος επαναφοράς σε ένα όριο τομέα flash, ώστε να διασφαλιστεί ότι δεν θα υπάρξει μερική διαγραφή της εικόνας FPGA σε περίπτωση ενημέρωσης της εφαρμογής λογισμικού.

Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 53

4. Λύσεις διαμόρφωσης και εκκίνησης επεξεργαστή Nios V 726952 | 2025.07.16

4.4.2.2. Εκκίνηση επεξεργαστή Nios V μέσω της Ασφαλούς Διαχείρισης Συσκευών
Το Bootloader μέσω Secure Device Manager (SDM) είναι ένας κώδικας εφαρμογής HAL που χρησιμοποιεί το πρόγραμμα οδήγησης Mailbox Client Altera FPGA IP HAL για την εκκίνηση του επεξεργαστή. Η Altera συνιστά αυτήν την εφαρμογή bootloader κατά τη χρήση της διαμόρφωσης QSPI flash σε συσκευές που βασίζονται σε SDM για την εκκίνηση του επεξεργαστή Nios V.
Μετά την επαναφορά του συστήματος, ο επεξεργαστής Nios V εκκινεί πρώτα τον Bootloader μέσω SDM ​​από μια μικροσκοπική ενσωματωμένη μνήμη και εκτελεί τον Bootloader μέσω SDM ​​για να επικοινωνήσει με τη μνήμη flash QSPI διαμόρφωσης χρησιμοποιώντας την IP του Mailbox Client.
Ο Bootloader μέσω SDM ​​εκτελεί τις ακόλουθες εργασίες: · Εντοπίζει το λογισμικό Nios V στη μνήμη flash QSPI διαμόρφωσης. · Αντιγράφει το λογισμικό Nios V στη μνήμη RAM που είναι ενσωματωμένη στο τσιπ ή στην εξωτερική μνήμη RAM. · Αλλάζει την εκτέλεση του επεξεργαστή στο λογισμικό Nios V που βρίσκεται εντός της μνήμης RAM που είναι ενσωματωμένη στο τσιπ ή
εξωτερική μνήμη RAM.
Μόλις ολοκληρωθεί η διαδικασία, το Bootloader μέσω SDM ​​μεταφέρει τον έλεγχο του προγράμματος στην εφαρμογή χρήστη. Η Altera συνιστά την οργάνωση μνήμης όπως περιγράφεται στην ενότητα Οργάνωση μνήμης για το Bootloader μέσω SDM.
Σχήμα 30. Bootloader μέσω ροής διεργασίας SDM

Διαμόρφωση

Λάμψη

2

Λογισμικό Nios V

SDM

Συσκευή FPGA που βασίζεται σε SDM

IP προγράμματος-πελάτη γραμματοκιβωτίου

Λογική FPGA Nios V

4 Εξωτερική μνήμη RAM
Λογισμικό Nios V

On-Chip 4

EMIF

ΕΜΒΟΛΟ

Μνήμη στο Chip

IP

Νίος Ε΄

1

Λογισμικό

Bootloader μέσω SDM

3

3

1. Ο επεξεργαστής Nios V εκτελεί το Bootloader μέσω SDM ​​από την ενσωματωμένη μνήμη.
2. Ο Bootloader μέσω SDM ​​επικοινωνεί με τη μνήμη flash διαμόρφωσης και εντοπίζει το λογισμικό Nios V.
3. Ο Bootloader μέσω SDM ​​αντιγράφει το λογισμικό Nios V από τη μνήμη Configuration Flash σε ενσωματωμένη μνήμη RAM / εξωτερική μνήμη RAM.
4. Ο bootloader μέσω SDM ​​μετατρέπει την εκτέλεση του επεξεργαστή Nios V στο λογισμικό Nios V στην ενσωματωμένη μνήμη RAM / εξωτερική μνήμη RAM.

4.4.3. Εκτέλεση εφαρμογής επεξεργαστή Nios V επί τόπου από OCRAM
Σε αυτήν τη μέθοδο, η διεύθυνση επαναφοράς του επεξεργαστή Nios V ορίζεται στη βασική διεύθυνση της ενσωματωμένης μνήμης (OCRAM). Το δυαδικό αρχείο εφαρμογής (.hex) file φορτώνεται στην OCRAM όταν διαμορφώνεται το FPGA, αφού μεταγλωττιστεί ο σχεδιασμός υλικού στο λογισμικό Quartus Prime. Μόλις γίνει επαναφορά του επεξεργαστή Nios V, η εφαρμογή αρχίζει να εκτελείται και διακλαδώνεται στο σημείο εισόδου.

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 54

Αποστολή σχολίων

4. Λύσεις διαμόρφωσης και εκκίνησης επεξεργαστή Nios V 726952 | 2025.07.16

Σημείωμα:

· Η εκτέλεση σε λειτουργία από το OCRAM δεν απαιτεί αντιγραφέα εκκίνησης επειδή η εφαρμογή επεξεργαστή Nios V είναι ήδη εγκατεστημένη κατά την επαναφορά του συστήματος.
· Η Altera συνιστά την ενεργοποίηση της συνάρτησης alt_load() για αυτήν τη μέθοδο εκκίνησης, έτσι ώστε το ενσωματωμένο λογισμικό να συμπεριφέρεται με τον ίδιο τρόπο κατά την επαναφορά χωρίς να χρειάζεται επαναρύθμιση της εικόνας της συσκευής FPGA.
· Πρέπει να ενεργοποιήσετε τη συνάρτηση alt_load() στις Ρυθμίσεις BSP για να αντιγράψετε την ενότητα .rwdata κατά την επαναφορά του συστήματος. Σε αυτήν τη μέθοδο, οι αρχικές τιμές για τις αρχικοποιημένες μεταβλητές αποθηκεύονται ξεχωριστά από τις αντίστοιχες μεταβλητές για να αποφευχθεί η αντικατάσταση κατά την εκτέλεση του προγράμματος.

4.4.4. Εκτέλεση εφαρμογής επεξεργαστή Nios V επί τόπου από TCM
Η μέθοδος execute-in-place ορίζει τη διεύθυνση επαναφοράς του επεξεργαστή Nios V στη βασική διεύθυνση της tightly coupled memory (TCM). Το δυαδικό αρχείο εφαρμογής (.hex) file φορτώνεται στο TCM όταν ρυθμίζετε τις παραμέτρους του FPGA μετά τη μεταγλώττιση του σχεδιασμού υλικού στο λογισμικό Quartus Prime. Μόλις γίνει επαναφορά του επεξεργαστή Nios V, η εφαρμογή αρχίζει να εκτελείται και διακλαδώνεται στο σημείο εισόδου.

Σημείωμα:

Η εκτέλεση στη θέση της από το TCM δεν απαιτεί αντιγραφέα εκκίνησης επειδή η εφαρμογή επεξεργαστή Nios V είναι ήδη εγκατεστημένη κατά την επαναφορά του συστήματος.

4.5. Εκκίνηση επεξεργαστή Nios V από ενσωματωμένη μνήμη flash (UFM)

Η εκκίνηση και η εκτέλεση λογισμικού από ενσωματωμένη μνήμη flash (UFM) του επεξεργαστή Nios V είναι διαθέσιμη σε συσκευές MAX 10 FPGA. Ο επεξεργαστής Nios V υποστηρίζει τις ακόλουθες δύο επιλογές εκκίνησης χρησιμοποιώντας ενσωματωμένη μνήμη flash σε λειτουργία εσωτερικής διαμόρφωσης:
· Η εφαρμογή επεξεργαστή Nios V εκτελείται επιτόπου από την ενσωματωμένη μνήμη flash (On-Chip Flash).
· Η εφαρμογή του επεξεργαστή Nios V αντιγράφεται από την ενσωματωμένη μνήμη flash στη μνήμη RAM χρησιμοποιώντας το πρόγραμμα αντιγραφής εκκίνησης.

Πίνακας 33. Υποστηριζόμενες μνήμες Flash με αντίστοιχες επιλογές εκκίνησης

Υποστηριζόμενες μνήμες εκκίνησης

Μέθοδοι εκκίνησης Nios V

Τοποθεσία εκτέλεσης εφαρμογής

Φωτοαντιγραφικό εκκίνησης

Μόνο MAX 10 συσκευές (με OnChip Flash IP)

Εκτέλεση εφαρμογής επεξεργαστή Nios V επιτόπου από το On-Chip Flash
Η εφαρμογή επεξεργαστή Nios V αντιγράφηκε από την ενσωματωμένη μνήμη flash στη μνήμη RAM χρησιμοποιώντας το πρόγραμμα αντιγραφής εκκίνησης.

Ενσωματωμένη μνήμη flash (XIP) + OCRAM/ Εξωτερική μνήμη RAM (για εγγράψιμα τμήματα δεδομένων)

Συνάρτηση alt_load()

OCRAM/ Εξωτερική RAM

Επαναχρησιμοποίηση του Bootloader μέσω GSFI

Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 55

4. Λύσεις διαμόρφωσης και εκκίνησης επεξεργαστή Nios V 726952 | 2025.07.16

Εικόνα 31.

Σχεδίαση, Ρύθμιση Παραμέτρων και Ροή Εκκίνησης
Σχεδίαση · Δημιουργήστε το έργο σας που βασίζεται στον επεξεργαστή Nios V χρησιμοποιώντας το Platform Designer. · Βεβαιωθείτε ότι υπάρχει εξωτερική μνήμη RAM ή RAM ενσωματωμένη στο τσιπ στο σχεδιασμό του συστήματος.

Ρύθμιση παραμέτρων και μεταγλώττιση FPGA
· Ορίστε την ίδια εσωτερική λειτουργία διαμόρφωσης στο On-chip Flash IP στο λογισμικό Platform Designer και Quartus Prime. · Ορίστε τον παράγοντα επαναφοράς επεξεργαστή Nios V σε On-chip Flash. · Επιλέξτε την προτιμώμενη μέθοδο αρχικοποίησης UFM. · Δημιουργήστε το σχέδιό σας στο Platform Designer. · Μεταγλωττίστε το έργο σας στο λογισμικό Quartus Prime.

Έργο BSP εφαρμογής χρήστη · Δημιουργία επεξεργαστή Nios V HAL BSP με βάση το .sopcinfo file δημιουργήθηκε από το Platform Designer. · Επεξεργασία ρυθμίσεων BSP του επεξεργαστή Nios V και Script Linker στον επεξεργαστή BSP. · Δημιουργία έργου BSP.
Έργο Εφαρμογής Εφαρμογής Χρήστη · Ανάπτυξη κώδικα εφαρμογής επεξεργαστή Nios V. · Μεταγλώττιση εφαρμογής επεξεργαστή Nios V και δημιουργία εφαρμογής επεξεργαστή Nios V (.hex) file· Επαναμεταγλωττίστε το έργο σας στο λογισμικό Quartus Prime εάν έχετε επιλέξει την επιλογή Initialize memory content (Αρχικοποίηση περιεχομένου μνήμης) στην Intel FPGA On-Chip Flash IP.

Προγραμματισμός FileΜετατροπή, λήψη και εκτέλεση · Δημιουργία του ενσωματωμένου στο τσιπ αρχείου Flash .pof file χρησιμοποιώντας τον Προγραμματισμό Μετατροπής Fileλειτουργία s στο λογισμικό Quartus Prime.
· Προγραμματίστε το .pof file στη συσκευή MAX 10. · Συνδέστε ξανά τον εξοπλισμό σας.
4.5.1. Περιγραφή Ενσωματωμένης Μνήμης Flash MAX 10 FPGA
Οι συσκευές MAX 10 FPGA περιέχουν ενσωματωμένη μνήμη flash, η οποία χωρίζεται σε δύο μέρη: · Μνήμη Flash Διαμόρφωσης (CFM) — αποθηκεύει τα δεδομένα διαμόρφωσης υλικού για
ΜΕΓΙΣΤΟ 10 FPGA. · Μνήμη Flash χρήστη (UFM) — αποθηκεύει τα δεδομένα χρήστη ή τις εφαρμογές λογισμικού.
Η αρχιτεκτονική UFM της συσκευής MAX 10 είναι ένας συνδυασμός soft και hard IP. Μπορείτε να αποκτήσετε πρόσβαση στο UFM μόνο χρησιμοποιώντας τον On-Chip Flash IP Core στο λογισμικό Quartus Prime.
Ο πυρήνας IP Flash On-chip υποστηρίζει τις ακόλουθες λειτουργίες: · Πρόσβαση ανάγνωσης ή εγγραφής στους τομείς UFM και CFM (εάν είναι ενεργοποιημένη στο Platform Designer)
χρησιμοποιώντας τη διεπαφή δεδομένων και ελέγχου Avalon MM. · Υποστηρίζει διαγραφή σελίδας, διαγραφή τομέα και εγγραφή τομέα. · Μοντέλο προσομοίωσης για προσβάσεις ανάγνωσης/εγγραφής UFM χρησιμοποιώντας διάφορα εργαλεία προσομοίωσης EDA.

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 56

Αποστολή σχολίων

4. Λύσεις διαμόρφωσης και εκκίνησης επεξεργαστή Nios V 726952 | 2025.07.16

Πίνακας 34. Περιοχές Flash εντός τσιπ σε συσκευές MAX 10 FPGA

Περιοχές Flash

Λειτουργικότητα

Διαμόρφωση μνήμης Flash (τομείς CFM0-2)

Διαμόρφωση FPGA file αποθήκευση

Μνήμη Flash χρήστη (τομείς UFM0-1)

Εφαρμογή επεξεργαστή Nios V και δεδομένα χρήστη

Οι συσκευές MAX 10 FPGA υποστηρίζουν διάφορες λειτουργίες διαμόρφωσης και ορισμένες από αυτές τις λειτουργίες επιτρέπουν τη χρήση των CFM1 και CFM2 ως πρόσθετης περιοχής UFM. Ο παρακάτω πίνακας δείχνει την τοποθεσία αποθήκευσης των εικόνων διαμόρφωσης FPGA με βάση τις λειτουργίες διαμόρφωσης του MAX 10 FPGA.

Πίνακας 35. Θέση αποθήκευσης εικόνων διαμόρφωσης FPGA

Λειτουργία διαμόρφωσης Διπλά συμπιεσμένες εικόνες

Συμπιεσμένη εικόνα CFM2 2

CFM1

Συμπιεσμένη εικόνα CFM0 1

Μία ασυμπίεστη εικόνα

Εικονικό UFM

Μη συμπιεσμένη εικόνα

Μία μη συμπιεσμένη εικόνα με αρχικοποίηση μνήμης

Μη συμπιεσμένη εικόνα (με προ-αρχικοποιημένο περιεχόμενο μνήμης στο τσιπ)

Μία συμπιεσμένη εικόνα με αρχικοποίηση μνήμης Συμπιεσμένη εικόνα (με προ-αρχικοποιημένο περιεχόμενο μνήμης στο τσιπ)

Μία συμπιεσμένη εικόνα

Εικονικό UFM

Συμπιεσμένη εικόνα

Πρέπει να χρησιμοποιήσετε τον πυρήνα Flash IP On-chip για να αποκτήσετε πρόσβαση στη μνήμη flash σε MAX 10 FPGAs. Μπορείτε να δημιουργήσετε και να συνδέσετε την On-chip Flash IP στο λογισμικό Quartus Prime. Ο επεξεργαστής soft core Nios V χρησιμοποιεί τις διασυνδέσεις Platform Designer για να επικοινωνήσει με την On-chip Flash IP.
Σχήμα 32. Σύνδεση μεταξύ της ενσωματωμένης μνήμης Flash IP και του επεξεργαστή Nios V

Σημείωμα:

Βεβαιωθείτε ότι η θύρα csr του ενσωματωμένου Flash είναι συνδεδεμένη με το Nios V processor data_manager για να επιτρέψετε στον επεξεργαστή να ελέγχει τις λειτουργίες εγγραφής και διαγραφής.
Ο ενσωματωμένος πυρήνας Flash IP μπορεί να παρέχει πρόσβαση σε πέντε τομείς flash – UFM0, UFM1, CFM0, CFM1 και CFM2.
Σημαντικές πληροφορίες σχετικά με τους τομείς UFM και CFM: · Οι τομείς CFM προορίζονται για αποθήκευση δεδομένων διαμόρφωσης (bitstream) (*.pof).
· Τα δεδομένα χρήστη μπορούν να αποθηκευτούν στους τομείς UFM και ενδέχεται να αποκρυφθούν, εάν επιλεγούν οι σωστές ρυθμίσεις στο εργαλείο Platform Designer.
· Ορισμένες συσκευές δεν διαθέτουν τομέα UFM1. Μπορείτε να ανατρέξετε στον πίνακα: Μέγεθος τομέα UFM και CFM για τους διαθέσιμους τομείς σε κάθε μεμονωμένη συσκευή MAX 10 FPGA.

Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 57

4. Λύσεις διαμόρφωσης και εκκίνησης επεξεργαστή Nios V 726952 | 2025.07.16

· Μπορείτε να διαμορφώσετε το CFM2 ως εικονικό UFM επιλέγοντας τη λειτουργία διαμόρφωσης Μονής Ασυμπίεστης Εικόνας.
· Μπορείτε να διαμορφώσετε τα CFM2 και CFM1 ως εικονικό UFM επιλέγοντας τη λειτουργία διαμόρφωσης Μονής Ασυμπίεστης Εικόνας.
· Το μέγεθος κάθε τομέα ποικίλλει ανάλογα με τις επιλεγμένες συσκευές MAX 10 FPGA.

Πίνακας 36.

Μέγεθος τομέα UFM και CFM
Αυτός ο πίνακας παραθέτει τις διαστάσεις των συστοιχιών UFM και CFM.

Συσκευή

Σελίδες ανά Τομέα

UFM1 UFM0 CFM2 CFM1 CFM0

Μέγεθος σελίδας (Kbit)

Μέγιστος Χρήστης
Μέγεθος μνήμης Flash (Kbit) (3)

Συνολικό μέγεθος μνήμης διαμόρφωσης (Kbit)

10M02 3

3

0

0

34 16

96

544

10M04 0

8

41 29 70 16

1248

2240

10M08 8

8

41 29 70 16

1376

2240

10M16 4

4

38 28 66 32

2368

4224

10M25 4

4

52 40 92 32

3200

5888

10M40 4

4

48 36 84 64

5888

10752

10M50 4

4

48 36 84 64

5888

10752

Μέγεθος OCRAM (Kbit)
108 189 378 549 675 1260 1638

Σχετικές πληροφορίες · Οδηγός χρήστη διαμόρφωσης FPGA MAX 10 · Οδηγός χρήστη μνήμης flash Altera MAX 10

4.5.2. Εκτέλεση εφαρμογής επεξεργαστή Nios V επί τόπου από UFM

Η λύση Execute-In-Place από UFM είναι κατάλληλη για εφαρμογές επεξεργαστών Nios V που απαιτούν περιορισμένη χρήση μνήμης στο τσιπ. Η συνάρτηση alt_load() λειτουργεί ως μίνι αντιγραφέας εκκίνησης που αντιγράφει τις ενότητες δεδομένων (.rodata, .rwdata ή .exceptions) από τη μνήμη εκκίνησης στη RAM με βάση τις ρυθμίσεις BSP. Η ενότητα κώδικα (.text),
η οποία είναι μια ενότητα μόνο για ανάγνωση, παραμένει στην περιοχή μνήμης Flash On-chip MAX 10. Αυτή η ρύθμιση ελαχιστοποιεί τη χρήση της μνήμης RAM, αλλά ενδέχεται να περιορίσει την απόδοση εκτέλεσης κώδικα, καθώς η πρόσβαση στη μνήμη flash είναι πιο αργή από τη μνήμη RAM που είναι ενσωματωμένη στο τσιπ.

Η εφαρμογή του επεξεργαστή Nios V είναι προγραμματισμένη στον τομέα UFM. Το διάνυσμα επαναφοράς του επεξεργαστή Nios V δείχνει στη βασική διεύθυνση UFM για την εκτέλεση κώδικα από το UFM μετά την επαναφορά του συστήματος.

Εάν χρησιμοποιείτε το πρόγραμμα εντοπισμού σφαλμάτων σε επίπεδο πηγαίου κώδικα για την εντοπισμό σφαλμάτων στην εφαρμογή σας, πρέπει να χρησιμοποιήσετε ένα σημείο διακοπής υλικού. Αυτό συμβαίνει επειδή το UFM δεν υποστηρίζει τυχαία πρόσβαση μνήμης, η οποία είναι απαραίτητη για τον εντοπισμό σφαλμάτων σε σημεία διακοπής μέσω λογισμικού.

Σημείωμα:

Δεν μπορείτε να διαγράψετε ή να γράψετε UFM κατά την εκτέλεση επιτόπιας εκτέλεσης στο MAX 10. Αλλάξτε στην προσέγγιση εκκίνησης του αντιγραφέα εάν χρειάζεται να διαγράψετε ή να γράψετε το UFM.

(3) Η μέγιστη δυνατή τιμή, η οποία εξαρτάται από τη λειτουργία διαμόρφωσης που επιλέγετε.

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 58

Αποστολή σχολίων

4. Λύσεις διαμόρφωσης και εκκίνησης επεξεργαστή Nios V 726952 | 2025.07.16

Σχήμα 33. Εφαρμογή επεξεργαστή Nios V XIP από UFM

Μέγιστο 10 συσκευές

.POF
Nios V Hardware .SOF
Λογισμικό Nios V .HEX

Προγραμματιστής Quartus

Ενσωματωμένη λάμψη στο τσιπ

CFM

Nios V Hardware

UFM

Λογισμικό Nios V

Εσωτερική διαμόρφωση

Ενσωματωμένη μνήμη flash IP

Λογική FPGA
Επεξεργαστής Nios V

Ενσωματωμένη μνήμη RAM

Εξωτερικός

ΕΜΒΟΛΟ

EMIF

IP

4.5.2.1. Ροή Σχεδιασμού Υλικού
Η ακόλουθη ενότητα περιγράφει μια βήμα προς βήμα μέθοδο για τη δημιουργία ενός εκκινήσιμου συστήματος για μια εφαρμογή επεξεργαστή Nios V από το On-Chip Flash.ampΤο παρακάτω έχει κατασκευαστεί χρησιμοποιώντας τη συσκευή MAX 10.
Ρυθμίσεις στοιχείου IP
1. Δημιουργήστε το έργο του επεξεργαστή Nios V χρησιμοποιώντας το Quartus Prime και το Platform Designer. 2. Βεβαιωθείτε ότι έχετε προσθέσει εξωτερική μνήμη RAM ή ενσωματωμένη μνήμη (OCRAM) στην πλατφόρμα σας.
Σύστημα σχεδιαστή.

Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 59

4. Λύσεις διαμόρφωσης και εκκίνησης επεξεργαστή Nios V 726952 | 2025.07.16
Εικόνα 34. ΠρampΣυνδέσεις IP στο Platform Designer για εκκίνηση του Nios V από το OnChip Flash (UFM)

3. Στον επεξεργαστή παραμέτρων On-Chip Flash IP, ορίστε τη Λειτουργία Διαμόρφωσης σε ένα από τα ακόλουθα, ανάλογα με την προτίμησή σας για σχεδιασμό: · Μία μη συμπιεσμένη εικόνα · Μία συμπιεσμένη εικόνα · Μία μη συμπιεσμένη εικόνα με αρχικοποίηση μνήμης · Μία συμπιεσμένη εικόνα με αρχικοποίηση μνήμης
Για περισσότερες πληροφορίες σχετικά με τις διπλά συμπιεσμένες εικόνες, ανατρέξτε στον Οδηγό χρήστη διαμόρφωσης MAX 10 FPGA – Απομακρυσμένη αναβάθμιση συστήματος.

Σημείωμα:

Πρέπει να εκχωρήσετε Κρυφή Πρόσβαση σε κάθε περιοχή CFM στην On-Chip Flash IP.

Σχήμα 35. Επιλογή λειτουργίας διαμόρφωσης στον επεξεργαστή παραμέτρων flash στο ενσωματωμένο τσιπ

Ρυθμίσεις IP Flash On-Chip – Αρχικοποίηση UFM Μπορείτε να επιλέξετε μία από τις ακόλουθες μεθόδους ανάλογα με τις προτιμήσεις σας:

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 60

Αποστολή σχολίων

4. Λύσεις διαμόρφωσης και εκκίνησης επεξεργαστή Nios V 726952 | 2025.07.16

Σημείωμα:

Τα βήματα στα επόμενα υποκεφάλαια (Ροή Σχεδίασης Λογισμικού και Προγραμματισμός) εξαρτώνται από την επιλογή που θα κάνετε εδώ.

· Μέθοδος 1: Αρχικοποίηση των δεδομένων UFM στο SOF κατά τη μεταγλώττιση
Το Quartus Prime περιλαμβάνει τα δεδομένα αρχικοποίησης UFM στο SOF κατά τη μεταγλώττιση. Η εκ νέου μεταγλώττιση του SOF είναι απαραίτητη εάν υπάρχουν αλλαγές στα δεδομένα UFM.
1. Επιλέξτε Αρχικοποίηση περιεχομένου flash και Ενεργοποίηση μη προεπιλεγμένης αρχικοποίησης file.

Σχήμα 36. Αρχικοποίηση περιεχομένων Flash και ενεργοποίηση μη προεπιλεγμένης αρχικοποίησης File

2. Καθορίστε τη διαδρομή του δημιουργημένου αρχείου .hex file (από την εντολή elf2hex) στο hex ή mif που δημιουργήθηκε από τον χρήστη file.
Σχήμα 37. Προσθήκη του .hex File Μονοπάτι

· Μέθοδος 2: Συνδυασμός δεδομένων UFM με ένα μεταγλωττισμένο SOF κατά τη δημιουργία POF
Τα δεδομένα UFM συνδυάζονται με το μεταγλωττισμένο SOF κατά τη μετατροπή του προγραμματισμού fileΔεν χρειάζεται να μεταγλωττίσετε ξανά το SOF, ακόμα κι αν αλλάξουν τα δεδομένα UFM. Κατά την ανάπτυξη, δεν χρειάζεται να μεταγλωττίσετε ξανά το SOF. files για αλλαγές στην εφαρμογή. Η Alterare συνιστά αυτήν τη μέθοδο για προγραμματιστές εφαρμογών.
1. Καταργήστε την επιλογή της επιλογής Αρχικοποίηση περιεχομένου flash..
Σχήμα 38. Αρχικοποίηση περιεχομένου Flash με μη προεπιλεγμένη αρχικοποίηση File

Επαναφορά ρυθμίσεων παράγοντα για τη μέθοδο εκτέλεσης επιτόπου του επεξεργαστή Nios V
1. Στον επεξεργαστή παραμέτρων του επεξεργαστή Nios V, ορίστε το Reset Agent σε On-Chip Flash.
Σχήμα 39. Ρυθμίσεις Επεξεργαστή Παραμέτρων Επεξεργαστή Nios V με Επαναφορά Παράγοντα Ορισμένη σε On-Chip Flash

2. Κάντε κλικ στην επιλογή Δημιουργία HDL όταν εμφανιστεί το παράθυρο διαλόγου Δημιουργία. 3. Καθορίστε την έξοδο file επιλογές δημιουργίας και κάντε κλικ στην επιλογή Δημιουργία.

Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 61

4. Λύσεις διαμόρφωσης και εκκίνησης επεξεργαστή Nios V 726952 | 2025.07.16
Ρυθμίσεις λογισμικού Quartus Prime 1. Στο λογισμικό Quartus Prime, κάντε κλικ στην επιλογή Αντιστοιχίσεις συσκευής Συσκευή και Pin
Επιλογές Διαμόρφωσης. Ορίστε τη λειτουργία Διαμόρφωσης σύμφωνα με τη ρύθμιση στο On-Chip Flash IP. Σχήμα 40. Επιλογή Λειτουργίας Διαμόρφωσης στο Λογισμικό Quartus Prime

2. Κάντε κλικ στο OK για να κλείσετε το παράθυρο Επιλογές συσκευής και PIN.
3. Κάντε κλικ στο OK για να κλείσετε το παράθυρο Συσκευή.
4. Κάντε κλικ στην επιλογή Επεξεργασία Έναρξη μεταγλώττισης για να μεταγλωττίσετε το έργο σας και να δημιουργήσετε το αρχείο .sof. file.

Σημείωμα:

Εάν η ρύθμιση λειτουργίας διαμόρφωσης στο λογισμικό Quartus Prime και στον επεξεργαστή παραμέτρων Platform Designer είναι διαφορετική, το έργο Quartus Prime αποτυγχάνει με το ακόλουθο μήνυμα σφάλματος.

Εικόνα 41.

Μήνυμα σφάλματος για διαφορετική ρύθμιση λειτουργίας διαμόρφωσης (14740): Η λειτουργία διαμόρφωσης στο atom "q_sys:q_sys_inst| altera_onchip_flash:onchip_flash_1|altera_onchip_flash_block: altera_onchip_flash_block|ufm_block" δεν ταιριάζει με τη ρύθμιση του έργου. Ενημερώστε και αναδημιουργήστε το σύστημα Qsys ώστε να ταιριάζει με τη ρύθμιση του έργου.

Σχετικές πληροφορίες Οδηγός χρήστη διαμόρφωσης MAX 10 FPGA

4.5.2.2. Ροή Σχεδιασμού Λογισμικού
Αυτή η ενότητα παρέχει τη ροή σχεδιασμού για τη δημιουργία και την κατασκευή του έργου λογισμικού επεξεργαστή Nios V. Για να διασφαλίσετε μια βελτιστοποιημένη ροή κατασκευής, σας ενθαρρύνουμε να δημιουργήσετε ένα παρόμοιο δέντρο καταλόγων στο έργο σχεδιασμού σας. Η ακόλουθη ροή σχεδιασμού λογισμικού βασίζεται σε αυτό το δέντρο καταλόγων.
Για να δημιουργήσετε το δέντρο καταλόγου έργου λογισμικού, ακολουθήστε τα εξής βήματα: 1. Στον φάκελο του έργου σχεδίασης, δημιουργήστε έναν φάκελο με το όνομα software. 2. Στον φάκελο software, δημιουργήστε δύο φακέλους με το όνομα hal_app και hal_bsp.
Σχήμα 42. Δέντρο καταλόγου έργου λογισμικού

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 62

Αποστολή σχολίων

4. Λύσεις διαμόρφωσης και εκκίνησης επεξεργαστή Nios V 726952 | 2025.07.16
Δημιουργία του Έργου BSP Εφαρμογής
Για να εκκινήσετε τον Επεξεργαστή BSP, ακολουθήστε τα εξής βήματα: 1. Εισέλθετε στο Κέλυφος εντολών Nios V. 2. Καλέστε τον Επεξεργαστή BSP με την εντολή niosv-bsp-editor. 3. Στον Επεξεργαστή BSP, κάντε κλικ στο File Νέο BSP για να ξεκινήσετε το έργο BSP σας. 4. Διαμορφώστε τις ακόλουθες ρυθμίσεις:
· Πληροφορίες SOPC File όνομα: Παρέχετε το SOPCINFO file (.sopcinfo). · Όνομα CPU: Επιλέξτε τον επεξεργαστή Nios V. · Λειτουργικό σύστημα: Επιλέξτε το λειτουργικό σύστημα του επεξεργαστή Nios V. · Έκδοση: Αφήστε το ως προεπιλογή. · Κατάλογος προορισμού BSP: Επιλέξτε τη διαδρομή καταλόγου του έργου BSP. Μπορείτε
προκαθορίστε το στο /software/hal_bsp ενεργοποιώντας την επιλογή Χρήση προεπιλεγμένων τοποθεσιών. · Ρυθμίσεις BSP File όνομα: Πληκτρολογήστε το όνομα των ρυθμίσεων BSP File. · Πρόσθετα σενάρια Tcl: Παρέχετε ένα σενάριο Tcl BSP ενεργοποιώντας την επιλογή Ενεργοποίηση πρόσθετου σεναρίου Tcl. 5. Κάντε κλικ στο OK.
Σχήμα 43. Ρύθμιση παραμέτρων νέου BSP

Ρύθμιση παραμέτρων του Επεξεργαστή BSP και Δημιουργία του Έργου BSP
Μπορείτε να ορίσετε το διάνυσμα εξαίρεσης του επεξεργαστή είτε στη μνήμη On-Chip (OCRAM) είτε στη μνήμη On-Chip Flash, ανάλογα με τις προτιμήσεις σας. Συνιστάται η ρύθμιση της μνήμης διανύσματος εξαίρεσης σε OCRAM/Εξωτερική RAM για ταχύτερη επεξεργασία διακοπών. 1. Μεταβείτε στις Κύριες ρυθμίσεις για προχωρημένους στο hal.linker. 2. Εάν επιλέξετε τη μνήμη On-Chip Flash ως διάνυσμα εξαίρεσης,
α. Ενεργοποιήστε τις ακόλουθες ρυθμίσεις:

Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 63

4. Λύσεις διαμόρφωσης και εκκίνησης επεξεργαστή Nios V 726952 | 2025.07.16
· allow_code_at_reset · enable_alt_load · enable_alt_load_copy_rodata · enable_alt_load_copy_rwdata Σχήμα 44. Ρυθμίσεις Advanced.hal.linker

β. Κάντε κλικ στην καρτέλα Linker Script στον Επεξεργαστή BSP. γ. Ορίστε τις περιοχές .exceptions και .text στο Όνομα Ενότητας Linker σε
Ενσωματωμένη μνήμη flash (On-Chip Flash). δ. Ορίστε τις υπόλοιπες περιοχές στη λίστα Όνομα ενότητας σύνδεσης σε On-Chip.
Μνήμη (OCRAM) ή εξωτερική μνήμη RAM.
Σχήμα 45. Ρυθμίσεις περιοχής συνδέτη (Μνήμη διανύσματος εξαίρεσης: Ενσωματωμένη μνήμη flash στο τσιπ)

3. Εάν επιλέξετε OCRAM/Εξωτερική RAM ως διάνυσμα εξαίρεσης, α. Ενεργοποιήστε τις ακόλουθες ρυθμίσεις: · allow_code_at_reset · enable_alt_load · enable_alt_load_copy_rodata · enable_alt_load_copy_rwdata · enable_alt_load_copy_exception
Σχήμα 46. Ρυθμίσεις περιοχής σύνδεσης (Μνήμη διανύσματος εξαίρεσης: OCRAM/Εξωτερική μνήμη RAM)

β. Κάντε κλικ στην καρτέλα Linker Script στον Επεξεργαστή BSP.
γ. Ορίστε τις περιοχές .text στο όνομα ενότητας σύνδεσης σε On-Chip Flash.
δ. Ορίστε τις υπόλοιπες περιοχές στη λίστα Όνομα ενότητας συνδέσμου στην Ενσωματωμένη Μνήμη (OCRAM) ή στην εξωτερική μνήμη RAM.

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 64

Αποστολή σχολίων

4. Λύσεις διαμόρφωσης και εκκίνησης επεξεργαστή Nios V 726952 | 2025.07.16
Σχήμα 47. Ρυθμίσεις περιοχής συνδέτη (Μνήμη διανύσματος εξαίρεσης: OCRAM)
4. Κάντε κλικ στην επιλογή Δημιουργία για να δημιουργήσετε το έργο BSP. Δημιουργία του έργου εφαρμογής χρήστη File 1. Μεταβείτε στον φάκελο software/hal_app και δημιουργήστε τον πηγαίο κώδικα της εφαρμογής σας
κώδικα. 2. Εκκινήστε το Nios V Command Shell. 3. Εκτελέστε την παρακάτω εντολή για να δημιουργήσετε το CMakeLists.txt της εφαρμογής.
niosv-app –app-dir=software/hal_app –bsp-dir=software/hal_bsp –srcs=software/hal_app/
Δημιουργία του Έργου Εφαρμογής Χρήστη Μπορείτε να επιλέξετε να δημιουργήσετε το έργο εφαρμογής χρήστη χρησιμοποιώντας το Ashling RiscFree IDE για Altera FPGA ή μέσω της διεπαφής γραμμής εντολών (CLI). Εάν προτιμάτε να χρησιμοποιήσετε CLI, μπορείτε να δημιουργήσετε την εφαρμογή χρήστη χρησιμοποιώντας την ακόλουθη εντολή: cmake -G “Unix Make”files” -B software/hal_app/build -S software/hal_app make -C software/hal_app/build
Η εφαρμογή (.elf) file δημιουργείται στον φάκελο software/hal_app/build. Δημιουργία του HEX File Πρέπει να δημιουργήσετε ένα αρχείο .hex file από την εφαρμογή σας .elf file, ώστε να μπορείτε να δημιουργήσετε ένα αρχείο .pof file κατάλληλο για τον προγραμματισμό των συσκευών. 1. Εκκινήστε το Nios V Command Shell. 2. Για την εκκίνηση της εφαρμογής του επεξεργαστή Nios V από το On-Chip Flash, χρησιμοποιήστε τα ακόλουθα
γραμμή εντολών για να μετατρέψετε το ELF σε HEX για την εφαρμογή σας. Αυτή η εντολή δημιουργεί την εφαρμογή χρήστη (onchip_flash.hex) file. λογισμικό elf2hex/hal_app/build/ .elf -o onchip_flash.hex
-σι -w 8 -e 3. Επαναμεταγλωττίστε τη σχεδίαση υλικού εάν επιλέξετε την επιλογή Αρχικοποίηση περιεχομένου μνήμης στο On-Chip Flash IP (Μέθοδος 1). Αυτό γίνεται για να συμπεριληφθούν τα δεδομένα λογισμικού (.HEX) στο SOF. file.

Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 65

4. Λύσεις διαμόρφωσης και εκκίνησης επεξεργαστή Nios V 726952 | 2025.07.16
4.5.2.3. Προγραμματισμός 1. Στο Quartus Prime, κάντε κλικ στο File Μετατροπή Προγραμματισμού Fileσελ. 2. Στην ενότητα Προγραμματισμός εξόδου file, επιλέξτε Αντικείμενο Προγραμματιστή File (.pof) ως Προγραμματισμός file 3. Ορίστε την επιλογή Λειτουργία σε Εσωτερική Διαμόρφωση.
Σχήμα 48. Προγραμματισμός μετατροπής File Ρυθμίσεις
4. Κάντε κλικ στο Επιλογές/Πληροφορίες εκκίνησης…, και θα εμφανιστεί το παράθυρο Επιλογές συσκευής MAX 10. 5. Με βάση τις ρυθμίσεις Αρχικοποίηση περιεχομένου flash στην Ενσωματωμένη μνήμη flash IP, εκτελέστε
ένα από τα ακόλουθα βήματα: · Εάν είναι επιλεγμένο το Initialize flash content (Μέθοδος 1), τα δεδομένα αρχικοποίησης UFM
συμπεριλήφθηκε στο SOF κατά τη διάρκεια της μεταγλώττισης Quartus Prime. — Επιλέξτε Σελίδα_0 για την επιλογή πηγής UFM:. Κάντε κλικ στο OK και προχωρήστε στο
επόμενο. Σχήμα 49. Ρύθμιση Σελίδας_0 για Πηγή UFM εάν είναι επιλεγμένη η Αρχικοποίηση Περιεχομένου Flash

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 66

Αποστολή σχολίων

4. Λύσεις διαμόρφωσης και εκκίνησης επεξεργαστή Nios V 726952 | 2025.07.16
· Εάν δεν είναι επιλεγμένη η επιλογή Αρχικοποίηση περιεχομένου flash (Μέθοδος 2), επιλέξτε Φόρτωση μνήμης file για την επιλογή πηγής UFM. Περιηγηθείτε στο δημιουργημένο On-chip Flash HEX file (onchip_flash.hex) στο File διαδρομή: και κάντε κλικ στο OK. Αυτό το βήμα προσθέτει δεδομένα UFM ξεχωριστά στο SOF file κατά τη διάρκεια του προγραμματισμού file μετατροπή.
Σχήμα 50. Ρύθμιση Φόρτωσης Μνήμης File για την πηγή UFM εάν δεν είναι επιλεγμένη η επιλογή "Αρχικοποίηση περιεχομένου Flash"

6. Στον προγραμματισμό μετατροπής File παράθυρο διαλόγου, στην Είσοδο files για να μετατρέψετε την ενότητα, κάντε κλικ στην επιλογή Προσθήκη File... και δείξτε το αρχείο .sof Quartus Prime που δημιουργήθηκε file.
Σχήμα 51. Είσοδος Files για μετατροπή στον προγραμματισμό μετατροπών Files για Λειτουργία Μονής Εικόνας

7. Κάντε κλικ στην επιλογή Δημιουργία για να δημιουργήσετε το αρχείο .pof file8. Προγραμματίστε το .pof file στη συσκευή MAX 10. 9. Απενεργοποιήστε και επανεκκινήστε τον εξοπλισμό σας.

4.5.3. Η εφαρμογή επεξεργαστή Nios V αντιγράφηκε από το UFM στη RAM χρησιμοποιώντας το Boot Copier

Η Altera συνιστά αυτήν τη λύση για σχεδιασμούς συστημάτων επεξεργαστών MAX 10 FPGA Nios V όπου απαιτούνται πολλαπλές επαναλήψεις ανάπτυξης λογισμικού εφαρμογών και υψηλή απόδοση συστήματος. Το boot copier βρίσκεται εντός του UFM σε μια μετατόπιση που είναι η ίδια διεύθυνση με το διάνυσμα επαναφοράς. Η εφαρμογή Nios V βρίσκεται δίπλα στο boot copier.

Για αυτήν την επιλογή εκκίνησης, ο επεξεργαστής Nios V ξεκινά την εκτέλεση του αντιγραφέα εκκίνησης κατά την επαναφορά του συστήματος για να αντιγράψει την εφαρμογή από τον τομέα UFM στην OCRAM ή στην εξωτερική μνήμη RAM. Μόλις ολοκληρωθεί η αντιγραφή, ο επεξεργαστής Nios V μεταφέρει τον έλεγχο του προγράμματος στην εφαρμογή.

Σημείωμα:

Το εφαρμοσμένο boot copier είναι το ίδιο με το Bootloader μέσω GSFI.

Αποστολή σχολίων

Εγχειρίδιο Σχεδίασης Ενσωματωμένου Επεξεργαστή Nios® V 67

4. Λύσεις διαμόρφωσης και εκκίνησης επεξεργαστή Nios V 726952 | 2025.07.16

Σχήμα 52. Εφαρμογή Nios V αντιγράφηκε από UFM σε RAM χρησιμοποιώντας το Boot Copier

Μέγιστο 10 συσκευές

.POF
Nios V Hardware .SOF
Λογισμικό Nios V .HEX
Εκκίνηση .SREC

Προγραμματιστής Quartus

Εξωτερική RAM
Λογισμικό Nios V

Ενσωματωμένη λάμψη στο τσιπ

CFM

Νίος Β. Χάρντβα

Έγγραφα / Πόροι

Ενσωματωμένος επεξεργαστής altera Nios V [pdf] Οδηγός χρήστη
Nios V, Nios Vm, Nios Vg, Nios Vc, Ενσωματωμένος Επεξεργαστής Nios V, Nios V, Ενσωματωμένος Επεξεργαστής, Επεξεργαστής

Αναφορές

Αφήστε ένα σχόλιο

Η διεύθυνση email σας δεν θα δημοσιευτεί. Τα υποχρεωτικά πεδία επισημαίνονται *