altera Nios V Kichakata Kilichopachikwa
Vipimo
- Jina la Bidhaa: Nios V Processor
- Software Compatibility: Quartus Prime Software and Platform Designer
- Aina ya Kichakataji: Altera FPGA
- Mfumo wa Kumbukumbu: Kumbukumbu Tete na Isiyo na Tete
- Kiolesura cha Mawasiliano: Wakala wa UART
Nios V Processor Hardware System Design
To design the Nios V Processor hardware system, follow these steps:
- Create Nios V Processor system design using Platform Designer.
- Unganisha mfumo katika mradi wa Quartus Prime.
- Design memory system including volatile and non-volatile memory.
- Tekeleza saa na uweke upya mbinu bora.
- Agiza mawakala chaguo-msingi na UART kwa uendeshaji mzuri.
Nios V Processor Software System Design
Ili kuunda mfumo wa programu kwa Kichakataji cha Nios V:
- Fuata mtiririko wa ukuzaji wa programu kwa Kichakataji cha Nios V.
- Create Board Support Package Project and Application Project.
Nios V Processor Configuration and Booting Solutions
Kwa kusanidi na kuongeza Kichakataji cha Nios V:
- Understand the introduction to configuration and booting solutions.
- Unganisha programu za uendeshaji bila mshono.
About the Nios® V Embedded Processor
1.1. Altera® FPGA na Vichakata Vilivyopachikwa Vimekwishaview
Vifaa vya Altera FPGA vinaweza kutekeleza mantiki ambayo hufanya kazi kama kichakataji kidogo huku kikitoa chaguo nyingi.
Tofauti muhimu kati ya vichakataji vidogo na Altera FPGA ni kwamba kitambaa cha Altera FPGA hakina mantiki kinapowashwa. Kichakataji cha Nios® V ni kichakataji laini cha uvumbuzi (IP) kulingana na vipimo vya RISC-V. Kabla ya kuendesha programu kwenye mfumo msingi wa kichakataji cha Nios V, lazima usanidi kifaa cha Altera FPGA chenye muundo wa maunzi ambao una kichakataji cha Nios V. Unaweza kuweka kichakataji cha Nios V popote kwenye Altera FPGA, kulingana na mahitaji ya muundo.
Ili kuwezesha mfumo wako uliopachikwa wa Altera® FPGA IP-msingi kufanya kazi kama mfumo wa msingi wa kichakataji kidogo, mfumo wako unapaswa kujumuisha yafuatayo: · AJ.TAG interface ili kusaidia usanidi wa Altera FPGA, maunzi na programu
utatuzi · Utaratibu wa kuimarisha Altera FPGA
Ikiwa mfumo wako una uwezo huu, unaweza kuanza kuboresha muundo wako kutoka kwa muundo wa maunzi uliojaribiwa mapema uliopakiwa katika Altera FPGA. Kutumia Altera FPGA pia hukuruhusu kurekebisha muundo wako haraka ili kushughulikia matatizo au kuongeza utendakazi mpya. Unaweza kujaribu miundo hii mipya ya maunzi kwa urahisi kwa kusanidi upya Altera FPGA kwa kutumia J ya mfumo wakoTAG kiolesura.
JTAG interface inasaidia utengenezaji wa maunzi na programu. Unaweza kufanya kazi zifuatazo kwa kutumia JTAG kiolesura: · Sanidi Altera FPGA · Pakua na utatue programu · Wasiliana na Altera FPGA kupitia kiolesura kinachofanana na UART (JTAG UART
terminal) · Maunzi ya utatuzi (pamoja na kichanganuzi cha mantiki kilichopachikwa cha Tap) · Kumbukumbu ya mweko ya programu
Baada ya kusanidi Altera FPGA kwa muundo unaotegemea kichakataji cha Nios V, mtiririko wa uundaji wa programu unafanana na mtiririko wa miundo ya kidhibiti kidogo.
Taarifa Husika · AN 985: Mafunzo ya Kichakata cha Nios V
Mwongozo wa kuanza haraka kuhusu kuunda mfumo rahisi wa kichakataji wa Nios V na kuendesha programu ya Hello World.
© Altera Corporation. Altera, nembo ya Altera, nembo ya `a' na alama zingine za Altera ni chapa za biashara za Altera Corporation. Altera inahifadhi haki ya kufanya mabadiliko kwa bidhaa na huduma zozote wakati wowote bila taarifa. Altera haichukui jukumu au dhima yoyote inayotokana na maombi au matumizi ya taarifa, bidhaa au huduma yoyote iliyofafanuliwa hapa isipokuwa kama ilivyokubaliwa kwa maandishi na Altera. Wateja wa Altera wanashauriwa kupata toleo jipya zaidi la vipimo vya kifaa kabla ya kutegemea taarifa yoyote iliyochapishwa na kabla ya kuagiza bidhaa au huduma. *Majina na chapa zingine zinaweza kudaiwa kuwa mali ya wengine.
1. Kuhusu Kichakata Kilichopachikwa cha Nios® V 726952 | 2025.07.16
· Mwongozo wa Marejeleo ya Kichakataji cha Nios V Hutoa maelezo kuhusu vigezo vya utendaji wa kichakataji cha Nios V, usanifu wa kichakataji, muundo wa programu, na utekelezaji wa msingi.
· Mwongozo wa Mtumiaji wa IP wa Pembeni Zilizopachikwa · Kitabu cha Mwongozo cha Msanidi Programu wa Kichakata cha Nios V
Inafafanua mazingira ya ukuzaji wa programu ya kichakataji cha Nios V, zana zinazopatikana, na mchakato wa kuunda programu ili kuendeshwa kwenye kichakataji cha Nios V. · Ashling* RiscFree* Mazingira Jumuishi ya Ukuzaji (IDE) kwa Mwongozo wa Mtumiaji wa Altera FPGAs Inafafanua mazingira jumuishi ya maendeleo ya RiscFree* (IDE) kwa Altera FPGAs Arm*-msingi HPS na kichakataji cha msingi cha Nios V. · Vidokezo vya Kutolewa vya IP ya Nios V Altera FPGA IP
1.2. Usaidizi wa Programu Mkuu wa Quartus®
Mtiririko wa uundaji wa kichakataji cha Nios V ni tofauti kwa programu ya Quartus® Prime Pro Edition na programu ya Toleo la Kawaida la Quartus. Rejelea AN 980: Usaidizi wa Programu ya Kichakata cha Nios V Quartus Prime kwa maelezo zaidi kuhusu tofauti hizo.
Taarifa Husika AN 980: Usaidizi wa Programu ya Nios V ya Kichakata cha Quartus Prime
1.3. Utoaji Leseni ya Kichakata cha Nios V
Kila lahaja ya kichakataji cha Nios V ina ufunguo wake wa leseni. Mara tu unapopata ufunguo wa leseni, unaweza kutumia ufunguo wa leseni sawa kwa miradi yote ya kichakataji cha Nios V hadi tarehe ya mwisho wa matumizi. Unaweza kupata leseni za IP za Nios V Processor Altera FPGA kwa gharama sifuri.
Orodha ya vitufe vya leseni ya kichakataji cha Nios V inapatikana katika Kituo cha Leseni za Kujihudumia cha Altera FPGA. Bofya kichupo cha Jisajili kwa Tathmini au Leseni Bila Malipo, na uchague chaguo zinazolingana ili kufanya ombi.
Kielelezo 1. Kituo cha Leseni ya Kujihudumia cha Altera FPGA
Kwa funguo za leseni, unaweza:
Tuma Maoni
Kitabu cha 7 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
1. Kuhusu Kichakata Kilichopachikwa cha Nios® V 726952 | 2025.07.16
· Tekeleza kichakataji cha Nios V ndani ya mfumo wako. · Iga tabia ya mfumo wa kichakataji wa Nios V. · Thibitisha utendakazi wa muundo, kama vile ukubwa na kasi. · Tengeneza upangaji wa kifaa files. · Panga kifaa na uthibitishe muundo katika maunzi.
Huhitaji leseni ili kuunda programu katika Ashling* RiscFree* IDE kwa Altera FPGAs.
Taarifa Husika · Kituo cha Utoaji Leseni za Kujihudumia cha Altera FPGA
Kwa maelezo zaidi kuhusu kupata funguo za leseni ya IP ya Nios V Processor Altera FPGA. · Usakinishaji na Utoaji Leseni wa Programu ya Altera FPGA Kwa maelezo zaidi kuhusu kutoa leseni kwa programu ya Altera FPGA na kusanidi leseni isiyobadilika na seva ya leseni ya mtandao.
1.4. Muundo wa Mfumo Uliopachikwa
Kielelezo kifuatacho kinaonyesha mtiririko wa muundo wa mfumo wa kichakataji cha Nios V kilichorahisishwa, ikijumuisha utengenezaji wa maunzi na programu.
Kitabu cha 8 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
Tuma Maoni
1. Kuhusu Kichakata Kilichopachikwa cha Nios® V 726952 | 2025.07.16
Kielelezo cha 2.
Mtiririko wa Muundo wa Mfumo wa Kichakata cha Nios V
Dhana ya Mfumo
Kuchambua Mahitaji ya Mfumo
Nios® V
Cores za processor na Vipengele vya kawaida
Fafanua na Unda Mfumo ndani
Mbunifu wa Jukwaa
Mtiririko wa Vifaa: Unganisha na Unda Mradi Mkuu wa Intel Quartus
Mtiririko wa Programu: Tengeneza na Unda Programu ya Pendekezo la Nios V
Mtiririko wa Vifaa: Pakua Muundo wa FPGA
kwa Bodi ya Malengo
Mtiririko wa Programu: Jaribu na Utatue Programu ya Kichakata cha Nios V
Programu Hakuna Hukutana Maalum?
Ndiyo
Jedwali la maunzi No Meets Maalum? Ndiyo
Mfumo Umekamilika
Tuma Maoni
Kitabu cha 9 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
726952 | 2025.07.16 Tuma Maoni
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa
Kielelezo cha 3.
Mchoro ufuatao unaonyesha muundo wa kawaida wa vifaa vya kichakataji cha Nios V. Mtiririko wa Usanifu wa Maunzi ya Mfumo wa Kichakata cha Nios V
Anza
Nios V Cores na Vipengee vya Kawaida
Tumia Mbuni wa Jukwaa Kubuni Mfumo wa Nios V
Tengeneza Muundo wa Mbunifu wa Jukwaa
Unganisha Mfumo wa Mbuni wa Jukwaa na Mradi Mkuu wa Intel Quartus
Weka Maeneo ya Pini, Mahitaji ya Muda, na Vikwazo vingine vya Usanifu
Kusanya maunzi kwa Kifaa Lengwa katika Intel Quartus Prime
Tayari Kupakuliwa
2.1. Kuunda Muundo wa Mfumo wa Kichakata cha Nios V na Mbuni wa Jukwaa
Programu ya Quartus Prime inajumuisha zana ya kuunganisha mfumo ya Mbuni wa Mfumo ambayo hurahisisha kazi ya kufafanua na kuunganisha msingi wa IP wa kichakataji cha Nios V na IP zingine kwenye muundo wa mfumo wa Altera FPGA. Mbuni wa Mfumo huunda kiotomatiki mantiki ya muunganisho kutoka kwa muunganisho uliobainishwa wa kiwango cha juu. Uendeshaji wa muunganisho wa otomatiki huondoa kazi inayochukua muda ya kubainisha miunganisho ya HDL ya kiwango cha mfumo.
© Altera Corporation. Altera, nembo ya Altera, nembo ya `a' na alama zingine za Altera ni chapa za biashara za Altera Corporation. Altera inahifadhi haki ya kufanya mabadiliko kwa bidhaa na huduma zozote wakati wowote bila taarifa. Altera haichukui jukumu au dhima yoyote inayotokana na maombi au matumizi ya taarifa, bidhaa au huduma yoyote iliyofafanuliwa hapa isipokuwa kama ilivyokubaliwa kwa maandishi na Altera. Wateja wa Altera wanashauriwa kupata toleo jipya zaidi la vipimo vya kifaa kabla ya kutegemea taarifa yoyote iliyochapishwa na kabla ya kuagiza bidhaa au huduma. *Majina na chapa zingine zinaweza kudaiwa kuwa mali ya wengine.
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa
726952 | 2025.07.16
Baada ya kuchanganua mahitaji ya maunzi ya mfumo, unatumia Quartus Prime kubainisha msingi wa kichakataji cha Nios V, kumbukumbu, na vipengele vingine ambavyo mfumo wako unahitaji. Mbuni wa Mfumo hutengeneza kiotomatiki mantiki ya muunganisho ili kuunganisha vijenzi katika mfumo wa maunzi.
2.1.1. Inaanzisha Kichakataji cha Nios V Altera FPGA IP
You can instantiate any of the processor IP cores in Platform Designer IP Catalog Processors and Peripherals Embedded Processors.
Msingi wa IP wa kila processor inasaidia chaguzi tofauti za usanidi kulingana na usanifu wake wa kipekee. Unaweza kufafanua usanidi huu ili kukidhi mahitaji yako ya muundo.
Jedwali 1.
Chaguzi za Usanidi Katika Vibadala vya Msingi
Chaguzi za Usanidi
Kichakataji cha Nios V/c
Kichakataji cha Nios V/m
Tatua Tumia Ombi la Kuweka Upya
—
Mitego, Vighairi, na Vikatizo
Usanifu wa CPU
ECC
Akiba, Mikoa ya Pembeni na TCM
—
—
Maagizo Maalum
—
—
Lockstep
—
—
Kichakataji cha Nios V/g
2.1.1.1. Kuanzisha Kidhibiti Kidogo cha Nios V/c Kidhibiti Kidogo cha Altera FPGA IP Kielelezo 4. Nios V/c Kidhibiti Kidogo Kidogo Altera FPGA IP
Tuma Maoni
Kitabu cha 11 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa
726952 | 2025.07.16
2.1.1.1.1. Kichupo cha Usanifu wa CPU
Jedwali 2.
Kichupo cha Usanifu wa CPU
Kipengele
Maelezo
Washa Kiolesura cha Avalon® Huwasha Kiolesura cha Avalon kwa kidhibiti cha maagizo na kidhibiti data. Ikiwa imezimwa, mfumo hutumia kiolesura cha AXI4-Lite.
thamani ya mhartid CSR
· Chaguo batili la IP. · Usitumie thamani ya CSR ya mhartid katika kichakataji cha Nios V/c.
2.1.1.1.2. Tumia Kichupo cha Kuweka Upya
Jedwali 3.
Tumia Kigezo cha Kichupo cha Ombi upya
Tumia Kichupo cha Kuweka Upya
Maelezo
Ongeza Kiolesura cha Ombi la Kuweka Upya
· Washa chaguo hili ili kufichua milango ya uwekaji upya ya ndani ambapo bwana wa ndani anaweza kuitumia kuanzisha kichakataji cha Nios V kuweka upya bila kuathiri vipengee vingine katika mfumo wa kichakataji wa Nios V.
· Kiolesura cha kuweka upya kinajumuisha mawimbi ya kuweka upya data na mawimbi ya pato.
· Unaweza kuomba kurejeshwa kwa msingi wa kichakataji cha Nios V kwa kuthibitisha mawimbi ya kuweka upya upya.
· Mawimbi ya kuweka upya upya lazima ibaki kuthibitishwa hadi kichakataji kitoe ishara ya ack. Kushindwa kwa mawimbi kubaki kuthibitishwa kunaweza kusababisha kichakataji kuwa katika hali isiyo ya kibainishi.
· Kichakataji cha Nios V kinajibu kuwa uwekaji upya umefaulu kwa kusisitiza ishara ya ack.
· Baada ya kichakataji kusanidi upya kwa mafanikio, uthibitishaji wa mawimbi ya ack unaweza kutokea mara kadhaa hadi kufutwa kwa mawimbi ya kuweka upya upya.
2.1.1.1.3. Kichupo cha Mitego, Vighairi, na Vikwazo
Jedwali 4.
Mitego, Vighairi, na Kukatiza Vigezo vya Kichupo
Mitego, Vighairi, na Vikatizo
Maelezo
Weka Upya Wakala
· Kumbukumbu inayopangisha vekta ya kuweka upya (anwani ya kuweka upya kichakataji cha Nios V) ambapo msimbo wa kuweka upya unakaa.
· Unaweza kuchagua sehemu yoyote ya kumbukumbu iliyounganishwa kwenye kidhibiti cha maelekezo cha kichakataji cha Nios V na kinachoauniwa na mtiririko wa kuwasha kichakataji cha Nios V kama wakala wa kuweka upya.
Weka upya Offset
· Hubainisha urekebishaji wa vekta ya kuweka upya inayohusiana na anwani ya msingi ya wakala aliyechaguliwa. · Mbuni wa Mfumo kiotomatiki hutoa thamani chaguo-msingi ya uwekaji upya.
Kumbuka:
Mbuni wa Jukwaa hutoa chaguo Kabisa, ambalo hukuruhusu kubainisha anwani kamili katika Kuweka Upya. Tumia chaguo hili wakati kumbukumbu inayohifadhi vekta ya kuweka upya iko nje ya mfumo wa kichakataji na mifumo ndogo.
Kitabu cha 12 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
Tuma Maoni
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa
726952 | 2025.07.16
2.1.1.1.4. Kichupo cha ECC
Jedwali 5.
Kichupo cha ECC
ECC
Washa Utambuzi wa Hitilafu na Kuripoti Hali
Maelezo
· Washa chaguo hili ili kutumia kipengele cha ECC kwa vizuizi vya ndani vya RAM vya kichakataji cha Nios V. · Vipengele vya ECC hutambua hadi hitilafu za biti-2 na kuitikia kulingana na tabia ifuatayo:
- Ikiwa ni hitilafu inayoweza kusahihishwa 1-bit, kichakataji kinaendelea kufanya kazi baada ya kusahihisha hitilafu katika bomba la kichakataji. Walakini, marekebisho hayaonyeshwa kwenye kumbukumbu za chanzo.
— Ikiwa hitilafu haiwezi kusahihishwa, kichakataji kitaendelea kufanya kazi bila kukirekebisha katika bomba la kichakataji na kumbukumbu za chanzo, jambo ambalo linaweza kusababisha kichakataji kuingia katika hali isiyoamua.
2.1.1.2. Kuanzisha Kidhibiti Kidogo cha Nios V/m Altera FPGA IP Kielelezo 5. Kidhibiti Kidogo cha Nios V/m Altera FPGA IP
Tuma Maoni
Kitabu cha 13 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa
726952 | 2025.07.16
2.1.1.2.1. Kichupo cha Utatuzi
Jedwali 6.
Vigezo vya Kichupo cha Debug
Kichupo cha Utatuzi
Maelezo
Washa Utatuzi
Washa Weka Upya kutoka kwa Moduli ya Utatuzi
· Wezesha chaguo hili ili kuongeza faili ya JTAG moduli ya uunganisho lengwa kwa kichakataji cha Nios V. · JTAG moduli ya uunganisho wa lengo inaruhusu kuunganisha kwa kichakataji cha Nios V kupitia
JTAG pini za interface za FPGA. · Muunganisho hutoa uwezo wa kimsingi ufuatao:
— Anza na usimamishe kichakataji cha Nios V — Chunguza na uhariri rejista na kumbukumbu. — Pakua programu ya Nios V .elf file kwa kumbukumbu ya processor wakati wa kukimbia kupitia
niosv-kupakua. — Tatua programu inayoendeshwa kwenye kichakataji cha Nios V · Unganisha mlango wa dm_agent kwa maagizo ya kichakataji na basi ya data. Hakikisha anwani ya msingi kati ya mabasi yote mawili ni sawa.
· Washa chaguo hili kufichua dbg_reset_out na ndm_reset_in milango. · JTAG debugger au niosv-download -r amri husababisha dbg_reset_out, ambayo
huruhusu kichakataji cha Nios V kuweka upya vifaa vya mfumo vinavyounganishwa kwenye mlango huu. · Lazima uunganishe kiolesura cha dbg_reset_out kwa ndm_reset_in badala ya kuweka upya.
kiolesura cha kuanzisha upya kwa msingi wa kichakataji na moduli ya kipima saa. Hupaswi kuunganisha kiolesura cha dbg_reset_out ili kuweka upya kiolesura ili kuzuia tabia isiyojulikana.
2.1.1.2.2. Tumia Kichupo cha Kuweka Upya
Jedwali 7.
Tumia Kigezo cha Kichupo cha Ombi upya
Tumia Kichupo cha Kuweka Upya
Maelezo
Ongeza Kiolesura cha Ombi la Kuweka Upya
· Washa chaguo hili ili kufichua milango ya uwekaji upya ya ndani ambapo bwana wa ndani anaweza kuitumia kuanzisha kichakataji cha Nios V kuweka upya bila kuathiri vipengee vingine katika mfumo wa kichakataji wa Nios V.
· Kiolesura cha kuweka upya kinajumuisha mawimbi ya kuweka upya data na mawimbi ya pato.
· Unaweza kuomba kurejeshwa kwa msingi wa kichakataji cha Nios V kwa kuthibitisha mawimbi ya kuweka upya upya.
· Mawimbi ya kuweka upya upya lazima ibaki kuthibitishwa hadi kichakataji kitoe ishara ya ack. Kushindwa kwa mawimbi kubaki kuthibitishwa kunaweza kusababisha kichakataji kuwa katika hali isiyo ya kibainishi.
· Madai ya mawimbi ya kuweka upya upya katika modi ya utatuzi hayana athari kwa hali ya kichakataji.
· Kichakataji cha Nios V kinajibu kuwa uwekaji upya umefaulu kwa kusisitiza ishara ya ack.
· Baada ya kichakataji kusanidi upya kwa mafanikio, uthibitishaji wa mawimbi ya ack unaweza kutokea mara kadhaa hadi kufutwa kwa mawimbi ya kuweka upya upya.
2.1.1.2.3. Kichupo cha Mitego, Vighairi, na Vikwazo
Jedwali 8.
Kichupo cha Mitego, Vighairi, na Vikwazo
Kichupo cha Mitego, Vighairi, na Vikwazo
Maelezo
Weka Upya Wakala
· Kumbukumbu inayopangisha vekta ya kuweka upya (anwani ya kuweka upya kichakataji cha Nios V) ambapo msimbo wa kuweka upya unakaa.
· Unaweza kuchagua sehemu yoyote ya kumbukumbu iliyounganishwa kwenye kidhibiti cha maelekezo cha kichakataji cha Nios V na kinachoauniwa na mtiririko wa kuwasha kichakataji cha Nios V kama wakala wa kuweka upya.
Weka upya Hali ya Kukatiza ya Kuweka upya
· Hubainisha urekebishaji wa vekta ya kuweka upya inayohusiana na anwani ya msingi ya wakala aliyechaguliwa. · Mbuni wa Mfumo kiotomatiki hutoa thamani chaguo-msingi ya uwekaji upya.
Bainisha aina ya kidhibiti cha kukatiza iwe ya Moja kwa moja au ya Vekta. Kumbuka: Kichakataji cha Nios V/m kisicho na bomba hakitumii ukatizaji wa Vekta.
Kwa hivyo, epuka kutumia hali ya kukatiza ya Vekta wakati kichakataji kiko katika hali isiyo na bomba.
Kitabu cha 14 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
Tuma Maoni
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa
726952 | 2025.07.16
Kumbuka:
Mbuni wa Jukwaa hutoa chaguo Kabisa, ambalo hukuruhusu kubainisha anwani kamili katika Kuweka Upya. Tumia chaguo hili wakati kumbukumbu inayohifadhi vekta ya kuweka upya iko nje ya mfumo wa kichakataji na mifumo ndogo.
2.1.1.2.4. Usanifu wa CPU
Jedwali 9.
Vigezo vya Kichupo cha Usanifu wa CPU
Usanifu wa CPU
Maelezo
Washa Uwekaji mabomba katika CPU
· Washa chaguo hili ili kuanzisha kichakataji bomba cha Nios V/m. - IPC ni ya juu kwa gharama ya eneo la juu la mantiki na frequency ya chini ya Fmax.
· Zima chaguo hili ili kuanzisha kichakataji cha Nios V/m kisicho na bomba. - Ina utendakazi wa msingi sawa na kichakataji cha Nios V/c. - Inaauni utatuzi na uwezo wa kukatiza - Eneo la chini la mantiki na masafa ya juu ya Fmax kwa gharama ya IPC ya chini.
Washa Kiolesura cha Avalon
Huwasha Kiolesura cha Avalon kwa kidhibiti cha maagizo na kidhibiti data. Ikiwa imezimwa, mfumo hutumia kiolesura cha AXI4-Lite.
thamani ya mhartid CSR
· Thamani ya rejista ya kitambulisho cha Hart (mhartid) ni 0 kwa chaguomsingi. · Weka thamani kati ya 0 na 4094. · Inatumika na Altera FPGA Avalon Mutex Core HAL API.
Taarifa Husika Iliyopachikwa Mwongozo wa Mtumiaji wa Pembeni ya IP - Intel FPGA Avalon® Mutex Core
2.1.1.2.5. Kichupo cha ECC
Jedwali 10. Kichupo cha ECC
ECC Washa Ugunduzi wa Hitilafu na Kuripoti Hali
Maelezo
· Washa chaguo hili ili kutumia kipengele cha ECC kwa vizuizi vya ndani vya RAM vya kichakataji cha Nios V. · Vipengele vya ECC hutambua hadi hitilafu za biti-2 na kuitikia kulingana na tabia ifuatayo:
- Ikiwa ni hitilafu inayoweza kusahihishwa 1-bit, kichakataji kinaendelea kufanya kazi baada ya kusahihisha hitilafu katika bomba la kichakataji. Walakini, marekebisho hayaonyeshwa kwenye kumbukumbu za chanzo.
— Ikiwa hitilafu haiwezi kusahihishwa, kichakataji kitaendelea kufanya kazi bila kukirekebisha katika bomba la kichakataji na kumbukumbu za chanzo, jambo ambalo linaweza kusababisha kichakataji kuingia katika hali isiyoamua.
Tuma Maoni
Kitabu cha 15 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa
726952 | 2025.07.16
2.1.1.3. Kuanzisha Kichakataji Madhumuni ya Jumla ya Nios V/g Altera FPGA IP
Kielelezo 6. Nios V/g Kichakataji Madhumuni ya Jumla Altera FPGA IP - Sehemu ya 1
Kielelezo cha 7.
Kichakataji cha Madhumuni ya Jumla cha Nios V/g Altera FPGA IP - Sehemu ya 2 (Zima Washa Kidhibiti cha Ukatizaji wa Kiwango cha Msingi)
Kitabu cha 16 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
Tuma Maoni
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa
726952 | 2025.07.16
Kielelezo cha 8.
Kichakataji cha Madhumuni ya Jumla cha Nios V/g Altera FPGA IP - Sehemu ya 2 (Washa Washa Kidhibiti cha Kukatiza kwa Kiwango cha Msingi)
Kielelezo 9. Nios V/g Kichakataji Madhumuni ya Jumla Altera FPGA IP - Sehemu ya 3
Tuma Maoni
Kitabu cha 17 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa
726952 | 2025.07.16
Kielelezo 10. Nios V/g Kichakataji Madhumuni ya Jumla Altera FPGA IP - Sehemu ya 4
2.1.1.3.1. Usanifu wa CPU
Jedwali 11. Vigezo vya Usanifu wa CPU
Kichupo cha Usanifu wa CPU Washa Kitengo cha Pointi ya Kuelea
Ufafanuzi Wezesha chaguo hili ili kuongeza kitengo cha sehemu inayoelea (kiendelezi cha "F") katika msingi wa kichakataji.
Washa Utabiri wa Tawi
Washa utabiri wa tawi tuli (Nyuma Imechukuliwa na Kusonga mbele Haijachukuliwa) kwa maagizo ya tawi.
thamani ya mhartid CSR
· Thamani ya rejista ya kitambulisho cha Hart (mhartid) ni 0 kwa chaguomsingi. · Weka thamani kati ya 0 na 4094. · Inatumika na Altera FPGA Avalon Mutex Core HAL API.
Zima maagizo ya FSQRT & FDIV ya FPU
· Ondoa mzizi wa mraba wa sehemu inayoelea (FSQRT) na uendeshaji wa sehemu ya kuelea (FDIV) katika FPU.
· Tumia uigaji wa programu kwenye maagizo yote mawili wakati wa utekelezaji.
Taarifa Husika Iliyopachikwa Mwongozo wa Mtumiaji wa Pembeni ya IP - Intel FPGA Avalon® Mutex Core
Kitabu cha 18 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
Tuma Maoni
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa
726952 | 2025.07.16
2.1.1.3.2. Kichupo cha Utatuzi
Jedwali 12. Vigezo vya Kichupo cha Debug
Kichupo cha Utatuzi
Maelezo
Washa Utatuzi
Washa Weka Upya kutoka kwa Moduli ya Utatuzi
· Wezesha chaguo hili ili kuongeza faili ya JTAG moduli ya uunganisho lengwa kwa kichakataji cha Nios V. · JTAG moduli ya uunganisho wa lengo inaruhusu kuunganisha kwa kichakataji cha Nios V kupitia
JTAG pini za interface za FPGA. · Muunganisho hutoa uwezo wa kimsingi ufuatao:
— Anza na usimamishe kichakataji cha Nios V — Chunguza na uhariri rejista na kumbukumbu. — Pakua programu ya Nios V .elf file kwa kumbukumbu ya processor wakati wa kukimbia kupitia
niosv-kupakua. — Tatua programu inayoendeshwa kwenye kichakataji cha Nios V · Unganisha mlango wa dm_agent kwa maagizo ya kichakataji na basi ya data. Hakikisha anwani ya msingi kati ya mabasi yote mawili ni sawa.
· Washa chaguo hili kufichua dbg_reset_out na ndm_reset_in milango. · JTAG debugger au niosv-download -r amri husababisha dbg_reset_out, ambayo
huruhusu kichakataji cha Nios V kuweka upya vifaa vya mfumo vinavyounganishwa kwenye mlango huu. · Lazima uunganishe kiolesura cha dbg_reset_out kwa ndm_reset_in badala ya kuweka upya.
kiolesura cha kuanzisha upya kwa msingi wa kichakataji na moduli ya kipima saa. Hupaswi kuunganisha kiolesura cha dbg_reset_out ili kuweka upya kiolesura ili kuzuia tabia isiyojulikana.
2.1.1.3.3. Jedwali la Kichupo cha Lockstep 13. Kichupo cha Lockstep
Vigezo Washa Kipindi Chaguomsingi cha Kuisha kwa Lockstep Washa Kiolesura Kilichoongezwa cha Kuweka Upya
Maelezo · Washa mfumo wa msingi wa Lockstep. · Thamani chaguo-msingi ya muda unaoweza kuratibiwa wakati wa kutoka kwa kuweka upya (kati ya 0 na 255). · Washa Kiolesura cha hiari cha Kuweka Upya kwa Kiolesura Kilichoongezwa cha Uwekaji Upya. · Inapozimwa, fRSmartComp hutekeleza Udhibiti wa Msingi wa Kuweka Upya.
2.1.1.3.4. Tumia Kichupo cha Kuweka Upya
Jedwali 14. Tumia Parameter ya Tab ya Ombi upya
Tumia Kichupo cha Kuweka Upya
Maelezo
Ongeza Kiolesura cha Ombi la Kuweka Upya
· Washa chaguo hili ili kufichua milango ya uwekaji upya ya ndani ambapo bwana wa ndani anaweza kuitumia kuanzisha kichakataji cha Nios V kuweka upya bila kuathiri vipengee vingine katika mfumo wa kichakataji wa Nios V.
· Kiolesura cha kuweka upya kinajumuisha mawimbi ya kuweka upya data na mawimbi ya pato.
· Unaweza kuomba kurejeshwa kwa msingi wa kichakataji cha Nios V kwa kuthibitisha mawimbi ya kuweka upya upya.
· Mawimbi ya kuweka upya upya lazima ibaki kuthibitishwa hadi kichakataji kitoe ishara ya ack. Kushindwa kwa mawimbi kubaki kuthibitishwa kunaweza kusababisha kichakataji kuwa katika hali isiyo ya kibainishi.
· Madai ya mawimbi ya kuweka upya upya katika modi ya utatuzi hayana athari kwa hali ya kichakataji.
· Kichakataji cha Nios V kinajibu kuwa uwekaji upya umefaulu kwa kusisitiza ishara ya ack.
· Baada ya kichakataji kusanidi upya kwa mafanikio, uthibitishaji wa mawimbi ya ack unaweza kutokea mara kadhaa hadi kufutwa kwa mawimbi ya kuweka upya upya.
Tuma Maoni
Kitabu cha 19 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa
726952 | 2025.07.16
2.1.1.3.5. Kichupo cha Mitego, Vighairi, na Vikwazo
Jedwali 15.
Kichupo cha Mitego, Vighairi na Vikwazo wakati Kidhibiti cha Ukatizaji wa Kiwango cha Msingi Kimezimwa
Kichupo cha Mitego, Vighairi, na Vikwazo
Weka Upya Wakala
Maelezo
· Kumbukumbu inayopangisha vekta ya kuweka upya (anwani ya kuweka upya kichakataji cha Nios V) ambapo msimbo wa kuweka upya unakaa.
· Unaweza kuchagua sehemu yoyote ya kumbukumbu iliyounganishwa kwenye kidhibiti cha maelekezo cha kichakataji cha Nios V na kinachoauniwa na mtiririko wa kuwasha kichakataji cha Nios V kama wakala wa kuweka upya.
Weka upya Offset
· Hubainisha urekebishaji wa vekta ya kuweka upya inayohusiana na anwani ya msingi ya wakala aliyechaguliwa. · Mbuni wa Mfumo kiotomatiki hutoa thamani chaguo-msingi ya uwekaji upya.
Washa Kidhibiti cha Kukatiza kwa Kiwango cha Msingi (CLIC)
· Washa CLIC ili kuauni ukatizaji wa mapema na hali ya vichochezi vya kukatiza inayoweza kusanidiwa.
· Ukiwashwa, unaweza kusanidi idadi ya kukatizwa kwa jukwaa, kuweka masharti ya vichochezi, na kuteua baadhi ya ukatizaji kama uzuiaji wa mapema.
Rejesha Kivuli cha Modi ya Kukatiza Files
Bainisha aina za ukatizaji kama rejista ya vivuli ya Moja kwa moja, au Vekta Ili kupunguza ubadilishaji wa muktadha unapokatizwa.
Jedwali 16.
Mitego, Vighairi na Vikatizo Wakati Washa Kidhibiti cha Ukatizaji wa Kiwango cha Msingi Kimewashwa
Mitego, Vighairi, na Vikatizo
Maelezo
Weka Upya Wakala
Weka upya Offset
Washa Kidhibiti cha Kukatiza kwa Kiwango cha Msingi (CLIC)
· Kumbukumbu inayopangisha vekta ya kuweka upya (anwani ya kuweka upya kichakataji cha Nios V) ambapo msimbo wa kuweka upya unakaa.
· Unaweza kuchagua sehemu yoyote ya kumbukumbu iliyounganishwa kwenye kidhibiti cha maelekezo cha kichakataji cha Nios V na kinachoauniwa na mtiririko wa kuwasha kichakataji cha Nios V kama wakala wa kuweka upya.
· Hubainisha urekebishaji wa vekta ya kuweka upya inayohusiana na anwani ya msingi ya wakala aliyechaguliwa. · Mbuni wa Mfumo kiotomatiki hutoa thamani chaguo-msingi ya uwekaji upya.
· Washa CLIC ili kuauni ukatizaji wa mapema na hali ya vichochezi vya kukatiza inayoweza kusanidiwa. · Ukiwashwa, unaweza kusanidi idadi ya kukatizwa kwa jukwaa, kuweka masharti ya vichochezi,
na uteue baadhi ya vikatizo kuwa vya kuepusha mapema.
Hali ya Kukatiza
· Bainisha aina za kukatiza kama Direct, Vectored, au CLIC.
Daftari la kivuli Files
· Washa rejista ya vivuli ili kupunguza ubadilishaji wa muktadha unapokatizwa.
· Hutoa mbinu mbili:
- Idadi ya viwango vya kukatiza kwa CLIC
- Idadi ya viwango vya kukatiza kwa CLIC - 1: Chaguo hili ni muhimu unapotaka idadi ya rejista file nakala ili zitoshee katika idadi kamili ya vitalu vya M20K au M9K.
· Washa kichakataji cha Nios V kutumia rejista ya kivuli fileambayo hupunguza ubadilishaji wa muktadha unapokatizwa.
Kwa habari zaidi kuhusu rejista ya kivuli files, rejelea Mwongozo wa Marejeleo wa Kichakataji cha Nios V.
Idadi ya vyanzo vya kukatiza kwa Mfumo
· Hubainisha idadi ya kukatizwa kwa mfumo kati ya 16 hadi 2048.
Kumbuka: CLIC inaauni hadi pembejeo za kukatiza 2064, na pembejeo 16 za kwanza za kukatiza pia zimeunganishwa kwenye kidhibiti cha msingi cha kukatiza.
Mpangilio wa Jedwali la Vekta ya CLIC
· Imebainishwa kiotomatiki kulingana na idadi ya vyanzo vya kukatiza mfumo. · Ikiwa unatumia upatanisho ulio chini ya thamani inayopendekezwa, CLIC huongeza mantiki
utata kwa kuongeza kiongezeo cha ziada ili kufanya hesabu za vekta. · Ikiwa unatumia upatanishi ulio chini ya thamani iliyopendekezwa, hii itasababisha kuongezeka
utata wa mantiki katika CLIC.
iliendelea…
Kitabu cha 20 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
Tuma Maoni
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa
726952 | 2025.07.16
Mitego, Vighairi, na Vikatizo
Idadi ya Viwango vya Kukatiza
Idadi ya Vipaumbele vya Kukatiza kwa kila ngazi
Ukatizo unaoweza kusanidiwa Ukingo wa usaidizi umeanzishwa
Maelezo
· Hubainisha idadi ya viwango vya kukatiza na kiwango cha ziada cha 0 kwa msimbo wa programu. Vikwazo vya kiwango cha juu vinaweza kukatiza (kuondoa mapema) kidhibiti kinachoendesha kwa ukatizaji wa kiwango cha chini.
· Kwa viwango visivyo na sufuri vya kukatiza kama chaguo pekee za kukatizwa, msimbo wa programu daima uko katika kiwango cha chini kabisa 0. Kumbuka: Usanidi wa wakati wa utekelezaji wa kiwango cha kukatiza na kipaumbele hufanywa katika rejista moja ya 8-bit. Ikiwa idadi ya viwango vya kukatiza ni 256, haiwezekani kusanidi kipaumbele cha kukatiza wakati wa utekelezaji. Vinginevyo, idadi ya juu ya vipaumbele vinavyoweza kusanidiwa ni 256 / (idadi ya viwango vya usumbufu - 1).
· Hubainisha idadi ya vipaumbele vya kukatiza, ambavyo CLIC hutumia kubainisha mpangilio ambao vidhibiti visivyo na uondoaji wa kukatiza huitwa. Kumbuka: Muunganisho wa thamani jozi za kiwango kilichochaguliwa cha kukatiza na kipaumbele kilichochaguliwa cha kukatiza lazima iwe chini ya biti 8.
· Hukuruhusu kusanidi polarity ya kukatiza wakati wa utekelezaji. · Polarity chaguo-msingi ni polarity chanya.
· Hukuruhusu kusanidi hali ya kukatiza kichochezi wakati wa utekelezaji, yaani, kiwango cha juu cha kuanzishwa au chanya-makali yaliyoanzishwa (wakati polarity ya kukatiza ni chanya katika polarity ya kukatiza Inayoweza kusanidiwa).
· Hali ya kichochezi chaguo-msingi ni kukatizwa kwa kiwango kilichoanzishwa.
Kumbuka:
Mbuni wa Jukwaa hutoa chaguo Kabisa, ambalo hukuruhusu kubainisha anwani kamili katika Kuweka Upya. Tumia chaguo hili wakati kumbukumbu inayohifadhi vekta ya kuweka upya iko nje ya mfumo wa kichakataji na mifumo ndogo.
Taarifa Husika Mwongozo wa Marejeleo ya Kichakata cha Nios® V
2.1.1.3.6. Kichupo cha Mipangilio ya Kumbukumbu
Jedwali 17. Vigezo vya Tabo ya Usanidi wa Kumbukumbu
Kategoria
Kichupo cha Usanidi wa Kumbukumbu
Maelezo
Akiba
Saizi ya Cache ya data
· Hubainisha ukubwa wa akiba ya data. Ukubwa halali ni kutoka kilobaiti 0 (KB) hadi 16 KB. · Zima akiba ya data wakati ukubwa ni 0 KB.
Ukubwa wa Cache ya Maagizo
· Hubainisha ukubwa wa akiba ya maagizo. Ukubwa halali ni kutoka KB 0 hadi 16 KB. · Zima akiba ya maagizo wakati ukubwa ni 0 KB.
Mikoa ya pembeni A na B
Ukubwa
· Hubainisha ukubwa wa eneo la pembezoni.
· Ukubwa halali ni kutoka 64 KB hadi 2 gigabytes (GB), au Hakuna. Kuchagua Hakuna huzima eneo la pembeni.
Anwani ya Msingi
· Hubainisha anwani ya msingi ya eneo la pembeni baada ya kuchagua ukubwa.
· Anwani zote katika eneo la pembezoni hutoa ufikiaji wa data usioweza kufikiwa.
· Anwani ya msingi ya eneo la pembezoni lazima iambatane na ukubwa wa eneo la pembezoni.
Kumbukumbu Zilizounganishwa Kabisa
Ukubwa
· Hubainisha ukubwa wa kumbukumbu iliyounganishwa kwa uthabiti. - Saizi halali ni kutoka MB 0 hadi 512 MB.
Uanzishaji wa Anwani ya Msingi File
· Hubainisha anwani ya msingi ya kumbukumbu iliyounganishwa kwa uthabiti. · Inabainisha uanzishaji file kwa kumbukumbu iliyounganishwa vizuri.
Tuma Maoni
Kitabu cha 21 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa
726952 | 2025.07.16
Kumbuka:
Katika mfumo wa kichakataji wa Nios V na akiba imewezeshwa, lazima uweke vifaa vya pembeni vya mfumo ndani ya eneo la pembeni. Unaweza kutumia maeneo ya pembezoni kufafanua muamala usioweza kuakibishwa kwa vifaa vya pembeni kama vile UART, PIO, DMA na vingine.
2.1.1.3.7. Kichupo cha ECC
Jedwali 18. Kichupo cha ECC
ECC Washa Ugunduzi wa Hitilafu na Kuripoti Hali
Washa Usahihishaji Biti Moja
Maelezo
· Washa chaguo hili ili kutumia kipengele cha ECC kwa vizuizi vya ndani vya RAM vya kichakataji cha Nios V. · Vipengele vya ECC hutambua hadi hitilafu za biti-2 na kuitikia kulingana na tabia ifuatayo:
— Ikiwa ni hitilafu ya biti moja inayoweza kusahihishwa na Washa Usahihishaji wa Biti Moja imezimwa, kichakataji kinaendelea kufanya kazi baada ya kusahihisha hitilafu katika bomba la kichakataji. Walakini, marekebisho hayaonyeshwa kwenye kumbukumbu za chanzo.
— Ikiwa ni hitilafu ya biti moja inayoweza kusahihishwa na Washa Usahihishaji Biti Moja imewashwa, kichakataji kinaendelea kufanya kazi baada ya kusahihisha hitilafu katika mchakato wa kichakataji na kumbukumbu za chanzo.
- Ikiwa ni hitilafu isiyoweza kurekebishwa, processor inasimamisha uendeshaji wake.
Washa urekebishaji wa biti moja kwenye vizuizi vya kumbukumbu vilivyopachikwa kwenye msingi.
2.1.1.3.8. Kichupo cha Maagizo Maalum
Kumbuka:
Kichupo hiki kinapatikana tu kwa msingi wa kichakataji cha Nios V/g.
Jedwali la Kiolesura cha Maelekezo Maalum ya Nios V
Jedwali la Macro la Programu ya Maagizo Maalum ya Nios V
Maelezo
· Kichakataji cha Nios V hutumia jedwali hili kufafanua violesura vyake vya kidhibiti maalum cha maagizo.
· Violesura vilivyofafanuliwa vya kidhibiti cha maagizo husimbwa kwa njia ya kipekee na Opcode (CUSTOM0-3) na biti 3 za funct7[6:4].
· Unaweza kufafanua hadi jumla ya violesura 32 vya kidhibiti maalum cha maagizo.
· Kichakataji cha Nios V hutumia jedwali hili kufafanua usimbaji wa programu ya maagizo maalum kwa violesura vilivyobainishwa vya kidhibiti maagizo.
· Kwa kila usimbaji wa programu ya maagizo maalum, Opcode (CUSTOM0-3) na biti 3 za funct7[6:4] usimbaji lazima uhusiane na kiolesura kilichobainishwa cha kidhibiti maagizo katika Jedwali la Kiolesura cha Maunzi Maalum.
Unaweza kutumia funct7[6:4], funct7[3:0], na funct3[2:0] kufafanua usimbaji wa ziada kwa maagizo maalum, au kubainishwa kama Xs kupitishwa kama hoja za maagizo ya ziada.
· Kichakataji cha Nios V hutoa usimbaji uliobainishwa wa programu ya maelekezo maalum kama C-macros inayozalishwa katika system.h, na kufuata umbizo la maelekezo la R-aina ya RISC-V.
· Mnemonics inaweza kutumika kufafanua majina maalum kwa ajili ya: — C-Macros iliyotolewa katika system.h.
— Nambari za utatuzi za GDB zilizotolewa katika custom_instruction_debug.xml.
Habari Zinazohusiana
AN 977: Maagizo Maalum ya Kichakataji cha Nios V Kwa maelezo zaidi kuhusu maagizo maalum yanayokuruhusu kubinafsisha kichakataji cha Nios® V ili kukidhi mahitaji ya programu mahususi.
Kitabu cha 22 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
Tuma Maoni
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa 726952 | 2025.07.16
2.1.2. Kufafanua Muundo wa Sehemu ya Mfumo
Tumia Kiunda Jukwaa kufafanua sifa za maunzi za mfumo wa kichakataji wa Nios V na uongeze vipengele unavyotaka. Mchoro ufuatao unaonyesha muundo wa msingi wa kichakataji wa Nios V wenye vipengele vifuatavyo: · Msingi wa kichakataji cha Nios V · Kumbukumbu ya On-Chip · JTAG UART · Kipima Muda (si lazima)(1)
Kumbukumbu mpya ya On-Chip inapoongezwa kwenye mfumo wa Mbuni wa Mfumo, tekeleza Taarifa za Mfumo wa Usawazishaji ili kuonyesha vipengele vya kumbukumbu vilivyoongezwa katika uwekaji upya. Vinginevyo, unaweza kuwezesha Usawazishaji Kiotomatiki katika Mbuni wa Mfumo ili kuonyesha kiotomatiki mabadiliko ya hivi punde ya vipengele
Kielelezo 11. Kutample muunganisho wa kichakataji cha Nios V na vifaa vingine vya pembeni katika Mbuni wa Jukwaa
(1) Una chaguo la kutumia vipengele vya Kipima Muda cha Nios V ili kuchukua nafasi ya Kipima Muda cha nje katika Mbuni wa Jukwaa.
Tuma Maoni
Kitabu cha 23 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa
726952 | 2025.07.16
Ni lazima pia ubainishe pini za utendakazi ili kusafirisha nje kama mfereji katika mfumo wako wa Mbuni wa Mfumo. Kwa mfanoampna, orodha sahihi ya pini za uendeshaji wa mfumo wa FPGA imefafanuliwa kama ilivyo hapo chini lakini sio tu kwa:
· Saa
· Weka upya
· Ishara za I/O
2.1.3. Kubainisha Anwani za Msingi na Kukatiza Vipaumbele vya Ombi
Ili kubainisha jinsi vipengee vilivyoongezwa katika muundo vinavyoingiliana ili kuunda mfumo, unahitaji kugawa anwani za msingi kwa kila sehemu ya wakala na kukabidhi vipaumbele vya ombi la kukatiza (IRQ) kwa J.TAG UART na kipima muda cha muda. Mbuni wa Mifumo hutoa amri - Weka Anwani za Msingi - ambayo hutoa kiotomatiki anwani sahihi za msingi kwa vipengele vyote katika mfumo. Hata hivyo, unaweza kurekebisha anwani za msingi kulingana na mahitaji yako.
Ifuatayo ni baadhi ya miongozo ya kugawa anwani za msingi:
· Msingi wa kichakataji cha Nios V una nafasi ya anwani ya biti 32. Ili kufikia vipengee vya wakala, anwani zao msingi lazima ziwe kati ya 0x00000000 na 0xFFFFFFFF.
· Programu za Nios V hutumia viunga vya ishara kurejelea anwani. Sio lazima kuchagua maadili ya anwani ambayo ni rahisi kukumbuka.
· Maadili ya anwani ambayo hutofautisha vipengele na tofauti ya anwani ya biti moja tu huzalisha maunzi yenye ufanisi zaidi. Sio lazima kuambatanisha anwani zote za msingi katika safu ndogo ya anwani iwezekanayo kwa sababu kubandika kunaweza kuunda maunzi yenye ufanisi kidogo.
· Mbuni wa Mifumo hajaribu kupanga vijenzi tofauti vya kumbukumbu katika masafa ya kumbukumbu. Kwa mfanoampna, ikiwa unataka vipengee vingi vya Kumbukumbu ya On-Chip kushughulikiwa kama safu moja ya kumbukumbu, lazima uweke wazi anwani za msingi.
Mbuni wa Mfumo pia hutoa amri ya otomatiki - Weka Nambari za Kukatiza ambazo huunganisha mawimbi ya IRQ ili kutoa matokeo halali ya maunzi. Hata hivyo, kukabidhi IRQ kwa ufanisi kunahitaji ufahamu wa tabia ya jumla ya majibu ya mfumo. Mbuni wa Mifumo hawezi kukisia kwa elimu kuhusu kazi bora zaidi ya IRQ.
Thamani ya chini kabisa ya IRQ ina kipaumbele cha juu zaidi. Katika mfumo bora, Altera inapendekeza kwamba kijenzi cha kipima saa kiwe na IRQ ya kipaumbele zaidi, yaani, thamani ya chini zaidi, ili kudumisha usahihi wa tiki ya saa ya mfumo.
Katika baadhi ya matukio, unaweza kuweka kipaumbele cha juu kwa vifaa vya pembeni vya muda halisi (kama vile vidhibiti vya video), ambavyo vinadai kasi ya juu ya ukatizaji kuliko vijenzi vya kipima muda.
Habari Zinazohusiana
Mwongozo wa Mtumiaji wa Toleo la Quartus Prime Pro: Maelezo zaidi kuhusu kuunda Mfumo na Mbuni wa Jukwaa.
Kitabu cha 24 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
Tuma Maoni
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa 726952 | 2025.07.16
2.2. Kuunganisha Mfumo wa Mbuni wa Jukwaa katika Mradi Mkuu wa Quartus
Baada ya kuzalisha muundo wa mfumo wa Nios V katika Mbuni wa Mfumo, fanya kazi zifuatazo ili kuunganisha moduli ya mfumo wa Nios V katika mradi wa kubuni wa Quartus Prime FPGA. · Anzisha moduli ya mfumo wa Nios V katika mradi wa Quartus Prime · Unganisha mawimbi kutoka kwa moduli ya mfumo wa Nios V hadi mawimbi mengine katika mantiki ya FPGA · Weka eneo la pini halisi · Dhibiti muundo wa FPGA
2.2.1. Kuanzisha Moduli ya Mfumo wa Kichakata cha Nios V katika Mradi wa Quartus Prime
Mbuni wa Mfumo huunda huluki ya muundo wa moduli ya mfumo ambayo unaweza kusisitiza katika Quartus Prime. Jinsi unavyoweka moduli ya mfumo inategemea mbinu ya kuingiza muundo wa mradi wa jumla wa Quartus Prime. Kwa mfanoampna, ikiwa ulikuwa unatumia Verilog HDL kwa ingizo la muundo, anzisha moduli ya mfumo wa Verilog. Ikiwa ungependa kutumia mbinu ya mchoro wa zuio kwa ingizo la muundo, weka ishara ya moduli ya mfumo .bdf file.
2.2.2. Kuunganisha Ishara na Kuweka Maeneo ya Pini ya Kimwili
Ili kuunganisha muundo wako wa Altera FPGA kwa muundo wako wa kiwango cha ubao, fanya kazi zifuatazo: · Tambua kiwango cha juu. file kwa muundo wako na mawimbi ya kuunganisha kwenye Altera ya nje
Pini za kifaa cha FPGA. · Elewa ni pini zipi za kuunganisha kupitia mwongozo wa mtumiaji wa muundo wa kiwango cha ubao au
mipango. · Weka mawimbi katika muundo wa hali ya juu kwa bandari kwenye kifaa chako cha Altera FPGA chenye pini
zana za kazi.
Mfumo wako wa Mbuni wa Mfumo unaweza kuwa muundo wa kiwango cha juu. Walakini, Altera FPGA pia inaweza kujumuisha mantiki ya ziada kulingana na mahitaji yako na hivyo kutambulisha kiwango cha juu maalum. file. Kiwango cha juu file huunganisha mawimbi ya moduli ya mfumo wa kichakataji cha Nios V kwa mantiki nyingine ya muundo wa Altera FPGA.
Maelezo Husika Mwongozo wa Mtumiaji wa Toleo la Quartus Prime Pro: Vikwazo vya Usanifu
2.2.3. Inabana Muundo wa Altera FPGA
Muundo unaofaa wa mfumo wa Altera FPGA unajumuisha vizuizi vya muundo ili kuhakikisha muundo unakidhi kufungwa kwa muda na mahitaji mengine ya vikwazo vya mantiki. Ni lazima uzuie muundo wako wa Altera FPGA ili kukidhi mahitaji haya kwa uwazi kwa kutumia zana zinazotolewa katika programu ya Quartus Prime au watoa huduma wengine wa EDA. Programu ya Quartus Prime hutumia vizuizi vilivyotolewa wakati wa awamu ya ujumuishaji kupata matokeo bora ya uwekaji.
Tuma Maoni
Kitabu cha 25 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa
726952 | 2025.07.16
Taarifa Husika · Mwongozo wa Mtumiaji wa Toleo la Quartus Prime Pro: Vikwazo vya Usanifu · Washirika wa EDA wa Wahusika wengine · Mwongozo wa Mtumiaji wa Toleo la Quartus Prime Pro: Kichanganuzi cha Muda
2.3. Kubuni Mfumo wa Kumbukumbu wa Kichakataji cha Nios V
Sehemu hii inafafanua mbinu bora za kuchagua vifaa vya kumbukumbu katika mfumo uliopachikwa wa Mbunifu wa Mifumo na kichakataji cha Nios V na kufikia utendakazi bora. Vifaa vya kumbukumbu vina jukumu muhimu katika kuboresha utendaji wa jumla wa mfumo uliopachikwa. Kumbukumbu ya mfumo iliyopachikwa huhifadhi maagizo na data ya programu.
2.3.1. Kumbukumbu Tete
Tofauti kuu katika aina ya kumbukumbu ni tete. Kumbukumbu tete hushikilia tu yaliyomo wakati unasambaza nishati kwenye kifaa cha kumbukumbu. Mara tu unapoondoa nguvu, kumbukumbu hupoteza yaliyomo.
Exampkumbukumbu tete ni RAM, kache na rejista. Hizi ni aina za kumbukumbu za haraka ambazo huongeza utendaji wa uendeshaji. Altera inapendekeza upakie na utekeleze maagizo ya kichakataji cha Nios V katika RAM na uoanishe msingi wa Nios V IP na Kumbukumbu ya On-Chip au IP ya Kiolesura cha Kumbukumbu ya Nje kwa utendakazi bora.
Ili kuboresha utendakazi, unaweza kuondoa vipengee vya ziada vya urekebishaji vya Kiunda Mfumo kwa kulinganisha aina ya kiolesura cha kidhibiti data cha Nios V au upana na RAM ya kuwasha. Kwa mfanoampna, unaweza kusanidi Kumbukumbu ya On-Chip II na kiolesura cha 32-bits AXI-4, ambacho kinalingana na kiolesura cha kidhibiti data cha Nios V.
Taarifa Husika · Violesura vya Kumbukumbu ya Nje Kituo cha Usaidizi cha IP · Kumbukumbu ya On-Chip (RAM au ROM) Altera FPGA IP · Kumbukumbu ya On-Chip II (RAM au ROM) Altera FPGA IP · Nios V Ombi la Kichakata Tekeleza-Mahali kutoka OCRAM kwenye ukurasa wa 54
2.3.1.1. RAM au ROM ya Usanidi wa Kumbukumbu ya On-Chip
Unaweza kusanidi IPs za Kumbukumbu On-Chip za Altera FPGA kama RAM au ROM. · RAM hutoa uwezo wa kusoma na kuandika na ina hali tete. Kama wewe ni
kuanzisha kichakataji cha Nios V kutoka kwa RAM ya On-Chip, lazima uhakikishe kuwa maudhui ya kuwasha yanahifadhiwa na hayaharibiki katika tukio la kuweka upya wakati wa kukimbia. · Ikiwa kichakataji cha Nios V kinaanza kutoka ROM, hitilafu yoyote ya programu kwenye kichakataji cha Nios V haiwezi kubatilisha kimakosa maudhui ya Kumbukumbu ya On-Chip. Hivyo, kupunguza hatari ya uharibifu wa programu ya boot.
Taarifa Husika · Kumbukumbu ya On-Chip (RAM au ROM) Altera FPGA IP · On-Chip Memory II (RAM au ROM) Altera FPGA IP · Nios V Ombi la Kichakata Tekeleza-Mahali kutoka OCRAM kwenye ukurasa wa 54
Kitabu cha 26 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
Tuma Maoni
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa 726952 | 2025.07.16
2.3.1.2. Akiba
Kumbukumbu za kwenye chip hutumiwa kwa kawaida kutekeleza utendakazi wa kache kwa sababu ya muda wao wa kusubiri wa chini. Kichakataji cha Nios V hutumia kumbukumbu ya kwenye-chip kwa maagizo yake na kache za data. Uwezo mdogo wa kumbukumbu kwenye chip kawaida sio suala la akiba kwa sababu kwa kawaida ni ndogo.
Cache hutumiwa mara nyingi chini ya hali zifuatazo:
· Kumbukumbu ya kawaida iko nje ya chip na ina muda mrefu wa kufikia kuliko kumbukumbu ya on-chip.
· Sehemu muhimu za utendakazi za msimbo wa programu zinaweza kutoshea kwenye akiba ya maagizo, kuboresha utendakazi wa mfumo.
· Sehemu muhimu ya utendakazi, inayotumiwa mara nyingi zaidi ya data inaweza kutoshea kwenye akiba ya data, kuboresha utendakazi wa mfumo.
Kuwasha akiba katika kichakataji cha Nios V huunda safu ya kumbukumbu, ambayo inapunguza muda wa ufikiaji wa kumbukumbu.
2.3.1.2.1. Mkoa wa pembeni
IP yoyote ya pembeni iliyopachikwa, kama vile UART, I2C, na SPI lazima ihifadhiwe. Akiba inapendekezwa sana kwa kumbukumbu za nje zinazoathiriwa na muda mrefu wa ufikiaji, ilhali kumbukumbu za ndani kwenye chip zinaweza kutengwa kwa sababu ya muda wao mfupi wa ufikiaji. Hupaswi kuweka akiba IPs zozote za pembeni zilizopachikwa, kama vile UART, I2C, na SPI, isipokuwa kumbukumbu. Hii ni muhimu kwa sababu matukio kutoka kwa vifaa vya nje, kama vile vifaa vya mawakala vinavyosasisha IPs laini, hazinaswi na akiba ya kichakataji, kwa upande wake hazipokelewi na kichakataji. Kwa hivyo, matukio haya yanaweza kwenda bila kutambuliwa hadi uondoe kashe, ambayo inaweza kusababisha tabia isiyotarajiwa katika mfumo wako. Kwa muhtasari, eneo lililopangwa kwa kumbukumbu la IP za pembeni zilizopachikwa haliwezi kulipishwa na lazima likae ndani ya maeneo ya pembezoni ya kichakataji.
Ili kuweka eneo la pembeni, fuata hatua hizi:
1. Fungua Ramani ya Anwani ya mfumo katika Mbuni wa Jukwaa.
2. Nenda kwenye ramani ya anwani ya Meneja wa Maagizo ya processor na Meneja wa Data.
3. Tambua viambajengo na kumbukumbu katika mfumo wako.
Kielelezo 12. Kutample ya Ramani ya Anwani
Kumbuka: Mishale ya bluu inaelekeza kwenye kumbukumbu. 4. Panga vifaa vya pembeni:
a. Kumbukumbu kama kache b. Vifaa vya pembeni kama visivyoweza kufikiwa
Tuma Maoni
Kitabu cha 27 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa
726952 | 2025.07.16
Jedwali 19. Eneo linaloweza kuahirishwa na lisilofikiwa
Chini
Ramani ya Anwani
Hali
Mkoa wa Pembeni
Ukubwa
Anwani ya Msingi
user_application_mem.s1
0x0 ~ 0x3ffff
Cacheable
N/A
N/A
cpu.dm_agent bootcopier_rom.s1
0x40000 ~ 0x4ffff 0x50000 ~ 0x517ff
Inayoakibishwa
65536 baiti N/A
0x40000 N/A
bootcopier_ram.s1 cpu.timer_sw_agent mailbox.avmm
0x52000 ~ 0x537ff 0x54000 ~ 0x5403f 0x54040 ~ 0x5407f
Inayo Cacheable Haiwezekani Kudhibitiwa
Biti 144 (ukubwa wa chini ni baiti 65536)
0x54000
sysid_qsys_0.control_slave
0x54080 ~ 0x54087
Haiwezekani
uart.avalon_jtag_mtumwa
0x54088 ~ 0x5408f
Haiwezekani
5. Pangilia maeneo ya pembezoni na saizi zake mahususi:
Kwa wa zamaniample, ikiwa ukubwa ni 65536 bytes, inalingana na 0x10000 bytes. Kwa hivyo, anwani ya msingi inayoruhusiwa lazima iwe nyingi ya 0x10000.
· CPU.dm_agent hutumia anwani ya msingi ya 0x40000, ambayo ni kizidishio cha 0x10000. Matokeo yake, Mkoa wa Pembeni A, wenye ukubwa wa byte 65536 na anwani ya msingi ya 0x40000, inakidhi mahitaji.
· Anwani ya msingi ya mkusanyiko wa maeneo ambayo hayawezi kufikiwa katika 0x54000 sio kizidishio cha 0x10000. Lazima uwakabidhi upya kwa 0x60000 au kizidishio kingine cha 0x10000. Kwa hivyo, Mkoa wa Pembeni B, ambao una ukubwa wa byte 65536 na anwani ya msingi ya 0x60000, inakidhi vigezo.
Jedwali 20. Kanda Inayoweza Kuhifadhiwa na Isiyoweza Kudhibitiwa na Ugawaji upya
Chini
Ramani ya Anwani
Hali
Mkoa wa Pembeni
Ukubwa
Anwani ya Msingi
user_application_mem.s1
0x0 ~ 0x3ffff
Cacheable
N/A
N/A
CPU.dm_wakala
0x40000 ~ 0x4ffff
Baiti 65536 zisizoweza kufikiwa
0x40000
bootcopier_rom.s1
0x50000 ~ 0x517ff
Cacheable
N/A
N/A
bootcopier_ram.s1 cpu.timer_sw_agent mailbox.avmm sysid_qsys_0.control_slave
0x52000 ~ 0x537ff 0x60000 ~ 0x6003f 0x60040 ~ 0x6007f 0x60080 ~ 0x60087
Inayo Cacheable Haiwezekani Kuweza Kudhibitiwa
Biti 144 (ukubwa wa chini ni baiti 65536)
0x60000
uart.avalon_jtag_mtumwa
0x60088 ~ 0x6008f
Haiwezekani
2.3.1.3. Kumbukumbu Iliyounganishwa Kabisa
Kumbukumbu zilizounganishwa sana (TCMs) hutekelezwa kwa kutumia kumbukumbu ya on-chip kwani ucheleweshaji wao wa chini huwafanya kufaa kwa kazi hiyo. TCM ni kumbukumbu zilizopangwa katika nafasi ya kawaida ya anwani lakini zina kiolesura maalum kwa microprocessor na zina utendakazi wa juu, sifa za utulivu wa chini za kumbukumbu ya kache. TCM pia hutoa kiolesura cha chini kwa mwenyeji wa nje. Kichakataji na seva pangishi ya nje zina kiwango sawa cha ruhusa kushughulikia TCM.
Kitabu cha 28 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
Tuma Maoni
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa
726952 | 2025.07.16
Kumbuka:
Lango la chini la TCM linapounganishwa kwa seva pangishi ya nje, inaweza kuonyeshwa kwa anwani ya msingi tofauti na anwani ya msingi iliyotolewa katika msingi wa kichakataji. Altera inapendekeza kuoanisha anwani zote mbili kwa thamani sawa.
2.3.1.4. Kiolesura cha Kumbukumbu ya Nje (EMIF)
EMIF (Kiolesura cha Kumbukumbu ya Nje) hufanya kazi sawa na SRAM (Kumbukumbu Isiyobadilika ya Ufikiaji), lakini inabadilika na inahitaji uonyeshaji upya wa mara kwa mara ili kudumisha maudhui yake. Seli za kumbukumbu zinazobadilika katika EMIF ni ndogo zaidi kuliko seli za kumbukumbu tuli katika SRAM, ambayo husababisha uwezo wa juu na vifaa vya kumbukumbu vya bei ya chini.
Kando na hitaji la kuonyesha upya, EMIF ina mahitaji maalum ya kiolesura ambayo mara nyingi yanahitaji maunzi maalum ya kidhibiti. Tofauti na SRAM, ambayo ina seti isiyobadilika ya mistari ya anwani, EMIF hupanga nafasi yake ya kumbukumbu katika benki, safu mlalo na safu wima. Kubadilisha kati ya benki na safu mlalo huanzisha sehemu ya juu, kwa hivyo ni lazima uagize kwa uangalifu ufikiaji wa kumbukumbu ili utumie EMIF kwa ufanisi. EMIF pia huzidisha anwani za safu mlalo na safu wima juu ya mistari sawa ya anwani, na hivyo kupunguza idadi ya pini zinazohitajika kwa saizi fulani ya EMIF.
Matoleo ya kasi ya juu ya EMIF, kama vile DDR, DDR2, DDR3, DDR4, na DDR5, yanaweka mahitaji madhubuti ya uadilifu wa mawimbi ambayo wabunifu wa PCB wanapaswa kuzingatia.
Vifaa vya EMIF vinaorodheshwa kati ya aina za RAM za gharama nafuu na za uwezo wa juu zinazopatikana, na kuzifanya kuwa chaguo maarufu. Kipengele muhimu cha kiolesura cha EMIF ni EMIF IP, ambayo hudhibiti kazi zinazohusiana na kushughulikia kuzidisha, kuonyesha upya, na kubadili kati ya safu mlalo na benki. Muundo huu unaruhusu mfumo uliosalia kufikia EMIF bila kuhitaji kuelewa usanifu wake wa ndani.
Taarifa Zinazohusiana Kituo cha Usaidizi cha Kumbukumbu ya Nje
2.3.1.4.1. Anwani Span Extender IP
Anwani ya Span Extender Altera FPGA IP huruhusu violesura vya seva pangishi vilivyo na kumbukumbu kufikia ramani kubwa au ndogo ya anwani kuliko upana wa mawimbi ya anwani zao. Anwani ya Span Extender IP inagawanya nafasi inayoweza kushughulikiwa katika madirisha mengi tofauti ili seva pangishi iweze kufikia sehemu inayofaa ya kumbukumbu kupitia dirisha.
Kiendelezi cha Span cha Anwani hakiwekei kikomo cha kupana seva pangishi na wakala kwa usanidi wa 32-bit na 64bit. Unaweza kutumia Kipanuzi cha Anwani cha Span na madirisha ya anwani ya biti 1-64.
Tuma Maoni
Kitabu cha 29 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa
726952 | 2025.07.16
Kielelezo 13. Anwani Span Extender Altera FPGA IP
Anwani ya Neno la Wakala
Anwani Span Extender
A
Jedwali la Ramani
Mlango wa kudhibiti A
…
Daftari la Kudhibiti 0 Daftari la Kudhibiti Z-1
Anwani Iliyopanuliwa ya Mpangishi H
Habari Zinazohusiana
Mwongozo wa Mtumiaji wa Toleo la Quartus® Prime Pro: Mbuni wa Jukwaa Rejelea mada Anwani Span Extender Intel® FPGA IP kwa maelezo zaidi.
2.3.1.4.2. Kutumia Anwani ya Span Extender IP na Kichakataji cha Nios V
Kichakataji cha 32-bit Nios V kinaweza kushughulikia hadi GB 4 ya muda wa anwani. Ikiwa EMIF ina zaidi ya 4GB ya kumbukumbu, inazidi upeo wa juu wa muda wa anwani unaotumika, na hivyo kufanya mfumo wa Muundaji wa Mifumo kuwa na makosa. Anwani ya Span Extender IP inahitajika ili kutatua suala hili kwa kugawa nafasi moja ya anwani ya EMIF katika madirisha mengi madogo.
Altera inapendekeza kwamba uzingatie vigezo vifuatavyo.
Jedwali 21. Anwani Span Extender Parameters
Kigezo
Mipangilio Inayopendekezwa
Upana wa Njia ya Data
Upana wa Anwani ya Master Byte Iliyoongezwa
Chagua biti 32, ambazo zinahusiana na kichakataji 32-bit. Inategemea saizi ya kumbukumbu ya EMIF.
Upana wa Anwani ya Neno la Mtumwa Upana wa Kupasuka
Chagua GB 2 au chini. Muda wa anwani uliosalia wa kichakataji cha Nios V umehifadhiwa kwa IP zingine laini zilizopachikwa.
Anza na 1 na uongeze thamani hii hatua kwa hatua ili kuboresha utendakazi.
Idadi ya madirisha madogo
Chagua dirisha dogo 1 ikiwa unaunganisha EMIF kwa kichakataji cha Nios V kama maagizo na kumbukumbu ya data, au zote mbili. Kubadilisha kati ya madirisha madogo mengi huku kichakataji cha Nios V kikitumia EMIF ni hatari.
Washa Mlango wa Kudhibiti Watumwa
Zima mlango wa kudhibiti watumwa ikiwa unaunganisha EMIF kwa kichakataji cha Nios V kama maagizo na/au kumbukumbu ya data. Wasiwasi sawa na Idadi ya madirisha madogo.
Upeo wa Juu Unaosubiri Kusomwa
Anza na 1 na uongeze thamani hii hatua kwa hatua ili kuboresha utendakazi.
Kitabu cha 30 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
Tuma Maoni
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa 726952 | 2025.07.16
Kielelezo 14. Maagizo ya Kuunganisha na Meneja wa Data kwa Anwani ya Span Extender
Kielelezo 15. Ramani ya Anwani
Tambua kuwa Kipanuzi cha Anwani kinaweza kufikia nafasi nzima ya kumbukumbu ya 8GB ya EMIF. Hata hivyo, kupitia Kiendelezi cha Anwani ya Span, kichakataji cha Nios V kinaweza kufikia tu nafasi ya kwanza ya kumbukumbu ya 1GB ya EMIF.
Kielelezo 16. Mchoro wa Block Rahisi
Mfumo wa Mbuni wa Jukwaa
Imesalia 3 GB
Anwani ya processor ya Nios V
span ni ya kupachikwa
NNioios sVV Prorocesosor r
M
IPs laini katika mfumo huo huo.
Dirisha la GB 1
Muda wa anwani
S
Extender
M
GB 1 pekee
ya kumbukumbu ya EMIF imeunganishwa na Nios V
EMIF
mchakataji.
GB 8
S
Tuma Maoni
Kitabu cha 31 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa
726952 | 2025.07.16
2.3.1.4.3. Kufafanua Anwani Kifaa cha Kumbukumbu cha Kiunganishi cha Span 1. Bainisha Anwani ya Span Extender (EMIF) kama vekta iliyowekwa upya. Vinginevyo, unaweza kugawa vekta ya kuweka upya kichakataji cha Nios V kwa kumbukumbu zingine, kama vile OCRAM au vifaa vya flash.
Mchoro 17. Chaguzi Nyingi kama Weka Upya Vekta
Hata hivyo, Kihariri cha Kifurushi cha Usaidizi wa Bodi (BSP) hakiwezi kusajili kiotomatiki Kiendelezi cha Anwani (EMIF) kama kumbukumbu halali. Kulingana na chaguo ulilofanya, unaona hali mbili tofauti kama inavyoonyeshwa kwenye takwimu zifuatazo. Mchoro 18. Hitilafu ya BSP Wakati wa Kufafanua Anwani ya Span Extender (EMIF) kama Weka Upya Vekta.
Kitabu cha 32 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
Tuma Maoni
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa 726952 | 2025.07.16
Mchoro 19. EMIF inakosekana wakati wa Kufafanua Kumbukumbu Zingine kama Weka Upya Vekta
2. Ni lazima uongeze mwenyewe Kikuzaji cha Span cha Anwani (EMIF) kwa kutumia Ongeza Kifaa cha Kumbukumbu, Ongeza Eneo la Kumbukumbu la Kiungo, na Uongeze Mipangilio ya Sehemu ya Kiungo kwenye kichupo cha Hati ya Kiungo cha BSP.
3. Fuata hatua hizi:
a. Amua muda wa anwani ya Span Extender ya Anwani kwa kutumia Ramani ya Kumbukumbu (Mfano waample katika takwimu ifuatayo hutumia masafa ya Span Extender kutoka 0x0 hadi 0x3fff_ffff).
Kielelezo 20. Ramani ya Kumbukumbu
b. Bofya Ongeza Kifaa cha Kumbukumbu, na ujaze kulingana na maelezo katika Ramani ya Kumbukumbu ya muundo wako: i. Jina la Kifaa: emif_ddr4. Kumbuka: Hakikisha unakili jina sawa kutoka kwa Ramani ya Kumbukumbu. ii. Anwani ya Msingi: 0x0 iii. Ukubwa: 0x40000000
c. Bofya Ongeza ili kuongeza eneo jipya la kumbukumbu la kiunganishi:
Tuma Maoni
Kitabu cha 33 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa
726952 | 2025.07.16
Jedwali 22. Kuongeza Kanda ya Kumbukumbu ya Kiungo
Hatua
Weka upya Vector
emif_ddr4
Kumbukumbu zingine
1
Ongeza Kanda mpya ya Kumbukumbu ya Kiungo inayoitwa kuweka upya. Ongeza Kanda mpya ya Kumbukumbu ya Kiungo kwa ajili ya
· Jina la Mkoa: weka upya
emif_ddr4.
· Ukubwa wa Mkoa: 0x20
· Jina la Mkoa: emif_ddr4
· Kifaa cha Kumbukumbu: emif_ddr4
· Ukubwa wa Mkoa: 0x40000000
Uwekaji wa Kumbukumbu: 0x0
· Kifaa cha Kumbukumbu: emif_ddr4
Uwekaji wa Kumbukumbu: 0x0
2
Ongeza Kanda mpya ya Kumbukumbu ya Kiungo kwa ajili ya
emif_ddr4 iliyobaki.
· Jina la Mkoa: emif_ddr4
· Ukubwa wa Mkoa: 0x3fffffe0
· Kifaa cha Kumbukumbu: emif_ddr4
Uwekaji wa Kumbukumbu: 0x20
Mchoro 21. Eneo la Kiungo Wakati wa Kufafanua Anwani ya Span Extender (EMIF) kama Weka Upya Vekta.
Mchoro 22. Eneo la Kiungo Wakati wa Kufafanua Kumbukumbu Zingine kama Weka Upya Vekta
d. Mara emif_ddr4 inapoongezwa kwa BSP, unaweza kuichagua kwa Sehemu yoyote ya Kiungo.
Kielelezo 23. Anwani Iliyoongezwa Span Extender (EMIF) Imefaulu
e. Puuza onyo kuhusu kifaa cha Kumbukumbu emif_ddr4 hakionekani katika muundo wa SOPC.
f. Endelea Kuzalisha BSP.
Taarifa Zinazohusiana Utangulizi wa Mbinu za Kuwasha Kichakata cha Nios V kwenye ukurasa wa 51
Kitabu cha 34 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
Tuma Maoni
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa 726952 | 2025.07.16
2.3.2. Kumbukumbu Isiyo na Tete
Kumbukumbu isiyo na tete huhifadhi maudhui yake wakati nguvu imezimwa, na kuifanya chaguo nzuri kwa kuhifadhi maelezo ambayo mfumo lazima upate baada ya mzunguko wa nguvu wa mfumo. Kumbukumbu isiyo tete kwa kawaida huhifadhi msimbo wa kuwasha kichakataji, mipangilio ya programu inayoendelea, na data ya usanidi ya Altera FPGA. Ingawa kumbukumbu isiyo na tete ina advantage ya kuhifadhi data yake unapoondoa nguvu, ni polepole zaidi kulinganisha na kumbukumbu tete, na mara nyingi huwa na taratibu ngumu zaidi za uandishi na kufuta. Kumbukumbu isiyo na tete pia kawaida huhakikishiwa tu kufuta idadi fulani ya nyakati, baada ya hapo inaweza kushindwa.
Exampkumbukumbu zisizo tete zinajumuisha aina zote za flash, EPROM na EEPROM. Altera inapendekeza uhifadhi mitiririko kidogo ya Altera FPGA na picha za programu ya Nios V katika kumbukumbu isiyo tete, na utumie mmweko wa mfululizo kama kifaa cha kuwasha kwa vichakataji vya Nios V.
Habari Zinazohusiana
· Mwongozo wa Mtumiaji wa IP wa Serial Serial Flash Altera FPGA
· Mwongozo wa Mtumiaji wa Kisanduku cha Barua cha Altera FPGA IP · MAX® 10 Mwongozo wa Mtumiaji wa Kumbukumbu ya Flash ya Mtumiaji: On-Chip Flash Altera FPGA IP Core
2.4. Saa na Rudisha Mbinu Bora
Kuelewa jinsi saa ya kichakataji ya Nios V na kikoa cha kuweka upya kinavyoingiliana na kila pembeni inakounganishwa ni muhimu. Mfumo rahisi wa kichakataji wa Nios V huanza na kikoa cha saa moja, na inaweza kuwa ngumu na mfumo wa kikoa cha saa nyingi wakati kikoa cha saa ya kasi kinapogongana na kikoa cha saa polepole. Unahitaji kuzingatia na kuelewa jinsi vikoa hivi tofauti hufuatana na kuwekwa upya na uhakikishe kuwa hakuna matatizo yoyote mahiri.
Kwa mazoezi bora, Altera inapendekeza kuweka kichakataji cha Nios V na kumbukumbu ya kuwasha kwenye kikoa cha saa sawa. Usiachilie kichakataji cha Nios V kutoka kwa kuweka upya katika kikoa cha saa yenye kasi inapowashwa kutoka kwenye kumbukumbu iliyo katika kikoa cha saa polepole sana, ambayo inaweza kusababisha hitilafu ya kuleta maagizo. Unaweza kuhitaji mpangilio fulani wa mikono zaidi ya kile ambacho Mbuni wa Mifumo hutoa kwa chaguomsingi, na kupanga kuweka upya topolojia ya uchapishaji ipasavyo kulingana na hali yako ya utumiaji. Iwapo ungependa kuweka upya mfumo wako baada ya kuja na kufanya kazi kwa muda, tumia mambo sawa katika mpangilio wa uwekaji upya wa mfumo na hitaji la kuanzisha upya baada ya kuweka upya.
2.4.1. Mfumo JTAG Saa
Kubainisha vizuizi vya saa katika kila mfumo wa kichakataji cha Nios V ni jambo muhimu linalozingatiwa katika muundo wa mfumo na inahitajika kwa usahihi na tabia ya kubainisha. Kichanganuzi cha Muda wa Quartus Prime hufanya uchanganuzi wa muda ili kuthibitisha utendakazi wa muda wa mantiki yote katika muundo wako kwa kutumia vikwazo, uchanganuzi na mbinu ya kuripoti ya kiwango cha sekta.
Example 1. Saa ya Msingi ya MHz 100 yenye Mzunguko wa Wajibu wa 50/50 na 16 MHz JTAG Saa
#************************************************************ # Tengeneza Saa ya 100MHz #************************************************************************************** tengeneza_saa -jina {clk} -kipindi cha 10 [get_ports {clk}] #************************ Unda 16MHz JTAG Saa #************************
Tuma Maoni
Kitabu cha 35 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa
726952 | 2025.07.16
create_clock -name {altera_reserved_tck} -period 62.500 [get_ports {altera_reserved_tck}] set_clock_groups -asynchronous -group [get_clocks {altera_reserved_tck}] Taarifa Husika Kitabu cha Kuchambua Muda cha Quartus Prime Time
2.4.2. Weka upya Kiolesura cha Ombi
Kichakataji cha Nios V kinajumuisha kituo cha ombi la kuweka upya kwa hiari. Kituo cha ombi la kuweka upya kinajumuisha reset_req na reset_req_ack mawimbi.
Ili kuwezesha ombi la kuweka upya katika Mbuni wa Mfumo: 1. Zindua Kihariri Kigezo cha Kigezo cha IP cha Kichakataji cha Nios V. 2. Kwenye mpangilio wa Ombi la Kuweka Upya, washa Kiolesura cha Ongeza Ombi la Kuweka Upya
chaguo.
Kielelezo 24. Wezesha Ombi la Kuweka upya Kichakata cha Nios V
Ishara ya reset_req hufanya kama kukatiza. Unapodai reset_req, unaomba kuweka upya kwenye msingi. Msingi husubiri muamala wowote uliosalia wa basi ili kukamilisha utendakazi wake. Kwa mfanoampna, ikiwa kuna shughuli ya ufikiaji wa kumbukumbu inayosubiri, msingi unangojea jibu kamili. Vile vile, msingi hukubali majibu yoyote ya maagizo yanayosubiri lakini haitoi ombi la maagizo baada ya kupokea reset_req ishara.
Operesheni ya kuweka upya ina mtiririko ufuatao: 1. Kamilisha shughuli zote zinazosubiri 2. Suuza bomba la ndani 3. Weka Kidhibiti cha Programu kwenye vekta ya kuweka upya 4. Weka upya msingi Operesheni nzima ya kuweka upya inachukua mizunguko ya saa chache. Reset_req lazima ibaki kuthibitishwa hadi reset_req_ack ithibitishwe kuonyesha utendakazi wa msingi wa uwekaji upya umekamilika. Kukosa kufanya hivyo husababisha hali ya msingi kuwa isiyo ya kuamua.
Kitabu cha 36 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
Tuma Maoni
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa 726952 | 2025.07.16
2.4.2.1. Kesi za Matumizi ya Kawaida
· Unaweza kudai reset_req mawimbi kutoka kwa kuwasha ili kuzuia msingi wa kichakataji cha Nios V kuanza utekelezaji wa programu kutoka kwa vekta yake ya kuweka upya hadi wapangishi wengine wa FPGA katika mfumo waanzishe kumbukumbu ya kuwasha kichakataji cha Nios V. Katika kesi hii, mfumo mdogo wote unaweza kupata uwekaji upya wa maunzi safi. Kichakataji cha Nios V kinashikiliwa kwa muda usiojulikana katika hali ya ombi la kuweka upya hadi wapangishi wengine wa FPGA waanzishe kumbukumbu ya kuwasha kichakataji.
· Katika mfumo ambapo lazima uweke upya msingi wa kichakataji cha Nios V bila kutatiza mfumo mzima, unaweza kudai reset_req mawimbi ili kusimamisha utendakazi wa sasa wa msingi na kuwasha upya kichakataji kutoka kwa vekta ya kuweka upya pindi tu mfumo utakapotoa mawimbi ya kuweka upya_req_ack.
· Mpangishi wa nje anaweza kutumia kiolesura cha ombi la kuweka upya kurahisisha utekelezaji wa kazi zifuatazo:
- Sitisha programu ya sasa ya kichakataji cha Nios V.
- Pakia programu mpya kwenye kumbukumbu ya kuwasha kichakataji cha Nios V.
- Ruhusu kichakataji kuanza kutekeleza programu mpya.
Altera inapendekeza utekeleze utaratibu wa kuisha kwa muda ili kufuatilia hali ya reset_req_ack mawimbi. Ikiwa kichakataji cha Nios V kitaangukia katika hali ya kusubiri isiyo na kikomo na vibanda kwa sababu isiyojulikana, reset_req_ack haiwezi kudai kwa muda usiojulikana. Utaratibu wa kuisha kwa muda hukuwezesha:
· Bainisha muda wa kuisha na urejeshe mfumo kwa kuweka upya kiwango cha mfumo.
· Rejesha kiwango cha maunzi.
2.4.3. Weka upya IP ya Kutolewa
Vifaa vinavyotokana na Altera SDM hutumia usanifu sambamba, unaotegemea sekta ambayo husambaza mantiki ya kitambaa katika sekta nyingi. Altera inapendekeza utumie Kuweka Upya Utoaji wa Altera FPGA IP kama mojawapo ya ingizo la awali kwenye saketi ya kuweka upya. Vifaa vya Intel® SDM vinajumuisha vifaa vya Stratix® 10 na AgilexTM. Vifaa vya msingi wa kuzuia-vidhibiti haviathiri hitaji hili.
Habari Zinazohusiana
AN 891: Kutumia Kuweka Upya Toleo la Altera FPGA IP
2.5. Kukabidhi Wakala Chaguomsingi
Mbuni wa Mifumo hukuruhusu kubainisha wakala chaguo-msingi ambaye anafanya kazi kama wakala chaguo-msingi wa majibu ya hitilafu. Wakala chaguo-msingi unayemteua hutoa huduma ya majibu ya hitilafu kwa wapangishi wanaojaribu ufikiaji usio na msimbo kwenye ramani ya anwani.
Matukio yafuatayo yanaanzisha tukio ambalo halijabainishwa:
· Ukiukaji wa hali ya usalama wa shughuli za basi
· Ufikiaji wa muamala kwa eneo la kumbukumbu lisilofafanuliwa
· Tukio la kipekee na nk.
Tuma Maoni
Kitabu cha 37 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa
726952 | 2025.07.16
Wakala chaguo-msingi anafaa kukabidhiwa kushughulikia matukio kama haya, ambapo muamala ambao haujafafanuliwa unaelekezwa upya hadi kwa wakala chaguo-msingi na baadaye kujibu kichakataji cha Nios V kwa jibu la hitilafu.
Habari Zinazohusiana
· Mwongozo wa Mtumiaji wa Toleo la Quartus Prime Pro: Mbuni wa Jukwaa. Kuteua Wakala Chaguomsingi
· Mwongozo wa Mtumiaji wa Toleo la Quartus Prime Pro: Mbuni wa Jukwaa. Hitilafu ya Jibu la Mtumwa Altera FPGA IP
· Github - Vipengee vya Kuweka Upya vya Ziada kwa Qsys
2.6. Kukabidhi Wakala wa UART kwa Uchapishaji
Uchapishaji ni muhimu kwa utatuzi wa programu, na pia kwa ufuatiliaji hali ya mfumo wako. Altera inapendekeza uchapishaji wa maelezo ya msingi kama vile ujumbe wa kuanza, ujumbe wa makosa, na maendeleo ya utekelezaji wa programu.
Epuka kutumia chaguo la kukokotoa la maktaba ya printf() chini ya hali zifuatazo: · printf() maktaba husababisha programu kukwama ikiwa hakuna seva pangishi inayosoma matokeo.
Hii inatumika kwa JTAG UART pekee. · printf() maktaba hutumia kiasi kikubwa cha kumbukumbu ya programu.
2.6.1. Kuzuia maduka na JTAG UART
Jedwali 23. Tofauti kati ya UART ya Jadi na JTAG UART
Aina ya UART ya Jadi
Maelezo
Hutuma data ya mfululizo bila kujali kama seva pangishi ya nje inasikiliza. Ikiwa hakuna mpangishi anayesoma data ya mfululizo, data inapotea.
JTAG UART
Huandika data iliyotumwa kwa bafa ya pato na inategemea mwenyeji wa nje kusoma kutoka kwa bafa ili kuiondoa.
JTAG Kiendeshaji cha UART husubiri wakati bafa ya pato imejaa. Jumba la JTAG Kiendeshaji cha UART husubiri mwenyeji wa nje asome kutoka kwa bafa ya pato kabla ya kuandika data zaidi ya kusambaza. Utaratibu huu huzuia upotezaji wa data ya kusambaza.
Walakini, wakati utatuzi wa mfumo hauhitajiki, kama vile wakati wa utengenezaji, mifumo iliyopachikwa hutumwa bila Kompyuta mwenyeji iliyounganishwa na J.TAG UART. Ikiwa mfumo ulichagua JTAG UART kama wakala wa UART, inaweza kusababisha kukwama kwa mfumo kwa sababu hakuna seva pangishi ya nje iliyounganishwa.
Ili kuzuia kukwama kwa JTAG UART, tumia kati ya chaguzi zifuatazo:
Kitabu cha 38 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
Tuma Maoni
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa
726952 | 2025.07.16
Jedwali 24. Kinga dhidi ya Kusitishwa na JTAG UART
Chaguo
Hakuna kiolesura cha UART na kiendeshi kilichopo
Tumia kiolesura kingine cha UART na kiendeshi
Hifadhi JTAG UART interface (bila dereva)
Wakati wa Ukuzaji wa Vifaa (katika Mbuni wa Jukwaa)
Wakati wa Ukuzaji wa Programu (katika Kihariri cha Kifurushi cha Usaidizi wa Bodi)
Ondoa JTAG UART kutoka kwa mfumo
Sanidi hal.stdin, hal.stdout na hal.stderr kama Hakuna.
Badilisha nafasi ya JTAG UART na nyingine laini Sanidi hal.stdin, hal.stdout na hal.stderr
UART IP
na IP nyingine laini ya UART.
Hifadhi JTAG UART kwenye mfumo
· Sanidi hal.stdin, hal.stdout na hal.stderr kama Hakuna katika Kihariri cha Kifurushi cha Usaidizi wa Bodi.
· Zima JTAG Dereva wa UART kwenye kichupo cha Dereva cha BSP.
2.7. JTAG Ishara
Moduli ya utatuzi ya kichakataji cha Nios V hutumia JTAG kiolesura cha upakuaji wa programu ya ELF na utatuzi wa programu. Unapotatua muundo wako na JTAG interface, JTAG ishara TCK, TMS, TDI, na TDO zinatekelezwa kama sehemu ya muundo. Akibainisha JTAG vizuizi vya mawimbi katika kila mfumo wa kichakataji cha Nios V ni jambo muhimu linalozingatiwa kwa muundo wa mfumo na linahitajika kwa usahihi na tabia ya kuamua.
Altera anapendekeza kwamba mzunguko wa saa ya mfumo wa muundo wowote uwe angalau mara nne ya JTAG frequency ya saa ili kuhakikisha kuwa msingi wa uwekaji ala kwenye chip (OCI) hufanya kazi ipasavyo.
Taarifa Husika · Kitabu cha kupikia cha Quartus® Prime Timing Analyzer: JTAG Ishara
Kwa habari zaidi kuhusu JTAG miongozo ya vikwazo vya wakati. · KDB: Kwa nini niosv-kupakua kunashindwa kwa kichakataji kisicho na bomba cha Nios® V/m saa
JTAG frequency 24MHz au 16Mhz?
2.8. Kuboresha Utendaji wa Mfumo wa Muundaji wa Jukwaa
Mbuni wa Mifumo hutoa zana za kuboresha utendaji wa muunganisho wa mfumo kwa miundo ya Altera FPGA.
Tuma Maoni
Kitabu cha 39 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa
726952 | 2025.07.16
Kielelezo 25. Uboreshaji Exampchini
Example iliyoonyeshwa kwenye mchoro inaonyesha hatua zifuatazo:
1. Huongeza Daraja la Bomba ili kupunguza njia muhimu kwa kuliweka: a. Kati ya Meneja Maagizo na mawakala wake b. Kati ya Meneja wa Data na mawakala wake
2. Tumia RAM ya Kweli ya Mlango Mbili kwenye Chip, huku kila lango likiwa maalum kwa Kidhibiti cha Maagizo na Kidhibiti Data mtawalia.
Kitabu cha 40 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
Tuma Maoni
2. Muundo wa Mfumo wa Kichakata cha Nios V na Programu ya Quartus Prime na Mbuni wa Jukwaa 726952 | 2025.07.16
Rejelea viungo vifuatavyo vinavyohusiana hapa chini, ambavyo vinawasilisha mbinu za kutumia zana zinazopatikana na ubadilishanaji wa kila utekelezaji.
Taarifa Husika · Mwongozo wa Mtumiaji wa Toleo la Quartus® Prime Pro: Mbuni wa Jukwaa
Rejelea mada Kuboresha Utendaji wa Mfumo wa Mbuni wa Mfumo kwa maelezo zaidi. · Mwongozo wa Mtumiaji wa Toleo la Quartus® Prime Standard: Mbuni wa Jukwaa Rejelea mada Kuboresha Utendaji wa Mfumo wa Mbuni wa Mfumo kwa maelezo zaidi.
Tuma Maoni
Kitabu cha 41 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
726952 | 2025.07.16 Tuma Maoni
3. Muundo wa Mfumo wa Programu ya Kichakata cha Nios V
Sura hii inaelezea mtiririko wa ukuzaji wa programu ya kichakataji cha Nios V na zana za programu ambazo unaweza kutumia katika kutengeneza mfumo wako wa usanifu uliopachikwa. Yaliyomo hutumika kama mwishoview kabla ya kutengeneza mfumo wa programu ya processor ya Nios V.
Kielelezo 26. Mtiririko wa Kubuni Programu
Anza
Tengeneza BSP katika Mbuni wa Jukwaa Kwa Kutumia Kihariri cha BSP
Tengeneza BSP kwa kutumia Shell ya Amri ya Nios V
Tengeneza Maombi ya CMake Build File Kutumia Shell ya Amri ya Nios V
Kumbuka:
Ingiza BSP na Maombi CMake Build File
Jenga Programu ya Kichakata cha Nios V kwa kutumia
RiscFree IDE kwa Intel FPGA
Jenga programu ya Kichakata cha Nios V kwa kutumia yoyote
mhariri wa msimbo wa chanzo wa mstari wa amri, CMake, na Fanya
amri
Mwisho
Altera inapendekeza kwamba utumie vifaa vya ukuzaji vya Altera FPGA au ubao wa kielelezo maalum kwa ajili ya ukuzaji na utatuzi wa programu. Vifaa vingi vya pembeni na vipengele vya kiwango cha mfumo vinapatikana tu wakati programu yako inaendeshwa kwenye ubao halisi.
© Altera Corporation. Altera, nembo ya Altera, nembo ya `a' na alama zingine za Altera ni chapa za biashara za Altera Corporation. Altera inahifadhi haki ya kufanya mabadiliko kwa bidhaa na huduma zozote wakati wowote bila taarifa. Altera haichukui jukumu au dhima yoyote inayotokana na maombi au matumizi ya taarifa, bidhaa au huduma yoyote iliyofafanuliwa hapa isipokuwa kama ilivyokubaliwa kwa maandishi na Altera. Wateja wa Altera wanashauriwa kupata toleo jipya zaidi la vipimo vya kifaa kabla ya kutegemea taarifa yoyote iliyochapishwa na kabla ya kuagiza bidhaa au huduma. *Majina na chapa zingine zinaweza kudaiwa kuwa mali ya wengine.
3. Muundo wa Mfumo wa Kichakataji cha Nios V 726952 | 2025.07.16
3.1. Mtiririko wa Ukuzaji wa Programu ya Nios V
3.1.1. Mradi wa Kifurushi cha Usaidizi wa Bodi
Mradi wa Kifurushi cha Usaidizi wa Bodi ya Nios V (BSP) ni maktaba maalum iliyo na msimbo wa usaidizi wa mfumo mahususi. BSP hutoa mazingira ya muda wa matumizi ya programu yaliyobinafsishwa kwa kichakataji kimoja katika mfumo wa maunzi wa kichakataji cha Nios V.
Programu ya Quartus Prime hutoa Kihariri cha Kifurushi cha Usaidizi wa Bodi ya Nios V na zana za matumizi za niosv-bsp kurekebisha mipangilio inayodhibiti tabia ya BSP.
BSP ina vipengele vifuatavyo: · Safu ya uondoaji ya maunzi · Viendeshi vya kifaa · Vifurushi vya hiari vya programu · Mfumo wa uendeshaji wa hiari wa wakati halisi.
3.1.2. Mradi wa Maombi
Mradi wa maombi ya Nios VC/C++ una vipengele vifuatavyo: · Inajumuisha mkusanyiko wa msimbo wa chanzo na CMakeLists.txt.
- The CMakeLists.txt inakusanya msimbo wa chanzo na kuiunganisha na BSP na maktaba moja au zaidi za hiari, ili kuunda .elf moja file
· Moja ya chanzo files ina kazi kuu (). · Inajumuisha msimbo unaoita kazi katika maktaba na BSP.
Altera hutoa zana ya matumizi ya programu ya niosv katika zana za matumizi ya programu ya Quartus Prime ili kuunda Programu ya CMakeLists.txt, na RiscFree IDE kwa Altera FPGAs ili kurekebisha msimbo wa chanzo katika mazingira yanayotegemea Eclipse.
3.2. Zana za Maendeleo Zilizopachikwa za Altera FPGA
Kichakataji cha Nios V kinaauni zana zifuatazo za ukuzaji wa programu: · Kiolesura cha Mchoro cha Mtumiaji (GUI) - Zana za ukuzaji wa picha ambazo zinapatikana katika
Mifumo ya Uendeshaji ya Windows* na Linux* (OS). — Mhariri wa Kifurushi cha Usaidizi wa Bodi ya Nios V (Mhariri wa Nios V BSP) — IDE ya Ashling RiscFree kwa Altera FPGAs · Zana za Mstari wa Amri (CLI) – Zana za Utengenezaji ambazo zimeanzishwa kutoka kwa Nios V Command Shell. Kila chombo hutoa nyaraka zake kwa namna ya usaidizi unaopatikana kutoka kwa mstari wa amri. Fungua Shell ya Amri ya Nios V na chapa amri ifuatayo: - kusaidia view menyu ya Msaada. - Zana za Huduma za Nios V - File Zana za Kubadilisha Umbizo - Zana za Huduma Zingine
Tuma Maoni
Kitabu cha 43 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
3. Muundo wa Mfumo wa Kichakataji cha Nios V 726952 | 2025.07.16
Jedwali 25. Zana za GUI na Muhtasari wa Kazi za Zana za mstari wa Amri
Kazi
Chombo cha GUI
Chombo cha mstari wa amri
Kutengeneza BSP
Mhariri wa Nios V BSP
· Katika programu ya Quartus Prime Pro Edition: niosv-bsp -c -s=<.qsys file> -t= [OPTIONS] mipangilio.bsp
· Katika programu ya Quartus Prime Standard Edition: niosv-bsp -c -s=<.sopcinfo file> -t= [OPTIONS] mipangilio.bsp
Kuzalisha BSP kwa kutumia .bsp iliyopo file
Kusasisha BSP
Nios V BSP Mhariri Nios V BSP Mhariri
niosv-bsp -g [OPTIONS] settings.bsp niosv-bsp -u [OPTIONS] settings.bsp
Uchunguzi wa BSP
Mhariri wa Nios V BSP
niosv-bsp -q -E= [OPTIONS] mipangilio.bsp
Kuunda programu
–
niosv-app -a= -b= -s= files saraka> [OPTIONS]
Kuunda maktaba ya mtumiaji
–
niosv-app -l= -s= files saraka> -p= [CHAGUO]
Kurekebisha programu Kurekebisha maktaba ya mtumiaji Kujenga programu
RiscFree IDE kwa Altera FPGAs
RiscFree IDE kwa Altera FPGAs
RiscFree IDE kwa Altera FPGAs
Kihariri chochote cha chanzo cha mstari wa amri
Kihariri chochote cha chanzo cha mstari wa amri
· tengeneza · cmmake
Kuunda maktaba ya mtumiaji
RiscFree IDE kwa Altera FPGAs
· tengeneza · cmmake
Inapakua programu ELF
Kubadilisha .elf file
RiscFree IDE kwa Altera FPGAs
–
niosv-kupakua
· elf2flash · elf2hex
Habari Zinazohusiana
Ashling RiscFree Integrated Development Environment (IDE) kwa Mwongozo wa Mtumiaji wa Altera FPGAs
3.2.1. Mhariri wa Kifurushi cha Usaidizi wa Bodi ya Kichakata cha Nios V
Unaweza kutumia Kihariri cha BSP cha kichakataji cha Nios V kutekeleza kazi zifuatazo: · Unda au urekebishe mradi wa BSP wa kichakataji cha Nios V · Hariri mipangilio, maeneo ya kiunganishi, na upangaji wa sehemu · Chagua vifurushi vya programu na viendesha kifaa.
Uwezo wa Mhariri wa BSP ni pamoja na uwezo wa huduma za niosv-bsp. Mradi wowote ulioundwa katika Kihariri cha BSP unaweza pia kuundwa kwa kutumia huduma za mstari wa amri.
Kitabu cha 44 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
Tuma Maoni
3. Muundo wa Mfumo wa Kichakataji cha Nios V 726952 | 2025.07.16
Kumbuka:
Kwa programu ya Toleo la Kawaida la Quartus, rejelea AN 980: Usaidizi wa Programu ya Kichakata cha Nios V ya Quartus Prime kwa hatua za kuomba GUI ya Kihariri cha BSP.
Ili kuzindua Kihariri cha BSP, fuata hatua hizi: 1. Fungua Mbuni wa Jukwaa, na uende kwenye File menyu.
a. Ili kufungua mpangilio wa BSP uliopo file, bofya Fungua... b. Ili kuunda BSP mpya, bofya BSP Mpya... 2. Chagua kichupo cha Kihariri cha BSP na utoe maelezo yanayofaa.
Kielelezo 27. Uzindua Mhariri wa BSP
Taarifa Husika AN 980: Usaidizi wa Programu ya Nios V ya Kichakata cha Quartus Prime
3.2.2. RiscFree IDE kwa Altera FPGAs
IDE ya RiscFree ya Altera FPGAs ni IDE inayotokana na Eclipse kwa kichakataji cha Nios V. Altera inapendekeza kwamba utengeneze programu ya kichakataji cha Nios V katika IDE hii kwa sababu zifuatazo: · Vipengele vinatengenezwa na kuthibitishwa ili kuendana na Nios V.
mtiririko wa kujenga processor. · Zikiwa na minyororo yote muhimu ya zana na zana za kusaidia ambazo hukuwezesha
ili kuanza kwa urahisi ukuzaji wa processor ya Nios V.
Maelezo Husika ya Ashling RiscFree Integrated Development Environment (IDE) kwa Mwongozo wa Mtumiaji wa Altera FPGAs
3.2.3. Zana za Huduma za Nios V
Unaweza kuunda, kurekebisha, na kuunda programu za Nios V kwa amri zilizoandikwa kwenye mstari wa amri au kupachikwa kwenye hati. Zana za mstari wa amri za Nios V zilizoelezewa katika sehemu hii ziko kwenye /niosv/bin saraka.
Tuma Maoni
Kitabu cha 45 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
3. Muundo wa Mfumo wa Kichakataji cha Nios V 726952 | 2025.07.16
Jedwali 26. Zana za Huduma za Nios V
Zana za Mstari wa Amri
Muhtasari
niosv-app niosv-bsp niosv-pakua niosv-shell niosv-ripoti-ya-bunda
Kuunda na kusanidi mradi wa programu.
Kuunda au kusasisha mipangilio ya BSP file na kuunda BSP files. Ili kupakua ELF file kwa kichakataji cha Nios® V.
Ili kufungua Shell ya Amri ya Nios V. Ili kukuarifu kuhusu nafasi iliyosalia ya kumbukumbu inayopatikana kwa programu yako .elf kwa matumizi ya rafu au lundo.
3.2.4. File Zana za Ubadilishaji Umbizo
File ubadilishaji wa umbizo wakati mwingine ni muhimu wakati wa kupitisha data kutoka shirika moja hadi jingine. The file zana za ugeuzaji umbizo ziko kwenye faili ya
saraka ya usakinishaji wa programu>/niosv/bin saraka.
Jedwali 27. File Zana za Ubadilishaji Umbizo
Zana za Mstari wa Amri elf2flash elf2hex
Muhtasari Ili kutafsiri .elf file kwa .srec umbizo la programu ya kumbukumbu ya flash. Ili kutafsiri .elf file kwa .hex umbizo la kuanzisha kumbukumbu.
3.2.5. Vyombo vingine vya Huduma
Unaweza kuhitaji zana zifuatazo za mstari wa amri wakati wa kujenga mfumo wa msingi wa processor ya Nios V. Zana hizi za mstari wa amri hutolewa na Intel in /quartus/bin au iliyopatikana kutoka
zana za chanzo-wazi.
Jedwali 28. Zana Nyingine za Mstari wa Amri
Zana za Mstari wa Amri
Aina
Muhtasari
juart-terminal
Intel-zinazotolewa
Kufuatilia stdout na stderr, na kutoa ingizo kwa kichakataji cha Nios® V
mfumo mdogo kupitia stdin. Chombo hiki kinatumika tu kwa JTAG UART IP inapounganishwa kwenye kichakataji cha Nios® V.
openocd
Intel-imetolewa Ili kutekeleza OpenOCD.
openocd-cfg-gen
Intel-zinazotolewa · Kuunda usanidi wa OpenOCD file. · Kuonyesha JTAG index ya kifaa cha mnyororo.
Kitabu cha 46 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
Tuma Maoni
726952 | 2025.07.16 Tuma Maoni
4. Usanidi wa Kichakata cha Nios V na Suluhisho za Uanzishaji
Unaweza kusanidi kichakataji cha Nios V ili kuwasha na kutekeleza programu kutoka sehemu tofauti za kumbukumbu. Kumbukumbu ya kuwasha ni mweko wa Kiolesura cha Pembeni cha Quad Serial (QSPI), Kumbukumbu ya On-Chip (OCRAM), au Kumbukumbu Iliyounganishwa Kwa Nguvu (TCM).
Habari Husika · Masharti ya Kuamsha-Up kwenye ukurasa wa 193 · Vichochezi vya Kuongeza Nguvu
Kwa habari zaidi kuhusu vichochezi vya kuwasha.
4.1. Utangulizi
Kichakataji cha Nios V kinaauni aina mbili za michakato ya kuwasha: · Tekeleza-ndani-Mahali (XIP) kwa kutumia kitendakazi cha alt_load() · Mpango umenakiliwa kwenye RAM kwa kutumia kinakili cha kuwasha. Ukuzaji wa programu zilizopachikwa za Nios V ni msingi wa safu ya uondoaji wa vifaa (HAL). HAL hutoa programu ndogo ya kipakiaji cha buti (pia inajulikana kama kiigaji cha boot) ambayo inakili sehemu za kiunganishi zinazofaa kutoka kwenye kumbukumbu ya kuwasha hadi eneo la muda wa kukimbia wakati wa kuwasha. Unaweza kubainisha maeneo ya muda wa uendeshaji wa programu na kumbukumbu ya data kwa kuchezea mipangilio ya Kihariri cha Kifurushi cha Usaidizi wa Bodi (BSP). Sehemu hii inaeleza: · Kinakili cha kichakata cha Nios V ambacho huwasha mfumo wako wa kichakataji cha Nios V kulingana na
uteuzi wa kumbukumbu ya kuwasha · Chaguo za uanzishaji wa kichakataji cha Nios V na mtiririko wa jumla · Suluhisho za utayarishaji za Nios V kwa kumbukumbu iliyochaguliwa ya kuwasha
4.2. Kuunganisha Maombi
Unapotengeneza mradi wa kichakataji cha Nios V, Mhariri wa BSP hutoa viunganishi viwili vinavyohusiana files: · linker.x: Amri ya kiunganishi file ambayo programu inayozalishwa hufanyafile matumizi
kuunda .elf binary file. · linker.h: Ina taarifa kuhusu mpangilio wa kumbukumbu ya kiunganishi. Marekebisho yote ya mipangilio ya kiunganishi unayofanya kwenye mradi wa BSP yanaathiri yaliyomo katika viunganishi hivi viwili files. Kila programu ya processor ya Nios V ina sehemu zifuatazo za kiunganishi:
© Altera Corporation. Altera, nembo ya Altera, nembo ya `a' na alama zingine za Altera ni chapa za biashara za Altera Corporation. Altera inahifadhi haki ya kufanya mabadiliko kwa bidhaa na huduma zozote wakati wowote bila taarifa. Altera haichukui jukumu au dhima yoyote inayotokana na maombi au matumizi ya taarifa, bidhaa au huduma yoyote iliyofafanuliwa hapa isipokuwa kama ilivyokubaliwa kwa maandishi na Altera. Wateja wa Altera wanashauriwa kupata toleo jipya zaidi la vipimo vya kifaa kabla ya kutegemea taarifa yoyote iliyochapishwa na kabla ya kuagiza bidhaa au huduma. *Majina na chapa zingine zinaweza kudaiwa kuwa mali ya wengine.
4. Usanidi wa Kichakata cha Nios V na Suluhisho za Uanzishaji 726952 | 2025.07.16
Jedwali 29. Sehemu za Viungo
.maandishi
Sehemu za Viungo
.rodata
.rwdata
.bss
.lundo
.stack
Maelezo Nambari inayoweza kutekelezeka. Data yoyote ya kusoma tu inayotumika katika utekelezaji wa programu. Huhifadhi data ya kusoma-kuandika inayotumika katika utekelezaji wa programu. Ina data tuli ambayo haijaanzishwa. Ina kumbukumbu iliyogawiwa kwa nguvu. Huhifadhi vigezo vya simu za kufanya kazi na data nyingine ya muda.
Unaweza kuongeza sehemu za ziada za kiunganishi kwenye .elf file kushikilia nambari na data maalum. Sehemu hizi za kiunganishi zimewekwa katika sehemu za kumbukumbu zilizopewa jina, zinazofafanuliwa kuendana na vifaa vya kumbukumbu halisi na anwani. Kwa chaguo-msingi, BSP Editor inazalisha sehemu hizi za kiunganishi kiotomatiki. Hata hivyo, unaweza kudhibiti sehemu za kiunganishi kwa programu fulani.
4.2.1. Kuunganisha Tabia
Sehemu hii inaelezea tabia ya kuunganisha chaguomsingi ya Mhariri wa BSP na jinsi ya kudhibiti tabia ya kuunganisha.
4.2.1.1. Uunganisho Chaguomsingi wa BSP
Wakati wa usanidi wa BSP, zana hufanya hatua zifuatazo kiotomatiki:
1. Weka majina ya eneo la kumbukumbu: Panga jina kwa kila kifaa cha kumbukumbu ya mfumo na uongeze kila jina kwenye kiunganishi file kama eneo la kumbukumbu.
2. Tafuta kumbukumbu kubwa zaidi: Tambua eneo kubwa zaidi la kumbukumbu ya kusoma na kuandika katika kiunganishi file.
3. Weka sehemu za kiunganishi: Weka sehemu za kiunganishi chaguomsingi (.text, .rodata, .rwdata, .bss, .heap, na .stack) katika eneo la kumbukumbu lililotambuliwa katika hatua ya awali.
4. Andika files: Andika kiunganishi.x na kiunganishi.h files.
Kwa kawaida, mpango wa ugawaji wa sehemu ya kiunganishi hufanya kazi wakati wa mchakato wa kutengeneza programu kwa sababu programu imehakikishiwa kufanya kazi ikiwa kumbukumbu ni kubwa ya kutosha.
Sheria za tabia chaguomsingi za kuunganisha zimo katika hati za Tcl zinazozalishwa na Altera bsp-set-defaults.tcl na bsp-linker-utils.tcl zinazopatikana kwenye /niosv/scripts/bsp-defaults saraka. Amri ya niosv-bsp inaomba hati hizi. Usirekebishe hati hizi moja kwa moja.
Kitabu cha 48 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
Tuma Maoni
4. Usanidi wa Kichakata cha Nios V na Suluhisho za Uanzishaji 726952 | 2025.07.16
4.2.1.2. Kiunganishi cha BSP kinachoweza kusanidiwa
Unaweza kudhibiti tabia chaguomsingi ya kuunganisha katika kichupo cha Hati ya Kiungo cha Kihariri cha BSP. Dhibiti hati ya kiunganishi kwa kutumia mbinu zifuatazo: · Ongeza eneo la kumbukumbu: Ramani ya jina la eneo la kumbukumbu kwenye kifaa cha kumbukumbu halisi. · Ongeza ramani ya sehemu: Huweka jina la sehemu kwenye eneo la kumbukumbu. Sehemu ya BSP
Mhariri hukuruhusu view ramani ya kumbukumbu kabla na baada ya kufanya mabadiliko.
4.3. Njia za Kuanzisha Kichakata cha Nios V
Kuna njia chache za kuwasha kichakataji cha Nios V katika vifaa vya Altera FPGA. Njia za kuwasha kichakataji cha Nios V hutofautiana kulingana na uteuzi wa kumbukumbu ya flash na familia za kifaa.
Jedwali 30. Kumbukumbu za Mweko zinazoungwa mkono na Chaguzi Husika za Boot
Kumbukumbu za Boot zinazotumika
Kifaa
Flash On-Chip (kwa usanidi wa Ndani)
Upeo wa vifaa 10 pekee (zenye On-Chip Flash IP)
Madhumuni ya Jumla QSPI Flash (kwa data ya mtumiaji pekee)
Vifaa vyote vya FPGA vinavyotumika (vilivyo na Kiolesura cha Kiolesura cha Kawaida cha FPGA cha IP)
Usanidi wa QSPI Flash (kwa usanidi Amilifu wa Serial)
Udhibiti wa msingi
vifaa (na Generic
Kiolesura cha Serial Flash cha Intel FPGA IP)(2)
Njia za Kuanzisha Kichakata cha Nios V
Mahali pa Muda wa Kuendesha Programu
Mwimbaji wa Boot
Programu ya kichakataji cha Nios V tekeleza mahali kutoka kwa Flash On-Chip
On-Chip Flash (XIP) + OCRAM/ RAM ya Nje (kwa sehemu za data zinazoweza kuandikwa)
alt_load() kazi
Programu ya kichakataji cha Nios V imenakiliwa kutoka Kiwango cha On-Chip hadi RAM kwa kutumia kikopi cha kuwasha
OCRAM/RAM ya Nje
Kutumia Bootloader kupitia GSFI
Nios V processor application executein-place kutoka kwa madhumuni ya jumla ya QSPI flash
Madhumuni ya jumla flash ya QSPI (XIP) + OCRAM/ RAM ya Nje (kwa sehemu za data zinazoweza kuandikwa)
alt_load() kazi
Programu ya kichakataji cha Nios V imenakiliwa kutoka kwa madhumuni ya jumla ya QSPI flash hadi RAM kwa kutumia kikopi cha kuwasha
OCRAM/RAM ya Nje
Bootloader kupitia GSFI
Programu ya kichakataji cha Nios V tekeleza mahali kutoka kwa usanidi wa QSPI flash
Usanidi wa QSPI flash (XIP) + OCRAM/ RAM ya Nje (kwa sehemu za data zinazoweza kuandikwa)
alt_load() kazi
Programu ya kichakataji cha Nios V imenakiliwa kutoka kwa usanidi wa QSPI hadi RAM kwa kutumia kikopi cha kuwasha
OCRAM/ Kipakiaji cha RAM cha Nje kupitia GSFI kiliendelea...
(2) Rejelea AN 980: Usaidizi wa Programu ya Nios V ya Kichakata cha Quartus Prime kwa orodha ya vifaa.
Tuma Maoni
Kitabu cha 49 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
4. Usanidi wa Kichakata cha Nios V na Suluhisho za Uanzishaji 726952 | 2025.07.16
Kumbukumbu za Boot zinazotumika
Kumbukumbu Kwenye Chip (OCRAM) Kumbukumbu Iliyounganishwa Kabisa (TCM)
Kifaa
Vifaa vinavyotokana na SDM (yenye Mteja wa Kisanduku cha Barua cha Intel FPGA IP). (2)
Vifaa vyote vya Altera FPGA vinavyotumika (2)
Vifaa vyote vya Altera FPGA vinavyotumika(2)
Njia za Kuanzisha Kichakata cha Nios V
Programu ya kichakataji cha Nios V imenakiliwa kutoka kwa usanidi wa QSPI hadi RAM kwa kutumia kikopi cha kuwasha
Nios V processor application executein-place kutoka OCRAM
Nios V processor application executein-place kutoka TCM
Mahali pa Muda wa Kuendesha Programu
Mwimbaji wa Boot
OCRAM/ Bootloader ya nje ya RAM kupitia SDM
OCRAM
alt_load() kazi
Maagizo TCM (XIP) Hakuna + Data TCM (kwa sehemu za data zinazoweza kuandikwa)
Kielelezo 28. Mtiririko wa Boot ya Processor ya Nios V
Weka upya
Kichakataji kinaruka kuweka upya vekta (msimbo wa boot kuanza)
Nambari ya programu inaweza kunakiliwa kwa eneo lingine la kumbukumbu (kulingana na chaguzi za buti)
Nambari ya boot huanzisha processor
Kulingana na chaguo za kuwasha, msimbo wa kuwasha unaweza kunakili thamani za awali za data/misimbo hadi nafasi nyingine ya kumbukumbu (alt_load)
Nambari ya boot huanzisha nambari ya programu na nafasi ya kumbukumbu ya data
Nambari ya boot huanzisha vifaa vyote vya pembeni vya mfumo na viendeshi vya HAL (alt_main)
Kuingia kwa kuu
Taarifa Husika · Mwongozo wa Mtumiaji wa IP wa Serial Serial Altera FPGA
Kitabu cha 50 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
Tuma Maoni
4. Usanidi wa Kichakata cha Nios V na Suluhisho za Uanzishaji 726952 | 2025.07.16
· Sanduku la barua Mteja Altera Mwongozo wa Mtumiaji wa IP wa FPGA · AN 980: Usaidizi wa Programu ya Nios V ya Kichakata cha Quartus Prime
4.4. Utangulizi wa Mbinu za Kuanzisha Kichakata cha Nios V
Mifumo ya kichakataji cha Nios V inahitaji picha za programu kusanidiwa katika kumbukumbu ya mfumo kabla ya kichakataji kuanza kutekeleza programu. Rejelea Sehemu za Kiunganishi kwa sehemu za kiunganishi chaguomsingi.
Kihariri cha BSP hutengeneza hati ya kiunganishi ambayo hufanya kazi zifuatazo: · Inahakikisha kuwa programu ya kichakataji imeunganishwa kwa mujibu wa mipangilio ya kiunganishi.
ya kihariri cha BSP na huamua mahali programu inakaa kwenye kumbukumbu. · Inaweka eneo la msimbo wa kichakataji katika sehemu ya kumbukumbu kulingana na
vipengele vya kumbukumbu vilivyopewa.
Sehemu ifuatayo inaelezea kwa ufupi mbinu zinazopatikana za uanzishaji wa kichakataji cha Nios V.
4.4.1. Ombi la Kichakata cha Nios V Tekeleza-Mahali kutoka kwa Flash Flash
Altera ilibuni vidhibiti vya kumweka hivi kwamba nafasi ya anwani ya kuwasha flash ipatikane mara moja kwa kichakataji cha Nios V baada ya kuweka upya mfumo, bila hitaji la kuanzisha kidhibiti kumbukumbu au vifaa vya kumbukumbu. Hii huwezesha kichakataji cha Nios V kutekeleza msimbo wa programu iliyohifadhiwa kwenye vifaa vya kuwasha moja kwa moja bila kutumia kiigaji cha boot kunakili msimbo kwa aina nyingine ya kumbukumbu. Vidhibiti vya mweko ni: · On-Chip Flash yenye On-Chip Flash IP (katika kifaa cha MAX® 10 pekee) · Kusudi la jumla la QSPI flash yenye Kiolesura cha Kiolesura cha Jumla cha IP
vifaa)
Wakati programu ya kichakataji cha Nios V inapotekeleza-mahali kutoka kwa mweko wa kuwasha, Kihariri cha BSP hufanya kazi zifuatazo: · Huweka sehemu za .kiunganishi cha maandishi kwenye eneo la kumbukumbu ya mweko wa kuwasha. · Huweka sehemu za .bss,.rodata, .rwdata, .stack na .heap za kiunganishi kwenye RAM
eneo la kumbukumbu. Ni lazima uwashe chaguo za kukokotoa za alt_load() katika Mipangilio ya BSP ili kunakili sehemu za data (.rodata, .rwdata,, .isipokuwa) kwenye RAM baada ya kuweka upya mfumo. Sehemu ya msimbo (.text) inasalia katika eneo la kumbukumbu ya flash ya boot.
Taarifa Husika · Kiolesura cha Kawaida cha Kiolesura cha Altera FPGA IP Mwongozo wa Mtumiaji · Mwongozo wa Mtumiaji wa Kumbukumbu ya Mtumiaji wa Altera MAX 10
4.4.1.1. alt_load()
Unaweza kuwezesha kazi ya alt_load() katika msimbo wa HAL kwa kutumia Kihariri cha BSP.
Inapotumika katika mtiririko wa buti ya kutekeleza-mahali, chaguo la kukokotoa la alt_load() hufanya kazi zifuatazo:
Tuma Maoni
Kitabu cha 51 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
4. Usanidi wa Kichakata cha Nios V na Suluhisho za Uanzishaji 726952 | 2025.07.16
· Hufanya kazi kama kinakili kidogo cha boot ambayo inakili sehemu za kumbukumbu kwenye RAM kulingana na mipangilio ya BSP.
· Hunakili sehemu za data (.rodata, .rwdata, .vighairi) kwenye RAM lakini si sehemu za msimbo (.maandishi). Sehemu ya msimbo (.maandishi) ni sehemu ya kusoma tu na inasalia katika eneo la kumbukumbu ya mweko kuwasha. Kugawanya huku kunasaidia kupunguza matumizi ya RAM lakini kunaweza kupunguza utendakazi wa utekelezaji wa msimbo kwa sababu ufikiaji wa kumbukumbu ya mweko ni wa polepole kuliko ufikiaji wa RAM iliyo kwenye chip.
Jedwali lifuatalo linaorodhesha mipangilio na vitendaji vya Mhariri wa BSP:
Jedwali 31. Mipangilio ya Mhariri wa BSP
Mipangilio ya Kihariri cha BSP hal.linker.enable_alt_load hal.linker.enable_alt_load_copy_rodata hal.linker.enable_alt_load_copy_rwdata hal.linker.enable_alt_load_copy_vighairi
Kitendaji Huwasha kitendakazi cha alt_load(). alt_load() kunakili sehemu ya .rodata kwenye RAM. alt_load() kunakili sehemu ya .rwdata kwenye RAM. alt_load() nakala .sehemu ya vighairi kwenye RAM.
4.4.2. Programu ya Kichakata cha Nios V Imenakiliwa kutoka Kiwango cha Boot hadi RAM Kwa kutumia Kinakili Kiwashi
Kichakataji cha Nios V na HAL ni pamoja na kiigaji cha buti ambacho hutoa utendakazi wa kutosha kwa programu nyingi za kichakataji cha Nios V na ni rahisi kutekelezwa kwa mtiririko wa ukuzaji wa programu ya Nios V.
Programu inapotumia kinakili cha kuwasha, huweka sehemu zote za kiunganishi ( .text, .heap , .rwdata, .rodata , .bss, .stack) kwenye RAM ya ndani au nje. Kutumia kiigaji cha boot kunakili programu ya kichakataji cha Nios V kutoka kwa mweko wa kuwasha hadi RAM ya ndani au nje kwa utekelezaji husaidia kuboresha utendakazi wa utekelezaji.
Kwa chaguo hili la boot, kichakataji cha Nios V huanza kutekeleza programu ya kunakili ya boot baada ya kuweka upya mfumo. Programu inakili programu kutoka kwa kuwasha flash hadi RAM ya ndani au nje. Mchakato ukishakamilika, kichakataji cha Nios V huhamisha udhibiti wa programu kwenye programu.
Kumbuka:
Ikiwa kunakili boot iko kwenye flash, basi kazi ya alt_load() haihitaji kuitwa kwa sababu zote mbili hutumikia kusudi moja.
4.4.2.1. Kiboreshaji cha Kichakata cha Nios V kupitia Kiolesura cha Kiwango cha Kawaida cha Serial
Bootloader kupitia GSFI ni kunakili ya kichakata cha Nios V ambayo inasaidia kumbukumbu ya flash ya QSPI katika vifaa vya kudhibiti msingi. Bootloader kupitia GSFI inajumuisha huduma zifuatazo:
· Huweka programu katika kumbukumbu isiyo tete.
· Inafungua na kunakili picha ya programu tumizi kwenye RAM.
· Hubadilisha kiotomatiki utekelezaji wa kichakataji hadi msimbo wa programu katika RAM baada ya nakala kukamilika.
Kitabu cha 52 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
Tuma Maoni
4. Usanidi wa Kichakata cha Nios V na Suluhisho za Uanzishaji 726952 | 2025.07.16
Picha ya boot iko baada ya kiigaji cha boot. Unahitaji kuhakikisha kuwa kichakataji cha Nios V kimeweka upya pointi za kurekebisha hadi kuanza kwa kiigaji cha buti. Kielelezo: Ramani ya Kumbukumbu ya QSPI Flash iliyo na Bootloader kupitia ramani ya kumbukumbu ya GSFI ya QSPI Flash yenye Bootloader kupitia GSFI inaonyesha ramani ya kumbukumbu ya flash ya QSPI flash wakati wa kutumia kikopi cha kuwasha. Ramani hii ya kumbukumbu inachukua kumbukumbu ya flash huhifadhi picha ya FPGA na programu ya programu.
Jedwali 32. Bootloader kupitia GSFI kwa Nios V Processor Core
Msingi wa Kichakataji cha Nios V
Nios V/m processor
Bootloader kupitia GSFI File Mahali
/niosv/components/bootloader/ niosv_m_bootloader.srec
Kichakataji cha Nios V/g
/niosv/components/bootloader/ niosv_g_bootloader.srec
Mchoro 29. Ramani ya Kumbukumbu ya QSPI Flash na Bootloader kupitia GSFI
Data ya Mteja (*.hex)
Msimbo wa Maombi
Kumbuka:
Weka upya Kidhibiti cha Vekta
Mwimbaji wa Boot
0x01E00000
Picha ya FPGA (*.sof)
0x00000000
1. Mwanzoni mwa ramani ya kumbukumbu ni picha ya FPGA ikifuatiwa na data yako, ambayo inajumuisha kiigaji cha boot na msimbo wa programu.
2. Ni lazima uweke uwekaji upya wa kichakataji cha Nios V katika Mbuni wa Mfumo na uelekeze kwenye mwanzo wa kiigaji cha kuwasha.
3. Ukubwa wa picha ya FPGA haujulikani.Unaweza tu kujua ukubwa kamili baada ya mkusanyiko wa mradi wa Quartus Prime. Lazima ubainishe kikomo cha juu kwa saizi ya picha ya Altera FPGA. Kwa mfanoample, ikiwa saizi ya picha ya FPGA inakadiriwa kuwa chini ya 0x01E00000, weka Kuweka Upya hadi 0x01E00000 katika Mbuni wa Mifumo, ambayo pia ni mwanzo wa kinakili cha kuwasha.
4. Mazoezi mazuri ya kubuni yanajumuisha kuweka uwekaji upya wa vekta kwenye mpaka wa sekta ya flash ili kuhakikisha hakuna ufutaji wowote wa picha ya FPGA endapo programu itasasishwa.
Tuma Maoni
Kitabu cha 53 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
4. Usanidi wa Kichakata cha Nios V na Suluhisho za Uanzishaji 726952 | 2025.07.16
4.4.2.2. Kiboreshaji cha Kichakata cha Nios V kupitia Kidhibiti cha Kifaa Salama
Bootloader kupitia Kidhibiti cha Kifaa Salama (SDM) ni msimbo wa programu ya HAL unaotumia kiendeshi cha Kiteja cha Kisanduku cha Barua Altera FPGA IP HAL ili kuwasha kichakataji. Altera inapendekeza programu hii ya kipakiaji kipya unapotumia usanidi wa QSPI flash katika vifaa vinavyotegemea SDM ili kuwasha kichakataji cha Nios V.
Baada ya kuweka upya mfumo, kichakataji cha Nios V kwanza huwasha Kiendeshaji Boot kupitia SDM kutoka kwenye kumbukumbu ndogo ya kwenye chip na kutekeleza Bootloader kupitia SDM ili kuwasiliana na usanidi wa QSPI flash kwa kutumia IP ya Kiteja cha kisanduku cha Barua.
Bootloader kupitia SDM hufanya kazi zifuatazo: · Huweka programu ya Nios V katika usanidi wa QSPI flash. · Hunakili programu ya Nios V kwenye RAM iliyo kwenye chip au RAM ya nje. · Hubadilisha utekelezaji wa kichakataji hadi programu ya Nios V ndani ya RAM ya kwenye chipu au
RAM ya nje.
Baada ya mchakato kukamilika, Bootloader kupitia SDM huhamisha udhibiti wa programu kwa programu ya mtumiaji. Altera inapendekeza shirika la kumbukumbu kama ilivyoainishwa katika Shirika la Kumbukumbu la Kiendeshaji cha Boot kupitia SDM.
Kielelezo 30. Bootloader kupitia Mtiririko wa Mchakato wa SDM
Usanidi
Mwako
2
Nios V Programu
SDM
Kifaa cha FPGA kinachotegemea SDM
IP ya Mteja wa Sanduku la Barua
FPGA Mantiki Nios V
4 RAM ya nje
Nios V Programu
On-Chip 4
EMIF
RAM
Kumbukumbu kwenye Chip
IP
Nios V
1
Programu
Bootloader kupitia SDM
3
3
1. Kichakataji cha Nios V huendesha Bootloader kupitia SDM kutoka kwenye kumbukumbu ya on-chip.
2. Bootloader kupitia SDM huwasiliana na flash ya usanidi na hupata programu ya Nios V.
3. Kipakiaji cha boot kupitia SDM kunakili programu ya Nios V kutoka kwa Mwako wa Usanidi hadi kwenye RAM/RAM ya nje.
4. Kipakiaji cha boot kupitia SDM hubadilisha utekelezaji wa kichakataji cha Nios V hadi programu ya Nios V kwenye RAM iliyo kwenye chip / RAM ya nje.
4.4.3. Ombi la Kichakata cha Nios V Tekeleza-Mahali kutoka kwa OCRAM
Kwa njia hii, anwani ya kurejesha processor ya Nios V imewekwa kwenye anwani ya msingi ya kumbukumbu ya on-chip (OCRAM). Programu ya jozi (.hex) file hupakiwa kwenye OCRAM wakati FPGA inaposanidiwa, baada ya muundo wa maunzi kukusanywa katika programu ya Quartus Prime. Mara tu processor ya Nios V ikiweka upya, programu huanza kutekeleza na matawi hadi mahali pa kuingilia.
Kitabu cha 54 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
Tuma Maoni
4. Usanidi wa Kichakata cha Nios V na Suluhisho za Uanzishaji 726952 | 2025.07.16
Kumbuka:
· Tekeleza-Mahali kutoka kwa OCRAM hauhitaji kikopi cha kuwasha kwa sababu programu ya kichakataji cha Nios V tayari iko katika kuweka upya mfumo.
· Altera inapendekeza kuwezesha alt_load() kwa mbinu hii ya uanzishaji ili programu iliyopachikwa ifanye kazi sawa inapowekwa upya bila kusanidi upya picha ya kifaa cha FPGA.
· Ni lazima uwashe kipengele cha alt_load() katika Mipangilio ya BSP ili kunakili sehemu ya .rwdata baada ya kuweka upya mfumo. Kwa njia hii, maadili ya awali ya vigezo vilivyoanzishwa huhifadhiwa tofauti na vigezo vinavyolingana ili kuepuka kuandika juu ya utekelezaji wa programu.
4.4.4. Ombi la Kichakata cha Nios V Tekeleza-Mahali kutoka TCM
Mbinu ya kutekeleza-mahali huweka anwani ya kuweka upya kichakataji cha Nios V kwenye anwani ya msingi ya kumbukumbu iliyounganishwa kwa uthabiti (TCM). Programu ya jozi (.hex) file inapakiwa kwenye TCM unaposanidi FPGA baada ya kukusanya muundo wa maunzi katika programu ya Quartus Prime. Mara tu processor ya Nios V ikiweka upya, programu huanza kutekeleza na matawi hadi mahali pa kuingilia.
Kumbuka:
Tekeleza-Mahali kutoka kwa TCM hauhitaji kiigaji cha boot kwa sababu programu ya kichakataji cha Nios V tayari iko mahali pa kuweka upya mfumo.
4.5. Kichakataji cha Nios V Inawasha kutoka kwa On-Chip Flash (UFM)
Programu ya kuwasha na kutekeleza kichakataji cha Nios V kutoka kwenye flash ya on-chip (UFM) inapatikana katika vifaa MAX 10 vya FPGA. Kichakataji cha Nios V inasaidia chaguzi mbili zifuatazo za kuwasha kwa kutumia On-Chip Flash chini ya modi ya Usanidi wa Ndani:
· Utumizi wa kichakataji cha Nios V hutekelezea mahali ulipo kutoka kwa Mwako wa On-Chip.
· Programu ya kichakataji cha Nios V inakiliwa kutoka Flash On-Chip hadi RAM kwa kutumia kikopi cha kuwasha.
Jedwali 33. Kumbukumbu za Mweko zinazotumika na Chaguzi za Boot husika
Kumbukumbu za Boot zinazotumika
Njia za Uanzishaji wa Nios V
Mahali pa Muda wa Kuendesha Programu
Mwimbaji wa Boot
Vifaa MAX 10 pekee (na OnChip Flash IP)
Programu ya kichakataji cha Nios V tekeleza mahali kutoka kwa Flash On-Chip
Programu ya kichakataji cha Nios V imenakiliwa kutoka Kiwango cha On-Chip hadi RAM kwa kutumia kikopi cha kuwasha
On-Chip Flash (XIP) + OCRAM/ RAM ya Nje (kwa sehemu za data zinazoweza kuandikwa)
alt_load() kazi
OCRAM/ RAM ya nje
Kutumia Bootloader kupitia GSFI
Tuma Maoni
Kitabu cha 55 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
4. Usanidi wa Kichakata cha Nios V na Suluhisho za Uanzishaji 726952 | 2025.07.16
Kielelezo cha 31.
Ubunifu, Usanidi, na Mtiririko wa Uanzishaji
Ubunifu · Unda mradi wako wa msingi wa Kichakata cha Nios V kwa kutumia Mbuni wa Jukwaa. · Hakikisha kuwa kuna RAM ya nje au RAM kwenye chipu katika muundo wa mfumo.
Usanidi na Mkusanyiko wa FPGA
· Weka hali sawa ya usanidi wa ndani katika On-chip Flash IP katika Mbuni wa Mfumo na programu ya Quartus Prime. · Weka wakala wa kuweka upya kichakataji cha Nios V kuwa Flash ya On-chip. · Chagua njia unayopendelea ya kuanzisha UFM. · Tengeneza muundo wako katika Mbuni wa Jukwaa. · Kusanya mradi wako katika programu ya Quartus Prime.
Mradi wa BSP wa Maombi ya Mtumiaji · Unda kichakataji cha Nios V HAL BSP kulingana na .sopcinfo file imeundwa na Mbunifu wa Jukwaa. · Hariri mipangilio ya BSP ya kichakataji cha Nios V na Hati ya Kiungo katika Kihariri cha BSP. · Tengeneza mradi wa BSP.
Mradi wa APP ya Programu ya Mtumiaji · Tengeneza msimbo wa programu ya kichakataji cha Nios V. · Kukusanya programu ya kichakataji cha Nios V na utengeneze programu ya kichakataji cha Nios V (.hex) file. · Rejesha mradi wako katika programu ya Quartus Prime ukiangalia Anzisha chaguo la maudhui ya kumbukumbu katika Intel FPGA On-Chip Flash IP.
Kupanga programu Files Ubadilishaji, Pakua na Endesha · Tengeneza Mwako wa On-Chip .pof file kwa kutumia Convert Programming Files kipengele katika programu ya Quartus Prime.
· Panga .pof file kwenye kifaa chako cha MAX 10. · Nguvu mzunguko wa maunzi yako.
4.5.1. Maelezo ya MAX 10 ya FPGA On-Chip
Vifaa vya MAX 10 FPGA vina flashi ya on-chip ambayo imegawanywa katika sehemu mbili: Kumbukumbu ya Usanidi wa Flash (CFM) - huhifadhi data ya usanidi wa maunzi ya
MAX 10 FPGAs. · Kumbukumbu ya Mtumiaji wa Flash (UFM) — huhifadhi data ya mtumiaji au programu tumizi za programu.
Usanifu wa UFM wa kifaa cha MAX 10 ni mchanganyiko wa IPs laini na ngumu. Unaweza tu kufikia UFM kwa kutumia On-Chip Flash IP Core katika programu ya Quartus Prime.
Msingi wa On-chip Flash IP unaauni vipengele vifuatavyo: · Kusoma au kuandika ufikiaji kwa UFM na CFM (ikiwashwa katika sekta za Mbuni wa Mifumo)
kwa kutumia data ya Avalon MM na kiolesura cha kudhibiti mtumwa. · Inasaidia ufutaji wa ukurasa, ufutaji wa sekta na uandishi wa sekta. · Muundo wa uigaji wa ufikiaji wa kusoma/kuandika wa UFM kwa kutumia zana mbalimbali za uigaji za EDA.
Kitabu cha 56 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
Tuma Maoni
4. Usanidi wa Kichakata cha Nios V na Suluhisho za Uanzishaji 726952 | 2025.07.16
Jedwali 34. Mikoa ya Flash kwenye Chip katika Vifaa MAX 10 vya FPGA
Mikoa ya Flash
Utendaji
Kumbukumbu ya Mweko wa Usanidi (sekta CFM0-2)
Mpangilio wa FPGA file hifadhi
Kumbukumbu ya Mweko wa Mtumiaji (sekta za UFM0-1)
Programu ya kichakataji cha Nios V na data ya mtumiaji
Vifaa MAX 10 vya FPGA vinaauni hali kadhaa za usanidi na baadhi ya modi hizi huruhusu CFM1 na CFM2 kutumika kama eneo la ziada la UFM. Jedwali lifuatalo linaonyesha eneo la uhifadhi la picha za usanidi wa FPGA kulingana na modi za usanidi za MAX 10 FPGA.
Jedwali 35. Mahali pa Kuhifadhi Picha za Usanidi wa FPGA
Hali ya Usanidi Picha zilizobanwa mbili
Picha ya CFM2 Iliyobanwa 2
CFM1
Picha ya CFM0 Iliyobanwa 1
Picha moja ambayo haijabanwa
UFM pepe
Picha isiyobanwa
Picha moja ambayo haijabanwa na Uanzishaji wa Kumbukumbu
Picha isiyobanwa (iliyo na yaliyomo kwenye kumbukumbu iliyoanzishwa awali)
Picha moja iliyobanwa na Uanzishaji wa Kumbukumbu Picha iliyobanwa (iliyo na yaliyomo kwenye kumbukumbu iliyoanzishwa awali)
Picha iliyobanwa moja
UFM pepe
Picha Imebanwa
Ni lazima utumie msingi wa IP wa On-chip ili kufikia kumbukumbu ya flash katika FPGA MAX 10. Unaweza kusisitiza na kuunganisha IP ya On-chip Flash kwenye programu ya Quartus Prime. Kichakataji cha msingi laini cha Nios V hutumia viunganishi vya Mbuni wa Mfumo ili kuwasiliana na On-chip Flash IP.
Kielelezo 32. Uunganisho kati ya On-chip Flash IP na Nios V Processor
Kumbuka:
Hakikisha mlango wa On-chip wa Flash csr umeunganishwa kwa kidhibiti data_manager cha Nios V ili kuwezesha kichakataji kudhibiti uandishi na kufuta utendakazi.
Msingi wa On-chip Flash IP unaweza kutoa ufikiaji kwa sekta tano za flash - UFM0, UFM1, CFM0, CFM1, na CFM2.
Taarifa muhimu kuhusu sekta za UFM na CFM.: · Sekta za CFM zimekusudiwa kuhifadhi data ya usanidi (*.pof).
· Data ya mtumiaji inaweza kuhifadhiwa katika sekta za UFM na inaweza kufichwa, ikiwa mipangilio sahihi itachaguliwa katika zana ya Mbuni wa Mfumo.
· Vifaa vingine havina sekta ya UFM1. Unaweza kurejelea jedwali: UFM na Ukubwa wa Sekta ya CFM kwa sekta zinazopatikana katika kila kifaa cha MAX 10 FPGA.
Tuma Maoni
Kitabu cha 57 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
4. Usanidi wa Kichakata cha Nios V na Suluhisho za Uanzishaji 726952 | 2025.07.16
· Unaweza kusanidi CFM2 kama UFM pepe kwa kuchagua modi ya usanidi ya Picha Moja Isiyobanwa.
· Unaweza kusanidi CFM2 na CFM1 kama UFM pepe kwa kuchagua modi ya usanidi ya Picha Moja Isiyobanwa.
· Ukubwa wa kila sekta hutofautiana kulingana na vifaa vilivyochaguliwa vya MAX 10 FPGA.
Jedwali 36.
UFM na Ukubwa wa Sekta ya CFM
Jedwali hili linaorodhesha vipimo vya safu za UFM na CFM.
Kifaa
Kurasa kwa Sekta
UFM1 UFM0 CFM2 CFM1 CFM0
Ukubwa wa Ukurasa (Kbit)
Kiwango cha juu cha Mtumiaji
Ukubwa wa Kumbukumbu ya Mweko (Kbit) (3)
Jumla ya Ukubwa wa Kumbukumbu ya Usanidi (Kbit)
10M02 3
3
0
0
34 16
96
544
10M04 0
8
41 29 70 16
1248
2240
10M08 8
8
41 29 70 16
1376
2240
10M16 4
4
38 28 66 32
2368
4224
10M25 4
4
52 40 92 32
3200
5888
10M40 4
4
48 36 84 64
5888
10752
10M50 4
4
48 36 84 64
5888
10752
Ukubwa wa OCRAM (Kbit)
108 189 378 549 675 1260 1638
Taarifa Husika · MAX 10 FPGA Configuration User Guide · Altera MAX 10 User Flash Kumbukumbu User Guide
4.5.2. Ombi la Kichakata cha Nios V Tekeleza-Mahali kutoka UFM
Tekeleza-Mahali kutoka suluhisho la UFM linafaa kwa programu za kichakataji cha Nios V ambazo zinahitaji utumiaji mdogo wa kumbukumbu kwenye chip. Chaguo za kukokotoa za alt_load() hufanya kazi kama kinakili kidogo cha kuwasha ambacho kinakili sehemu za data (.rodata, .rwdata, au .vighairi) kutoka kwenye kumbukumbu ya kuwasha hadi RAM kulingana na mipangilio ya BSP. Sehemu ya msimbo (.text),
ambayo ni sehemu ya kusoma pekee, inasalia katika eneo la kumbukumbu la MAX 10 On-chip Flash. Usanidi huu unapunguza matumizi ya RAM lakini unaweza kupunguza utendakazi wa utekelezaji wa msimbo kwani ufikiaji wa kumbukumbu ya mweko ni wa polepole kuliko RAM iliyo kwenye chip.
Programu ya kichakataji cha Nios V imepangwa katika sekta ya UFM. Vekta ya uwekaji upya wa kichakataji cha Nios V inaelekeza kwenye anwani ya msingi ya UFM ili kutekeleza msimbo kutoka UFM baada ya mfumo kuweka upya.
Ikiwa unatumia kitatuzi cha kiwango cha chanzo kutatua programu yako, ni lazima utumie sehemu ya kukauka maunzi. Hii ni kwa sababu UFM haitumii ufikiaji wa kumbukumbu bila mpangilio, ambayo ni muhimu kwa utatuzi wa sehemu laini za kukatika.
Kumbuka:
Huwezi kufuta au kuandika UFM wakati unatekeleza-mahali-pamoja katika MAX 10. Badili hadi mbinu ya kikopi cha kuwasha ikiwa unahitaji kufuta au kuandika UFM.
(3) Thamani ya juu zaidi inayowezekana, ambayo inategemea hali ya usanidi unayochagua.
Kitabu cha 58 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
Tuma Maoni
4. Usanidi wa Kichakata cha Nios V na Suluhisho za Uanzishaji 726952 | 2025.07.16
Kielelezo 33. Nios V Processor Application XIP kutoka UFM
Upeo wa Kifaa 10
.POF
Vifaa vya Nios V .SOF
Programu ya Nios V .HEX
Programu ya Quartus
Mweko wa On-Chip
CFM
Vifaa vya Nios V
UFM
Nios V Programu
Usanidi wa Ndani
On-Chip Flash IP
Mantiki ya FPGA
Kichakataji cha Nios V
RAM kwenye Chip
Nje
RAM
EMIF
IP
4.5.2.1. Mtiririko wa Usanifu wa Vifaa
Sehemu ifuatayo inaelezea mbinu ya hatua kwa hatua ya kujenga mfumo wa bootable kwa ajili ya programu ya kichakataji cha Nios V kutoka kwa On-Chip Flash. Example hapa chini imejengwa kwa kutumia kifaa cha MAX 10.
Mipangilio ya Sehemu ya IP
1. Unda mradi wako wa kichakataji cha Nios V kwa kutumia Quartus Prime na Platform Designer. 2. Hakikisha RAM ya nje au Kumbukumbu ya On-Chip (OCRAM) imeongezwa kwenye Mfumo wako
Mfumo wa mbunifu.
Tuma Maoni
Kitabu cha 59 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
4. Usanidi wa Kichakata cha Nios V na Suluhisho za Uanzishaji 726952 | 2025.07.16
Kielelezo 34. Kutample Viunganisho vya IP katika Mbuni wa Mfumo wa Kuanzisha Nios V kutoka kwa OnChip Flash (UFM)
3. Katika kihariri cha kigezo cha On-Chip cha IP, weka Hali ya Usanidi kwa mojawapo ya yafuatayo, kulingana na upendeleo wako wa muundo: · Picha Moja Isiyobanwa · Picha Moja Iliyobanwa · Picha Moja Isiyobanwa na Uanzishaji wa Kumbukumbu · Picha Moja Iliyobanwa na Uanzishaji wa Kumbukumbu.
Kwa maelezo zaidi kuhusu Picha Zilizobanwa Mara mbili, rejelea Mwongozo wa Mtumiaji wa Usanidi wa MAX 10 FPGA - Uboreshaji wa Mfumo wa Mbali.
Kumbuka:
Ni lazima ukabidhi Ufikiaji Uliofichwa kwa kila maeneo ya CFM katika IP ya On-Chip Flash.
Kielelezo 35. Uteuzi wa Njia ya Usanidi katika Mhariri wa Parameta ya On-Chip
Mipangilio ya IP ya On-Chip - Uanzishaji wa UFM Unaweza kuchagua mojawapo ya njia zifuatazo kulingana na upendeleo wako:
Kitabu cha 60 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
Tuma Maoni
4. Usanidi wa Kichakata cha Nios V na Suluhisho za Uanzishaji 726952 | 2025.07.16
Kumbuka:
Hatua katika vijisehemu vidogo vinavyofuata (Mtiririko wa Usanifu wa Programu na Upangaji) hutegemea uteuzi utakaofanya hapa.
· Mbinu ya 1: Anzisha data ya UFM katika SOF wakati wa ujumuishaji
Quartus Prime inajumuisha data ya uanzishaji wa UFM katika SOF wakati wa ujumuishaji. Urejeshaji wa SOF unahitajika ikiwa kuna mabadiliko katika data ya UFM.
1. Angalia Anzisha maudhui ya mmweko na Wezesha uanzishaji usio chaguomsingi file.
Kielelezo 36. Anzisha Yaliyomo kwenye Flash na Wezesha Uanzishaji Usio chaguomsingi File
2. Taja njia ya .hex iliyozalishwa file (kutoka kwa amri ya elf2hex) katika Mtumiaji iliyoundwa hex au mif file.
Kielelezo 37. Kuongeza .hex File Njia
· Mbinu ya 2: Kuchanganya data ya UFM na SOF iliyokusanywa wakati wa kutengeneza POF
Data ya UFM imejumuishwa na SOF iliyokusanywa wakati wa kubadilisha programu files. Huna haja ya kukusanya tena SOF, hata kama data ya UFM itabadilika. Wakati wa ukuzaji, sio lazima urudishe SOF files kwa mabadiliko katika programu. Alternarependekeza njia hii kwa wasanidi programu.
1. Batilisha uteuzi Anzisha maudhui ya mmweko..
Kielelezo 38. Anzisha Maudhui ya Mweko na Uanzishaji Usio chaguomsingi File
Weka Upya Mipangilio ya Wakala kwa Mbinu ya Utekelezaji-Mahali ya Kichakataji cha Nios V
1. Katika kihariri cha parameta ya kichakataji cha Nios V, weka Wakala wa Weka Upya kwa Flash On-Chip.
Mchoro 39. Mipangilio ya Kihariri cha Parameta ya Kichakata cha Nios V yenye Wakala wa Kuweka Upya Imewekwa kwa Mwako wa On-Chip.
2. Bofya Tengeneza HDL wakati sanduku la mazungumzo la Kizazi linapoonekana. 3. Bainisha pato file chaguzi za kizazi na ubofye Unda.
Tuma Maoni
Kitabu cha 61 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
4. Usanidi wa Kichakata cha Nios V na Suluhisho za Uanzishaji 726952 | 2025.07.16
Quartus Prime Software Settings 1. In the Quartus Prime software, click Assignments Device Device and Pin
Options Configuration. Set the Configuration mode according to the setting in On-Chip Flash IP. Figure 40. Configuration Mode Selection in Quartus Prime Software
2. Bofya Sawa ili kuondoka kwenye dirisha la Chaguo za Kifaa na Bani,
3. Bofya Sawa ili kuondoka kwenye dirisha la Kifaa.
4. Click Processing Start Compilation to compile your project and generate the .sof file.
Kumbuka:
Ikiwa mpangilio wa modi ya usanidi katika programu ya Quartus Prime na kihariri kigezo cha Kiunda Mfumo wa Mfumo ni tofauti, mradi wa Quartus Prime hautafaulu kwa ujumbe ufuatao wa hitilafu.
Kielelezo cha 41.
Ujumbe wa Hitilafu kwa Hitilafu ya Kuweka Modi Tofauti ya Usanidi (14740): Hali ya usanidi kwenye atom “q_sys:q_sys_inst| altera_onchip_flash:onchip_flash_1|altera_onchip_flash_block: altera_onchip_flash_block|ufm_block” hailingani na mpangilio wa mradi. Sasisha na utengeneze upya mfumo wa Qsys ili ulingane na mpangilio wa mradi.
Taarifa Husika Mwongozo wa Mtumiaji wa Usanidi wa MAX 10 FPGA
4.5.2.2. Mtiririko wa Usanifu wa Programu
Sehemu hii hutoa mtiririko wa kubuni ili kuzalisha na kujenga mradi wa programu ya kichakataji cha Nios V. Ili kuhakikisha mtiririko wa muundo ulioratibiwa, unahimizwa kuunda mti wa saraka sawa katika mradi wako wa muundo. Mtiririko ufuatao wa muundo wa programu unategemea mti huu wa saraka.
Ili kuunda mti wa saraka ya mradi wa programu, fuata hatua hizi: 1. Katika folda yako ya mradi wa kubuni, unda folda inayoitwa programu. 2. Katika folda ya programu, unda folda mbili zinazoitwa hal_app na hal_bsp.
Kielelezo 42. Mti wa Saraka ya Mradi wa Programu
Kitabu cha 62 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
Tuma Maoni
4. Usanidi wa Kichakata cha Nios V na Suluhisho za Uanzishaji 726952 | 2025.07.16
Kuunda Mradi wa BSP wa Maombi
Ili kuzindua Kihariri cha BSP, fuata hatua hizi: 1. Ingiza Shell ya Amri ya Nios V. 2. Omba Kihariri cha BSP kwa amri ya niosv-bsp-editor. 3. Katika Mhariri wa BSP, bofya File BSP mpya ya kuanzisha mradi wako wa BSP. 4. Sanidi mipangilio ifuatayo:
· Taarifa za SOPC File jina: Toa SOPCINFO file (.sopcinfo). · Jina la CPU: Chagua kichakataji cha Nios V. · Mfumo wa uendeshaji: Chagua mfumo wa uendeshaji wa kichakataji cha Nios V. · Toleo: Ondoka kama chaguo-msingi. · Saraka inayolengwa ya BSP: Chagua njia ya saraka ya mradi wa BSP. Unaweza
iweke mapema /software/hal_bsp kwa kuwezesha Tumia maeneo chaguomsingi. · Mipangilio ya BSP File jina: Andika jina la Mipangilio ya BSP File. · Hati za ziada za Tcl: Toa hati ya BSP Tcl kwa kuwezesha Hati ya Ziada ya Tcl. 5. Bonyeza Sawa.
Kielelezo 43. Sanidi BSP Mpya
Kusanidi Kihariri cha BSP na Kuzalisha Mradi wa BSP
You can define the processor’s exception vector either in On-Chip Memory (OCRAM) or On-Chip Flash based on your design preference. Setting the exception vector memory to OCRAM/External RAM is recommended to make the interrupt processing faster. 1. Go to Main Settings Advanced hal.linker. 2. If you select On-Chip Flash as exception vector,
a. Washa mipangilio ifuatayo:
Tuma Maoni
Kitabu cha 63 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
4. Usanidi wa Kichakata cha Nios V na Suluhisho za Uanzishaji 726952 | 2025.07.16
· allow_code_at_reset · enable_alt_load · enable_alt_load_copy_rodata · enable_alt_load_copy_rwdata Kielelezo 44. Mipangilio ya Advanced.hal.linker
b. Bofya kwenye kichupo cha Hati ya Kiungo kwenye Kihariri cha BSP. c. Weka maeneo ya .vighairi na .maandishi katika Jina la Sehemu ya Kiungo
Mwako wa On-Chip. d. Weka maeneo mengine katika orodha ya Jina la Sehemu ya Kiungo kwenye On-Chip
Kumbukumbu (OCRAM) au RAM ya nje.
Mchoro 45. Mipangilio ya Eneo la Kiungo (Kumbukumbu ya Vekta Isipokuwa: Mwako wa On-Chip)
3. Ukichagua OCRAM/RAM ya Nje kama vekta ya kipekee, a. Washa mipangilio ifuatayo: · allow_code_at_reset · enable_alt_load · enable_alt_load_copy_rodata · enable_alt_load_copy_rwdata · enable_alt_load_copy_isipokuwa
Mchoro 46. Mipangilio ya Eneo la Kiungo (Kumbukumbu ya Vekta Isiyokuwa: OCRAM/RAM ya Nje)
b. Bofya kwenye kichupo cha Hati ya Kiungo kwenye Kihariri cha BSP.
c. Weka maeneo ya.maandishi katika Jina la Sehemu ya Kiunganishi kwa Flash On-Chip.
d. Weka maeneo mengine katika orodha ya Jina la Sehemu ya Kiungo kwenye Kumbukumbu ya On-Chip (OCRAM) au RAM ya nje.
Kitabu cha 64 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
Tuma Maoni
4. Usanidi wa Kichakata cha Nios V na Suluhisho za Uanzishaji 726952 | 2025.07.16
Kielelezo 47. Mipangilio ya Kanda ya Kiungo (Kumbukumbu ya Vekta Isipokuwa: OCRAM)
4. Bofya Tengeneza ili kuzalisha mradi wa BSP. Inazalisha Mradi wa Maombi ya Mtumiaji File 1. Nenda kwenye folda ya programu/hal_app na uunde chanzo chako cha programu
kanuni. 2. Zindua Shell ya Amri ya Nios V. 3. Tekeleza amri iliyo hapa chini ili kuzalisha programu CMakeLists.txt.
niosv-app –app-dir=software/hal_app –bsp-dir=software/hal_bsp –srcs=software/hal_app/<user application>
Kuunda Mradi wa Maombi ya Mtumiaji Unaweza kuchagua kuunda mradi wa maombi ya mtumiaji kwa kutumia Ashling RiscFree IDE kwa Altera FPGAs au kupitia kiolesura cha mstari wa amri (CLI). Ikiwa ungependa kutumia CLI, unaweza kuunda programu ya mtumiaji kwa kutumia amri ifuatayo: cmake -G "Unix Makefiles” -B programu/hal_app/build -S programu/hal_app make -C programu/hal_app/build
Programu (.elf) file imeundwa katika folda ya programu/hal_app/build. Inazalisha HEX File Lazima utengeneze .hex file kutoka kwa maombi yako .elf file, ili uweze kuunda .pof file yanafaa kwa ajili ya programu ya vifaa. 1. Zindua Shell ya Amri ya Nios V. 2. Kwa uanzishaji wa programu ya kichakataji cha Nios V kutoka kwenye Flash ya On-Chip, tumia zifuatazo
mstari wa amri kubadilisha ELF kuwa HEX kwa programu yako. Amri hii inaunda programu ya mtumiaji (onchip_flash.hex) file. programu ya elf2hex/hal_app/build/ .elf -o onchip_flash.hex
-b <base address of On-Chip Flash UFM region> -w 8 -e <end address of On-Chip Flash UFM region> 3. Recompile the hardware design if you check Initialize memory content option in On-Chip Flash IP (Method 1). This is to include the software data (.HEX) in the SOF file.
Tuma Maoni
Kitabu cha 65 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
4. Usanidi wa Kichakata cha Nios V na Suluhisho za Uanzishaji 726952 | 2025.07.16
4.5.2.3. Kupanga programu 1. Katika Quartus Prime, bofya File Badilisha Upangaji Files. 2. Chini ya upangaji wa Pato file, chagua Kitu cha Programu File (.pof) kama Kupanga file aina. 3. Weka Hali kwa Usanidi wa Ndani.
Kielelezo 48. Badilisha Programu File Mipangilio
4. Bofya Chaguzi/Maelezo ya Kuwasha…, dirisha la Chaguzi za Kifaa MAX 10 linaonekana. 5. Kulingana na Anzisha mipangilio ya maudhui ya flash katika IP ya On-chip Flash, fanya
mojawapo ya hatua zifuatazo: · Iwapo Anzisha maudhui ya mmweko yataangaliwa (Njia ya 1), data ya uanzishaji wa UFM.
ilijumuishwa katika SOF wakati wa mkusanyiko waQuartus Prime. — Chagua Ukurasa_0 kwa chanzo cha UFM: chaguo. Bofya Sawa na uendelee
ijayo. Mchoro 49. Kuweka Ukurasa_0 kwa Chanzo cha UFM kama Kuanzisha Maudhui ya Mweko kumechaguliwa
Kitabu cha 66 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
Tuma Maoni
4. Usanidi wa Kichakata cha Nios V na Suluhisho za Uanzishaji 726952 | 2025.07.16
· Ikiwa Anzisha maudhui ya mmweko hayajaangaliwa (Njia ya 2), chagua Pakia kumbukumbu file kwa chaguo la chanzo cha UFM. Vinjari kwenye HEX ya Flash ya On-chip iliyotolewa file (onchip_flash.hex) kwenye File njia: na ubonyeze Sawa. Hatua hii inaongeza data ya UFM kando kwa SOF file wakati wa programu file uongofu.
Kielelezo 50. Kuweka Kumbukumbu ya Mzigo File kwa Chanzo cha UFM ikiwa Anzisha Maudhui ya Flash haijaangaliwa
6. Katika Convert Programming File kisanduku cha mazungumzo, kwenye Ingizo files kubadilisha sehemu, bofya Ongeza File… na uelekeze kwenye Quartus Prime .sof iliyotolewa file.
Kielelezo 51. Pembejeo Files ya Kubadilisha katika Upangaji wa Kubadilisha Files kwa Hali ya Picha Moja
7. Bofya Tengeneza ili kuunda .pof file. 8. Panga .pof file kwenye kifaa chako cha MAX 10. 9. Nguvu mzunguko wa maunzi yako.
4.5.3. Programu ya Kichakata cha Nios V Imenakiliwa kutoka UFM hadi RAM kwa kutumia Kinakili Kiwashi
Altera anapendekeza suluhisho hili kwa miundo ya kichakata MAX 10 FPGA Nios V ambapo marudio mengi ya uundaji programu ya programu na utendaji wa juu wa mfumo unahitajika. Kinakili cha boot iko ndani ya UFM kwa kukabiliana ambayo ni anwani sawa na vekta ya kuweka upya. Programu ya Nios V iko karibu na kiigaji cha boot.
Kwa chaguo hili la kuwasha, kichakataji cha Nios V huanza kutekeleza kiigaji cha boot baada ya kuweka upya mfumo ili kunakili programu kutoka kwa sekta ya UFM hadi OCRAM au RAM ya nje. Mara baada ya kunakili kukamilika, kichakataji cha Nios V huhamisha udhibiti wa programu kwenye programu.
Kumbuka:
Kiigaji cha boot kilichotumiwa ni sawa na Bootloader kupitia GSFI.
Tuma Maoni
Kitabu cha 67 cha Muundo wa Kichakata Kilichopachikwa cha Nios® V
4. Usanidi wa Kichakata cha Nios V na Suluhisho za Uanzishaji 726952 | 2025.07.16
Mchoro 52. Nios V Maombi Imenakiliwa kutoka UFM hadi RAM kwa kutumia Boot Copier
Upeo wa Kifaa 10
.POF
Vifaa vya Nios V .SOF
Programu ya Nios V .HEX
Bootloader .SREC
Programu ya Quartus
RAM ya nje
Nios V Programu
Mweko wa On-Chip
CFM
Nios V Hardwa
Nyaraka / Rasilimali
![]() |
altera Nios V Kichakata Kilichopachikwa [pdf] Mwongozo wa Mtumiaji Nios V, Nios Vm, Nios Vg, Nios Vc, Nios V Kichakata Kilichopachikwa, Nios V, Kichakataji Kilichopachikwa, Kichakataji |