altera Nios V Embedded Processor
விவரக்குறிப்புகள்
- தயாரிப்பு பெயர்: நியோஸ் வி செயலி
- Software Compatibility: Quartus Prime Software and Platform Designer
- செயலி வகை: ஆல்டெரா FPGA
- நினைவக அமைப்பு: ஆவியாகும் மற்றும் ஆவியாகாத நினைவகம்
- தொடர்பு இடைமுகம்: UART முகவர்
Nios V Processor Hardware System Design
To design the Nios V Processor hardware system, follow these steps:
- Create Nios V Processor system design using Platform Designer.
- குவார்டஸ் பிரைம் திட்டத்தில் அமைப்பை ஒருங்கிணைக்கவும்.
- Design memory system including volatile and non-volatile memory.
- கடிகாரங்கள் மற்றும் மீட்டமைப்புகளை சிறந்த நடைமுறைகளைச் செயல்படுத்துதல்.
- திறமையான செயல்பாட்டிற்கு இயல்புநிலை மற்றும் UART முகவர்களை ஒதுக்குங்கள்.
Nios V Processor Software System Design
நியோஸ் வி செயலிக்கான மென்பொருள் அமைப்பை வடிவமைக்க:
- நியோஸ் வி செயலிக்கான மென்பொருள் மேம்பாட்டு ஓட்டத்தைப் பின்பற்றவும்.
- Create Board Support Package Project and Application Project.
Nios V Processor Configuration and Booting Solutions
நியோஸ் வி செயலியை உள்ளமைத்து துவக்குவதற்கு:
- Understand the introduction to configuration and booting solutions.
- தடையற்ற செயல்பாட்டிற்கான இணைப்பு பயன்பாடுகள்.
About the Nios® V Embedded Processor
1.1. Altera® FPGA மற்றும் உட்பொதிக்கப்பட்ட செயலிகள் முடிந்துவிட்டனview
ஆல்டெரா FPGA சாதனங்கள் பல விருப்பங்களை வழங்கும் அதே வேளையில் முழுமையான நுண்செயலியாக செயல்படும் தர்க்கத்தை செயல்படுத்த முடியும்.
தனித்துவமான நுண்செயலிகளுக்கும் ஆல்டெரா FPGA க்கும் இடையிலான ஒரு முக்கியமான வேறுபாடு என்னவென்றால், ஆல்டெரா FPGA துணி சக்தியை இயக்கும்போது எந்த தர்க்கத்தையும் கொண்டிருக்கவில்லை. Nios® V செயலி என்பது RISC-V விவரக்குறிப்பை அடிப்படையாகக் கொண்ட ஒரு மென்மையான அறிவுசார் சொத்து (IP) செயலி ஆகும். நீங்கள் ஒரு நியோஸ் V செயலி அடிப்படையிலான அமைப்பில் மென்பொருளை இயக்குவதற்கு முன், நீங்கள் ஒரு நியோஸ் V செயலியைக் கொண்ட வன்பொருள் வடிவமைப்புடன் ஆல்டெரா FPGA சாதனத்தை உள்ளமைக்க வேண்டும். வடிவமைப்பின் தேவைகளைப் பொறுத்து, ஆல்டெரா FPGA இல் எங்கும் நியோஸ் V செயலியை வைக்கலாம்.
உங்கள் Altera® FPGA IP-அடிப்படையிலான உட்பொதிக்கப்பட்ட அமைப்பை ஒரு தனித்த நுண்செயலி அடிப்படையிலான அமைப்பாகச் செயல்படச் செய்ய, உங்கள் கணினியில் பின்வருவன அடங்கும்: · AJTAG Altera FPGA உள்ளமைவு, வன்பொருள் மற்றும் மென்பொருளை ஆதரிக்கும் இடைமுகம்.
பிழைத்திருத்தம் · ஒரு பவர்-அப் ஆல்டெரா FPGA உள்ளமைவு வழிமுறை
உங்கள் கணினியில் இந்த திறன்கள் இருந்தால், Altera FPGA இல் ஏற்றப்பட்ட முன் சோதிக்கப்பட்ட வன்பொருள் வடிவமைப்பிலிருந்து உங்கள் வடிவமைப்பைச் செம்மைப்படுத்தத் தொடங்கலாம். Altera FPGA ஐப் பயன்படுத்துவது சிக்கல்களைத் தீர்க்க அல்லது புதிய செயல்பாட்டைச் சேர்க்க உங்கள் வடிவமைப்பை விரைவாக மாற்றியமைக்க உங்களை அனுமதிக்கிறது. உங்கள் கணினியின் J ஐப் பயன்படுத்தி Altera FPGA ஐ மறுகட்டமைப்பதன் மூலம் இந்த புதிய வன்பொருள் வடிவமைப்புகளை எளிதாக சோதிக்கலாம்.TAG இடைமுகம்.
ஜேTAG இடைமுகம் வன்பொருள் மற்றும் மென்பொருள் மேம்பாட்டை ஆதரிக்கிறது. J ஐப் பயன்படுத்தி பின்வரும் பணிகளை நீங்கள் செய்யலாம்.TAG இடைமுகம்: · Altera FPGA ஐ உள்ளமைக்கவும் · மென்பொருளைப் பதிவிறக்கி பிழைத்திருத்தவும் · UART போன்ற இடைமுகம் மூலம் Altera FPGA உடன் தொடர்பு கொள்ளவும் (JTAG UART
முனையம்) · பிழைத்திருத்த வன்பொருள் (சிக்னல் டேப் உட்பொதிக்கப்பட்ட லாஜிக் பகுப்பாய்வியுடன்) · நிரல் ஃபிளாஷ் நினைவகம்
நீங்கள் Altera FPGA-வை Nios V செயலி அடிப்படையிலான வடிவமைப்புடன் உள்ளமைத்த பிறகு, மென்பொருள் மேம்பாட்டு ஓட்டம் தனித்த மைக்ரோகண்ட்ரோலர் வடிவமைப்புகளுக்கான ஓட்டத்தைப் போன்றது.
தொடர்புடைய தகவல் · AN 985: நியோஸ் V செயலி பயிற்சி
ஒரு எளிய நியோஸ் V செயலி அமைப்பை உருவாக்கி ஹலோ வேர்ல்ட் பயன்பாட்டை இயக்குவது பற்றிய ஒரு விரைவு தொடக்க வழிகாட்டி.
© ஆல்டெரா கார்ப்பரேஷன். ஆல்டெரா, ஆல்டெரா லோகோ, `a' லோகோ மற்றும் பிற ஆல்டெரா முத்திரைகள் ஆல்டெரா கார்ப்பரேஷனின் வர்த்தக முத்திரைகள். எந்த நேரத்திலும் எந்த தயாரிப்புகள் மற்றும் சேவைகளிலும் அறிவிப்பு இல்லாமல் மாற்றங்களைச் செய்யும் உரிமையை ஆல்டெரா கொண்டுள்ளது. ஆல்டெராவால் எழுத்துப்பூர்வமாக வெளிப்படையாக ஒப்புக் கொள்ளப்பட்டதைத் தவிர, இங்கு விவரிக்கப்பட்டுள்ள எந்தவொரு தகவல், தயாரிப்பு அல்லது சேவையின் பயன்பாடு அல்லது பயன்பாட்டிலிருந்து எழும் எந்தப் பொறுப்பையும் பொறுப்பையும் ஆல்டெரா ஏற்காது. வெளியிடப்பட்ட எந்தவொரு தகவலையும் நம்புவதற்கு முன்பும், தயாரிப்புகள் அல்லது சேவைகளுக்கான ஆர்டர்களை வழங்குவதற்கு முன்பும் சாதன விவரக்குறிப்புகளின் சமீபத்திய பதிப்பைப் பெற ஆல்டெரா வாடிக்கையாளர்கள் அறிவுறுத்தப்படுகிறார்கள். *பிற பெயர்கள் மற்றும் பிராண்டுகள் மற்றவர்களின் சொத்தாகக் கோரப்படலாம்.
1. Nios® V உட்பொதிக்கப்பட்ட செயலி 726952 பற்றி | 2025.07.16
· நியோஸ் வி செயலி குறிப்பு கையேடு நியோஸ் வி செயலி செயல்திறன் வரையறைகள், செயலி கட்டமைப்பு, நிரலாக்க மாதிரி மற்றும் மைய செயல்படுத்தல் பற்றிய தகவல்களை வழங்குகிறது.
· உட்பொதிக்கப்பட்ட சாதனங்கள் IP பயனர் வழிகாட்டி · நியோஸ் V செயலி மென்பொருள் உருவாக்குநர் கையேடு
நியோஸ் V செயலி மென்பொருள் மேம்பாட்டு சூழல், கிடைக்கக்கூடிய கருவிகள் மற்றும் நியோஸ் V செயலியில் இயங்குவதற்கான மென்பொருளை உருவாக்கும் செயல்முறை ஆகியவற்றை விவரிக்கிறது. · ஆல்டெரா FPGA களுக்கான ஆஷ்லிங்* ரிஸ்க்ஃப்ரீ* ஒருங்கிணைந்த மேம்பாட்டு சூழல் (IDE) பயனர் வழிகாட்டி ஆல்டெரா FPGA களுக்கான ஆர்ம்* அடிப்படையிலான HPS மற்றும் நியோஸ் V கோர் செயலிக்கான ரிஸ்கிஃப்ரீ* ஒருங்கிணைந்த மேம்பாட்டு சூழலை (IDE) விவரிக்கிறது. · நியோஸ் V செயலி ஆல்டெரா FPGA IP வெளியீட்டு குறிப்புகள்
1.2 Quartus® Prime மென்பொருள் ஆதரவு
Quartus® Prime Pro Edition மென்பொருளுக்கும் Quartus Prime Standard Edition மென்பொருளுக்கும் Nios V செயலி உருவாக்க ஓட்டம் வேறுபட்டது. வேறுபாடுகள் பற்றிய கூடுதல் தகவலுக்கு AN 980: Nios V செயலி Quartus Prime மென்பொருள் ஆதரவைப் பார்க்கவும்.
தொடர்புடைய தகவல் AN 980: நியோஸ் V செயலி குவார்டஸ் பிரைம் மென்பொருள் ஆதரவு
1.3. நியோஸ் V செயலி உரிமம்
ஒவ்வொரு நியோஸ் வி செயலி மாறுபாட்டிற்கும் அதன் சொந்த உரிம விசை உள்ளது. உரிம விசையைப் பெற்றவுடன், காலாவதி தேதி வரை அனைத்து நியோஸ் வி செயலி திட்டங்களுக்கும் அதே உரிம விசையைப் பயன்படுத்தலாம். நீங்கள் நியோஸ் வி செயலி ஆல்டெரா FPGA IP உரிமங்களை எந்த விலையிலும் பெற முடியாது.
Nios V செயலி உரிம விசை பட்டியல் Altera FPGA சுய சேவை உரிம மையத்தில் கிடைக்கிறது. மதிப்பீட்டிற்காக பதிவு செய்யவும் அல்லது இலவச உரிமம் தாவலைக் கிளிக் செய்து, கோரிக்கையைச் செய்ய தொடர்புடைய விருப்பங்களைத் தேர்ந்தெடுக்கவும்.
படம் 1. ஆல்டெரா FPGA சுய சேவை உரிம மையம்
உரிம விசைகளைப் பயன்படுத்தி, நீங்கள்:
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 7
1. Nios® V உட்பொதிக்கப்பட்ட செயலி 726952 பற்றி | 2025.07.16
· உங்கள் கணினியில் ஒரு நியோஸ் V செயலியை செயல்படுத்தவும். · நியோஸ் V செயலி அமைப்பின் நடத்தையை உருவகப்படுத்தவும். · அளவு மற்றும் வேகம் போன்ற வடிவமைப்பின் செயல்பாட்டைச் சரிபார்க்கவும். · சாதன நிரலாக்கத்தை உருவாக்கவும். file· ஒரு சாதனத்தை நிரல் செய்து வன்பொருளில் வடிவமைப்பைச் சரிபார்க்கவும்.
Altera FPGAக்களுக்கான Ashling* RiscFree* IDE இல் மென்பொருளை உருவாக்க உங்களுக்கு உரிமம் தேவையில்லை.
தொடர்புடைய தகவல் · Altera FPGA சுய சேவை உரிம மையம்
Nios V செயலி Altera FPGA IP உரிம விசைகளைப் பெறுவது பற்றிய கூடுதல் தகவலுக்கு. · Altera FPGA மென்பொருள் நிறுவல் மற்றும் உரிமம் Altera FPGA மென்பொருளுக்கு உரிமம் வழங்குவது மற்றும் நிலையான உரிமம் மற்றும் நெட்வொர்க் உரிம சேவையகத்தை அமைப்பது பற்றிய கூடுதல் தகவலுக்கு.
1.4. உட்பொதிக்கப்பட்ட அமைப்பு வடிவமைப்பு
பின்வரும் படம் வன்பொருள் மற்றும் மென்பொருள் மேம்பாடு இரண்டையும் உள்ளடக்கிய எளிமைப்படுத்தப்பட்ட நியோஸ் வி செயலி அடிப்படையிலான அமைப்பு வடிவமைப்பு ஓட்டத்தை விளக்குகிறது.
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 8
கருத்தை அனுப்பவும்
1. Nios® V உட்பொதிக்கப்பட்ட செயலி 726952 பற்றி | 2025.07.16
படம் 2.
நியோஸ் V செயலி அமைப்பு வடிவமைப்பு ஓட்டம்
கணினி கருத்து
கணினி தேவைகளை பகுப்பாய்வு செய்யுங்கள்
நியோஸ்® வி
செயலி கோர்கள் மற்றும் நிலையான கூறுகள்
அமைப்பை வரையறுத்து உருவாக்கு
மேடை வடிவமைப்பாளர்
வன்பொருள் ஓட்டம்: இன்டெல் குவார்டஸ் பிரைம் திட்டத்தை ஒருங்கிணைத்து தொகுக்கவும்
மென்பொருள் ஓட்டம்: நியோஸ் V முன்மொழிவு மென்பொருளை உருவாக்கி உருவாக்குங்கள்
வன்பொருள் ஓட்டம்: FPGA வடிவமைப்பைப் பதிவிறக்கவும்
இலக்கு வாரியத்திற்கு
மென்பொருள் ஓட்டம்: நியோஸ் V செயலி மென்பொருளைச் சோதித்து பிழைத்திருத்தம் செய்யவும்.
மென்பொருள் விவரக்குறிப்புக்கு ஏற்றதா?
ஆம்
வன்பொருள் விவரக்குறிப்புக்கு ஏற்றதா? ஆம்
கணினி முடிந்தது
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 9
726952 | 2025.07.16 கருத்தை அனுப்பவும்
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் இயங்குதள வடிவமைப்பாளருடன் நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு.
படம் 3.
பின்வரும் வரைபடம் ஒரு பொதுவான நியோஸ் V செயலி வன்பொருள் வடிவமைப்பை விளக்குகிறது. நியோஸ் V செயலி அமைப்பு வன்பொருள் வடிவமைப்பு ஓட்டம்
தொடங்கு
நியோஸ் வி கோர்கள் மற்றும் நிலையான கூறுகள்
நியோஸ் V அடிப்படையிலான அமைப்பை வடிவமைக்க பிளாட்ஃபார்ம் டிசைனரைப் பயன்படுத்தவும்.
பிளாட்ஃபார்ம் டிசைனர் டிசைனை உருவாக்குங்கள்
இன்டெல் குவார்டஸ் பிரைம் திட்டத்துடன் பிளாட்ஃபார்ம் டிசைனர் சிஸ்டத்தை ஒருங்கிணைக்கவும்.
பின் இருப்பிடங்கள், நேரத் தேவைகள் மற்றும் பிற வடிவமைப்புக் கட்டுப்பாடுகளை ஒதுக்கவும்.
இன்டெல் குவார்டஸ் பிரைமில் இலக்கு சாதனத்திற்கான வன்பொருளைத் தொகுக்கவும்.
பதிவிறக்கத் தயார்
2.1. பிளாட்ஃபார்ம் டிசைனருடன் நியோஸ் V செயலி அமைப்பு வடிவமைப்பை உருவாக்குதல்.
குவார்டஸ் பிரைம் மென்பொருளில் பிளாட்ஃபார்ம் டிசைனர் சிஸ்டம் ஒருங்கிணைப்பு கருவி உள்ளது, இது நியோஸ் V செயலி ஐபி கோர் மற்றும் பிற ஐபிகளை ஆல்டெரா எஃப்பிஜிஏ சிஸ்டம் டிசைனில் வரையறுத்து ஒருங்கிணைக்கும் பணியை எளிதாக்குகிறது. பிளாட்ஃபார்ம் டிசைனர் குறிப்பிட்ட உயர்-நிலை இணைப்பிலிருந்து இன்டர்கனெக்ட் லாஜிக்கை தானாகவே உருவாக்குகிறது. இன்டர்கனெக்ட் ஆட்டோமேஷன் சிஸ்டம்-லெவல் HDL இணைப்புகளைக் குறிப்பிடும் நேரத்தை எடுத்துக்கொள்ளும் பணியை நீக்குகிறது.
© ஆல்டெரா கார்ப்பரேஷன். ஆல்டெரா, ஆல்டெரா லோகோ, `a' லோகோ மற்றும் பிற ஆல்டெரா முத்திரைகள் ஆல்டெரா கார்ப்பரேஷனின் வர்த்தக முத்திரைகள். எந்த நேரத்திலும் எந்த தயாரிப்புகள் மற்றும் சேவைகளிலும் அறிவிப்பு இல்லாமல் மாற்றங்களைச் செய்யும் உரிமையை ஆல்டெரா கொண்டுள்ளது. ஆல்டெராவால் எழுத்துப்பூர்வமாக வெளிப்படையாக ஒப்புக் கொள்ளப்பட்டதைத் தவிர, இங்கு விவரிக்கப்பட்டுள்ள எந்தவொரு தகவல், தயாரிப்பு அல்லது சேவையின் பயன்பாடு அல்லது பயன்பாட்டிலிருந்து எழும் எந்தப் பொறுப்பையும் பொறுப்பையும் ஆல்டெரா ஏற்காது. வெளியிடப்பட்ட எந்தவொரு தகவலையும் நம்புவதற்கு முன்பும், தயாரிப்புகள் அல்லது சேவைகளுக்கான ஆர்டர்களை வழங்குவதற்கு முன்பும் சாதன விவரக்குறிப்புகளின் சமீபத்திய பதிப்பைப் பெற ஆல்டெரா வாடிக்கையாளர்கள் அறிவுறுத்தப்படுகிறார்கள். *பிற பெயர்கள் மற்றும் பிராண்டுகள் மற்றவர்களின் சொத்தாகக் கோரப்படலாம்.
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் இயங்குதள வடிவமைப்பாளருடன் நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு.
726952 | 2025.07.16
கணினி வன்பொருள் தேவைகளை பகுப்பாய்வு செய்த பிறகு, உங்கள் கணினிக்குத் தேவையான Nios V செயலி கோர், நினைவகம் மற்றும் பிற கூறுகளைக் குறிப்பிட நீங்கள் Quartus Prime ஐப் பயன்படுத்துகிறீர்கள். வன்பொருள் அமைப்பில் உள்ள கூறுகளை ஒருங்கிணைக்க, இயங்குதள வடிவமைப்பாளர் தானாகவே இடைக்கணிப்பு தர்க்கத்தை உருவாக்குகிறார்.
2.1.1. நியோஸ் V செயலி ஆல்டெரா FPGA IP ஐ நிறுவுதல்
You can instantiate any of the processor IP cores in Platform Designer IP Catalog Processors and Peripherals Embedded Processors.
ஒவ்வொரு செயலியின் ஐபி மையமும் அதன் தனித்துவமான கட்டமைப்பின் அடிப்படையில் வெவ்வேறு உள்ளமைவு விருப்பங்களை ஆதரிக்கிறது. உங்கள் வடிவமைப்புத் தேவைகளுக்கு ஏற்றவாறு இந்த உள்ளமைவுகளை நீங்கள் வரையறுக்கலாம்.
அட்டவணை 1.
முக்கிய மாறுபாடுகள் முழுவதும் உள்ளமைவு விருப்பங்கள்
கட்டமைப்பு விருப்பங்கள்
நியோஸ் வி/சி செயலி
நியோஸ் வி/எம் செயலி
பிழைத்திருத்த பயன்பாட்டு மீட்டமைப்பு கோரிக்கை
—
பொறிகள், விதிவிலக்குகள் மற்றும் குறுக்கீடுகள்
CPU கட்டிடக்கலை
ECC
தற்காலிக சேமிப்புகள், புறப் பகுதிகள் மற்றும் TCMகள்
—
—
தனிப்பயன் வழிமுறைகள்
—
—
லாக்ஸ்டெப்
—
—
நியோஸ் வி/ஜி செயலி
2.1.1.1. நியோஸ் வி/சி காம்பாக்ட் மைக்ரோகண்ட்ரோலர் ஆல்டெரா எஃப்பிஜிஏ ஐபியை நிறுவுதல் படம் 4. நியோஸ் வி/சி காம்பாக்ட் மைக்ரோகண்ட்ரோலர் ஆல்டெரா எஃப்பிஜிஏ ஐபி
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 11
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் இயங்குதள வடிவமைப்பாளருடன் நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு.
726952 | 2025.07.16
2.1.1.1.1. CPU கட்டமைப்பு தாவல்
அட்டவணை 2.
CPU கட்டமைப்பு தாவல்
அம்சம்
விளக்கம்
Avalon® இடைமுகத்தை இயக்கு அறிவுறுத்தல் மேலாளர் மற்றும் தரவு மேலாளருக்கான Avalon இடைமுகத்தை இயக்குகிறது. முடக்கப்பட்டிருந்தால், கணினி AXI4-Lite இடைமுகத்தைப் பயன்படுத்துகிறது.
mhartid CSR மதிப்பு
· தவறான IP விருப்பம். · Nios V/c செயலியில் mhartid CSR மதிப்பைப் பயன்படுத்த வேண்டாம்.
2.1.1.1.2. மீட்டமை கோரிக்கை தாவலைப் பயன்படுத்தவும்
அட்டவணை 3.
மீட்டமை கோரிக்கை தாவல் அளவுருவைப் பயன்படுத்தவும்
மீட்டமை கோரிக்கை தாவலைப் பயன்படுத்தவும்
விளக்கம்
மீட்டமை கோரிக்கை இடைமுகத்தைச் சேர்க்கவும்
· Nios V செயலி அமைப்பில் உள்ள பிற கூறுகளைப் பாதிக்காமல் Nios V செயலியை மீட்டமைக்கத் தூண்டுவதற்கு உள்ளூர் மாஸ்டர் இதைப் பயன்படுத்தக்கூடிய உள்ளூர் மீட்டமைப்பு போர்ட்களை வெளிப்படுத்த இந்த விருப்பத்தை இயக்கவும்.
· மீட்டமைப்பு இடைமுகம் ஒரு உள்ளீட்டு மீட்டமைப்பு சமிக்ஞையையும் வெளியீட்டு உறுதிப்படுத்தல் சமிக்ஞையையும் கொண்டுள்ளது.
· resetreq சிக்னலை உறுதிப்படுத்துவதன் மூலம் Nios V செயலி மையத்திற்கு மீட்டமைப்பைக் கோரலாம்.
· செயலி ack signal ஐ உறுதிப்படுத்தும் வரை resetreq சமிக்ஞை உறுதிப்படுத்தப்பட வேண்டும். சமிக்ஞை உறுதிப்படுத்தப்படாமல் இருப்பது செயலியை தீர்மானிக்க முடியாத நிலையில் இருக்கச் செய்யலாம்.
· Nios V செயலி, ack signal-ஐ உறுதிப்படுத்துவதன் மூலம் மீட்டமைப்பு வெற்றிகரமாக இருப்பதாக பதிலளிக்கிறது.
· செயலி வெற்றிகரமாக மீட்டமைக்கப்பட்ட பிறகு, ரீசெட்ரெக் சிக்னலின் உறுதிப்படுத்தல் நீக்கப்படும் வரை, அக் சிக்னலின் உறுதிப்படுத்தல் அவ்வப்போது பல முறை நிகழலாம்.
2.1.1.1.3. பொறிகள், விதிவிலக்குகள் மற்றும் குறுக்கீடுகள் தாவல்
அட்டவணை 4.
பொறிகள், விதிவிலக்குகள் மற்றும் குறுக்கீடுகள் தாவல் அளவுருக்கள்
பொறிகள், விதிவிலக்குகள் மற்றும் குறுக்கீடுகள்
விளக்கம்
முகவரை மீட்டமை
· மீட்டமைப்பு குறியீடு இருக்கும் மீட்டமைப்பு திசையனை (நியோஸ் V செயலி மீட்டமைப்பு முகவரி) வழங்கும் நினைவகம்.
· நீங்கள் Nios V செயலி அறிவுறுத்தல் மாஸ்டருடன் இணைக்கப்பட்டு, Nios V செயலி துவக்க ஓட்டத்தால் ஆதரிக்கப்படும் எந்த நினைவக தொகுதியையும் மீட்டமைப்பு முகவராகத் தேர்ந்தெடுக்கலாம்.
ஆஃப்செட்டை மீட்டமை
· தேர்ந்தெடுக்கப்பட்ட மீட்டமைப்பு முகவரின் அடிப்படை முகவரியுடன் தொடர்புடைய மீட்டமைப்பு திசையனின் ஆஃப்செட்டைக் குறிப்பிடுகிறது. · பிளாட்ஃபார்ம் டிசைனர் தானாகவே மீட்டமைப்பு ஆஃப்செட்டுக்கான இயல்புநிலை மதிப்பை வழங்குகிறது.
குறிப்பு:
பிளாட்ஃபார்ம் டிசைனர் ஒரு அப்சலூட் விருப்பத்தை வழங்குகிறது, இது ரீசெட் ஆஃப்செட்டில் ஒரு அப்சலூட் முகவரியைக் குறிப்பிட உங்களை அனுமதிக்கிறது. மீட்டமைப்பு வெக்டரைச் சேமிக்கும் நினைவகம் செயலி அமைப்பு மற்றும் துணை அமைப்புகளுக்கு வெளியே அமைந்திருக்கும் போது இந்த விருப்பத்தைப் பயன்படுத்தவும்.
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 12
கருத்தை அனுப்பவும்
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் இயங்குதள வடிவமைப்பாளருடன் நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு.
726952 | 2025.07.16
2.1.1.1.4. ECC தாவல்
அட்டவணை 5.
ECC தாவல்
ECC
பிழை கண்டறிதல் மற்றும் நிலை அறிக்கையிடலை இயக்கு
விளக்கம்
· Nios V செயலி உள் RAM தொகுதிகளுக்கு ECC அம்சத்தைப் பயன்படுத்த இந்த விருப்பத்தை இயக்கவும். · ECC அம்சங்கள் 2-பிட் பிழைகளைக் கண்டறிந்து பின்வரும் நடத்தையின் அடிப்படையில் செயல்படுகின்றன:
— இது சரிசெய்யக்கூடிய 1-பிட் பிழையாக இருந்தால், செயலி குழாய்வழியில் பிழையை சரிசெய்த பிறகு செயலி தொடர்ந்து இயங்குகிறது. இருப்பினும், திருத்தம் மூல நினைவகங்களில் பிரதிபலிக்காது.
— பிழை சரிசெய்ய முடியாததாக இருந்தால், செயலி பைப்லைன் மற்றும் மூல நினைவகங்களில் அதை சரிசெய்யாமல் செயலி தொடர்ந்து செயல்படும், இது செயலி ஒரு தீர்மானிக்கப்படாத நிலைக்குச் செல்ல காரணமாக இருக்கலாம்.
2.1.1.2. நியோஸ் V/m மைக்ரோகண்ட்ரோலர் ஆல்டெரா FPGA IP ஐ நிறுவுதல் படம் 5. நியோஸ் V/m மைக்ரோகண்ட்ரோலர் ஆல்டெரா FPGA IP
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 13
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் இயங்குதள வடிவமைப்பாளருடன் நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு.
726952 | 2025.07.16
2.1.1.2.1. பிழைத்திருத்த தாவல்
அட்டவணை 6.
பிழைத்திருத்த தாவல் அளவுருக்கள்
பிழைத்திருத்த தாவல்
விளக்கம்
பிழைத்திருத்தத்தை இயக்கு
பிழைத்திருத்த தொகுதியிலிருந்து மீட்டமைப்பை இயக்கு
· J ஐ சேர்க்க இந்த விருப்பத்தை இயக்கவும்TAG நியோஸ் V செயலிக்கு இணைப்பு தொகுதியை இலக்கு வைக்கவும். · ஜேTAG இலக்கு இணைப்பு தொகுதி, நியோஸ் V செயலியுடன் இணைக்க அனுமதிக்கிறது
JTAG FPGA இன் இடைமுக ஊசிகள். · இணைப்பு பின்வரும் அடிப்படை திறன்களை வழங்குகிறது:
— Nios V செயலியைத் தொடங்கி நிறுத்துங்கள் — பதிவேடுகள் மற்றும் நினைவகத்தை ஆராய்ந்து திருத்தவும். — Nios V பயன்பாட்டைப் பதிவிறக்கவும் .elf file இயக்க நேரத்தில் செயலி நினைவகத்திற்கு
niosv-பதிவிறக்கம். — Nios V செயலியில் இயங்கும் பயன்பாட்டை பிழைத்திருத்தம் செய்யவும் · dm_agent போர்ட்டை செயலி அறிவுறுத்தல் மற்றும் தரவு பஸ்ஸுடன் இணைக்கவும். இரண்டு பேருந்துகளுக்கும் இடையிலான அடிப்படை முகவரி ஒரே மாதிரியாக இருப்பதை உறுதிசெய்யவும்.
· dbg_reset_out மற்றும் ndm_reset_in போர்ட்களை வெளிப்படுத்த இந்த விருப்பத்தை இயக்கவும். · JTAG பிழைத்திருத்தி அல்லது niosv-download -r கட்டளை dbg_reset_out ஐத் தூண்டுகிறது, இது
இந்த போர்ட்டுடன் இணைக்கும் கணினி புறச்சாதனங்களை மீட்டமைக்க Nios V செயலியை அனுமதிக்கிறது. · மீட்டமைப்பதற்கு பதிலாக dbg_reset_out இடைமுகத்தை ndm_reset_in உடன் இணைக்க வேண்டும்.
இடைமுகம் செயலி கோர் மற்றும் டைமர் தொகுதிக்கு மீட்டமைப்பைத் தூண்டுகிறது. நிச்சயமற்ற நடத்தையைத் தடுக்க இடைமுகத்தை மீட்டமைக்க dbg_reset_out இடைமுகத்தை இணைக்கக்கூடாது.
2.1.1.2.2. மீட்டமை கோரிக்கை தாவலைப் பயன்படுத்தவும்
அட்டவணை 7.
மீட்டமை கோரிக்கை தாவல் அளவுருவைப் பயன்படுத்தவும்
மீட்டமை கோரிக்கை தாவலைப் பயன்படுத்தவும்
விளக்கம்
மீட்டமை கோரிக்கை இடைமுகத்தைச் சேர்க்கவும்
· Nios V செயலி அமைப்பில் உள்ள பிற கூறுகளைப் பாதிக்காமல் Nios V செயலியை மீட்டமைக்கத் தூண்டுவதற்கு உள்ளூர் மாஸ்டர் இதைப் பயன்படுத்தக்கூடிய உள்ளூர் மீட்டமைப்பு போர்ட்களை வெளிப்படுத்த இந்த விருப்பத்தை இயக்கவும்.
· மீட்டமைப்பு இடைமுகம் ஒரு உள்ளீட்டு மீட்டமைப்பு சமிக்ஞையையும் வெளியீட்டு உறுதிப்படுத்தல் சமிக்ஞையையும் கொண்டுள்ளது.
· resetreq சிக்னலை உறுதிப்படுத்துவதன் மூலம் Nios V செயலி மையத்திற்கு மீட்டமைப்பைக் கோரலாம்.
· செயலி ack signal ஐ உறுதிப்படுத்தும் வரை resetreq சமிக்ஞை உறுதிப்படுத்தப்பட வேண்டும். சமிக்ஞை உறுதிப்படுத்தப்படாமல் இருப்பது செயலியை தீர்மானிக்க முடியாத நிலையில் இருக்கச் செய்யலாம்.
· பிழைத்திருத்த பயன்முறையில் resetreq சமிக்ஞையை வலியுறுத்துவது செயலியின் நிலையில் எந்த விளைவையும் ஏற்படுத்தாது.
· Nios V செயலி, ack signal-ஐ உறுதிப்படுத்துவதன் மூலம் மீட்டமைப்பு வெற்றிகரமாக இருப்பதாக பதிலளிக்கிறது.
· செயலி வெற்றிகரமாக மீட்டமைக்கப்பட்ட பிறகு, ரீசெட்ரெக் சிக்னலின் உறுதிப்படுத்தல் நீக்கப்படும் வரை, அக் சிக்னலின் உறுதிப்படுத்தல் அவ்வப்போது பல முறை நிகழலாம்.
2.1.1.2.3. பொறிகள், விதிவிலக்குகள் மற்றும் குறுக்கீடுகள் தாவல்
அட்டவணை 8.
பொறிகள், விதிவிலக்குகள் மற்றும் குறுக்கீடுகள் தாவல்
பொறிகள், விதிவிலக்குகள் மற்றும் குறுக்கீடுகள் தாவல்
விளக்கம்
முகவரை மீட்டமை
· மீட்டமைப்பு குறியீடு இருக்கும் மீட்டமைப்பு திசையனை (நியோஸ் V செயலி மீட்டமைப்பு முகவரி) வழங்கும் நினைவகம்.
· நீங்கள் Nios V செயலி அறிவுறுத்தல் மாஸ்டருடன் இணைக்கப்பட்டு, Nios V செயலி துவக்க ஓட்டத்தால் ஆதரிக்கப்படும் எந்த நினைவக தொகுதியையும் மீட்டமைப்பு முகவராகத் தேர்ந்தெடுக்கலாம்.
ஆஃப்செட் குறுக்கீடு பயன்முறையை மீட்டமைக்கவும்
· தேர்ந்தெடுக்கப்பட்ட மீட்டமைப்பு முகவரின் அடிப்படை முகவரியுடன் தொடர்புடைய மீட்டமைப்பு திசையனின் ஆஃப்செட்டைக் குறிப்பிடுகிறது. · பிளாட்ஃபார்ம் டிசைனர் தானாகவே மீட்டமைப்பு ஆஃப்செட்டுக்கான இயல்புநிலை மதிப்பை வழங்குகிறது.
நேரடி அல்லது வெக்டர்டு குறுக்கீடு கட்டுப்படுத்தியின் வகையைக் குறிப்பிடவும். குறிப்பு: நியோஸ் V/m குழாய் இணைப்பு இல்லாத செயலி வெக்டர்டு குறுக்கீடுகளை ஆதரிக்காது.
எனவே, செயலி குழாய் இணைப்பு இல்லாத பயன்முறையில் இருக்கும்போது வெக்டர்டு குறுக்கீடு பயன்முறையைப் பயன்படுத்துவதைத் தவிர்க்கவும்.
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 14
கருத்தை அனுப்பவும்
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் இயங்குதள வடிவமைப்பாளருடன் நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு.
726952 | 2025.07.16
குறிப்பு:
பிளாட்ஃபார்ம் டிசைனர் ஒரு அப்சலூட் விருப்பத்தை வழங்குகிறது, இது ரீசெட் ஆஃப்செட்டில் ஒரு அப்சலூட் முகவரியைக் குறிப்பிட உங்களை அனுமதிக்கிறது. மீட்டமைப்பு வெக்டரைச் சேமிக்கும் நினைவகம் செயலி அமைப்பு மற்றும் துணை அமைப்புகளுக்கு வெளியே அமைந்திருக்கும் போது இந்த விருப்பத்தைப் பயன்படுத்தவும்.
2.1.1.2.4. CPU கட்டமைப்பு
அட்டவணை 9.
CPU கட்டமைப்பு தாவல் அளவுருக்கள்
CPU கட்டிடக்கலை
விளக்கம்
CPU-வில் பைப்லைனிங்கை இயக்கு.
· குழாய் பதிக்கப்பட்ட நியோஸ் V/m செயலியை உடனடிப்படுத்த இந்த விருப்பத்தை இயக்கவும். — அதிக லாஜிக் பகுதி மற்றும் குறைந்த Fmax அதிர்வெண் ஆகியவற்றின் விலையில் IPC அதிகமாக உள்ளது.
· குழாய் இணைப்பு இல்லாத நியோஸ் வி/எம் செயலியை உடனடிப்படுத்த இந்த விருப்பத்தை முடக்கவும். — நியோஸ் வி/சி செயலியைப் போன்ற முக்கிய செயல்திறனைக் கொண்டுள்ளது. — பிழைத்திருத்தம் மற்றும் குறுக்கீடு திறனை ஆதரிக்கிறது — குறைந்த ஐபிசியின் விலையில் குறைந்த லாஜிக் பகுதி மற்றும் அதிக எஃப்மேக்ஸ் அதிர்வெண்.
அவலோன் இடைமுகத்தை இயக்கு
அறிவுறுத்தல் மேலாளர் மற்றும் தரவு மேலாளருக்கான அவலோன் இடைமுகத்தை இயக்குகிறது. முடக்கப்பட்டால், கணினி AXI4-Lite இடைமுகத்தைப் பயன்படுத்துகிறது.
mhartid CSR மதிப்பு
· ஹார்ட் ஐடி பதிவு (mhartid) மதிப்பு முன்னிருப்பாக 0 ஆகும். · 0 மற்றும் 4094 க்கு இடையில் ஒரு மதிப்பை ஒதுக்கவும். · Altera FPGA Avalon Mutex Core HAL API உடன் இணக்கமானது.
தொடர்புடைய தகவல் உட்பொதிக்கப்பட்ட புற IP பயனர் வழிகாட்டி - Intel FPGA Avalon® Mutex Core
2.1.1.2.5. ECC தாவல்
அட்டவணை 10. ECC தாவல்
ECC பிழை கண்டறிதல் மற்றும் நிலை அறிக்கையிடலை இயக்கு.
விளக்கம்
· Nios V செயலி உள் RAM தொகுதிகளுக்கு ECC அம்சத்தைப் பயன்படுத்த இந்த விருப்பத்தை இயக்கவும். · ECC அம்சங்கள் 2-பிட் பிழைகளைக் கண்டறிந்து பின்வரும் நடத்தையின் அடிப்படையில் செயல்படுகின்றன:
— இது சரிசெய்யக்கூடிய 1-பிட் பிழையாக இருந்தால், செயலி குழாய்வழியில் பிழையை சரிசெய்த பிறகு செயலி தொடர்ந்து இயங்குகிறது. இருப்பினும், திருத்தம் மூல நினைவகங்களில் பிரதிபலிக்காது.
— பிழை சரிசெய்ய முடியாததாக இருந்தால், செயலி பைப்லைன் மற்றும் மூல நினைவகங்களில் அதை சரிசெய்யாமல் செயலி தொடர்ந்து செயல்படும், இது செயலி ஒரு தீர்மானிக்கப்படாத நிலைக்குச் செல்ல காரணமாக இருக்கலாம்.
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 15
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் இயங்குதள வடிவமைப்பாளருடன் நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு.
726952 | 2025.07.16
2.1.1.3. நியோஸ் V/g பொது நோக்க செயலி ஆல்டெரா FPGA IP ஐ நிறுவுதல்
படம் 6. நியோஸ் V/g பொது நோக்க செயலி ஆல்டெரா FPGA IP – பகுதி 1
படம் 7.
Nios V/g பொது நோக்க செயலி Altera FPGA IP – பகுதி 2 (மைய நிலை குறுக்கீடு கட்டுப்படுத்தியை இயக்கு என்பதை முடக்கு)
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 16
கருத்தை அனுப்பவும்
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் இயங்குதள வடிவமைப்பாளருடன் நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு.
726952 | 2025.07.16
படம் 8.
Nios V/g பொது நோக்க செயலி Altera FPGA IP – பகுதி 2 (மைய நிலை குறுக்கீடு கட்டுப்படுத்தியை இயக்கு)
படம் 9. நியோஸ் V/g பொது நோக்க செயலி ஆல்டெரா FPGA IP – பகுதி 3
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 17
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் இயங்குதள வடிவமைப்பாளருடன் நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு.
726952 | 2025.07.16
படம் 10. நியோஸ் V/g பொது நோக்க செயலி ஆல்டெரா FPGA IP – பகுதி 4
2.1.1.3.1. CPU கட்டமைப்பு
அட்டவணை 11. CPU கட்டமைப்பு அளவுருக்கள்
CPU கட்டமைப்பு தாவல் மிதக்கும் புள்ளி அலகை இயக்கு
விளக்கம் செயலி மையத்தில் மிதக்கும்-புள்ளி அலகு ("F" நீட்டிப்பு) சேர்க்க இந்த விருப்பத்தை இயக்கவும்.
கிளை முன்னறிவிப்பை இயக்கு
கிளை வழிமுறைகளுக்கு நிலையான கிளை முன்னறிவிப்பை (பின்னோக்கி எடுக்கப்பட்டது மற்றும் முன்னோக்கி எடுக்கப்படவில்லை) இயக்கவும்.
mhartid CSR மதிப்பு
· ஹார்ட் ஐடி பதிவு (mhartid) மதிப்பு முன்னிருப்பாக 0 ஆகும். · 0 மற்றும் 4094 க்கு இடையில் ஒரு மதிப்பை ஒதுக்கவும். · Altera FPGA Avalon Mutex Core HAL API உடன் இணக்கமானது.
FPU-க்கான FSQRT & FDIV வழிமுறைகளை முடக்கு.
· FPU-வில் மிதக்கும்-புள்ளி வர்க்கமூலம் (FSQRT) மற்றும் மிதக்கும்-புள்ளி வகுத்தல் (FDIV) செயல்பாடுகளை நீக்கவும்.
· இயக்க நேரத்தில் இரண்டு வழிமுறைகளிலும் மென்பொருள் எமுலேஷனைப் பயன்படுத்துங்கள்.
தொடர்புடைய தகவல் உட்பொதிக்கப்பட்ட புற IP பயனர் வழிகாட்டி - Intel FPGA Avalon® Mutex Core
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 18
கருத்தை அனுப்பவும்
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் இயங்குதள வடிவமைப்பாளருடன் நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு.
726952 | 2025.07.16
2.1.1.3.2. பிழைத்திருத்த தாவல்
அட்டவணை 12. பிழைத்திருத்த தாவல் அளவுருக்கள்
பிழைத்திருத்த தாவல்
விளக்கம்
பிழைத்திருத்தத்தை இயக்கு
பிழைத்திருத்த தொகுதியிலிருந்து மீட்டமைப்பை இயக்கு
· J ஐ சேர்க்க இந்த விருப்பத்தை இயக்கவும்TAG நியோஸ் V செயலிக்கு இணைப்பு தொகுதியை இலக்கு வைக்கவும். · ஜேTAG இலக்கு இணைப்பு தொகுதி, நியோஸ் V செயலியுடன் இணைக்க அனுமதிக்கிறது
JTAG FPGA இன் இடைமுக ஊசிகள். · இணைப்பு பின்வரும் அடிப்படை திறன்களை வழங்குகிறது:
— Nios V செயலியைத் தொடங்கி நிறுத்துங்கள் — பதிவேடுகள் மற்றும் நினைவகத்தை ஆராய்ந்து திருத்தவும். — Nios V பயன்பாட்டைப் பதிவிறக்கவும் .elf file இயக்க நேரத்தில் செயலி நினைவகத்திற்கு
niosv-பதிவிறக்கம். — Nios V செயலியில் இயங்கும் பயன்பாட்டை பிழைத்திருத்தம் செய்யவும் · dm_agent போர்ட்டை செயலி அறிவுறுத்தல் மற்றும் தரவு பஸ்ஸுடன் இணைக்கவும். இரண்டு பேருந்துகளுக்கும் இடையிலான அடிப்படை முகவரி ஒரே மாதிரியாக இருப்பதை உறுதிசெய்யவும்.
· dbg_reset_out மற்றும் ndm_reset_in போர்ட்களை வெளிப்படுத்த இந்த விருப்பத்தை இயக்கவும். · JTAG பிழைத்திருத்தி அல்லது niosv-download -r கட்டளை dbg_reset_out ஐத் தூண்டுகிறது, இது
இந்த போர்ட்டுடன் இணைக்கும் கணினி புறச்சாதனங்களை மீட்டமைக்க Nios V செயலியை அனுமதிக்கிறது. · மீட்டமைப்பதற்கு பதிலாக dbg_reset_out இடைமுகத்தை ndm_reset_in உடன் இணைக்க வேண்டும்.
இடைமுகம் செயலி கோர் மற்றும் டைமர் தொகுதிக்கு மீட்டமைப்பைத் தூண்டுகிறது. நிச்சயமற்ற நடத்தையைத் தடுக்க இடைமுகத்தை மீட்டமைக்க dbg_reset_out இடைமுகத்தை இணைக்கக்கூடாது.
2.1.1.3.3. லாக்ஸ்டெப் டேப் டேபிள் 13. லாக்ஸ்டெப் டேப்
அளவுருக்கள் Lockstep இயல்புநிலை நேர முடிவின் காலத்தை இயக்கு நீட்டிக்கப்பட்ட மீட்டமைப்பு இடைமுகத்தை இயக்கு
விளக்கம் · இரட்டை மைய Lockstep அமைப்பை இயக்கு. · மீட்டமை வெளியேறும்போது நிரல்படுத்தக்கூடிய நேர முடிவின் இயல்புநிலை மதிப்பு (0 மற்றும் 255 க்கு இடையில்). · நீட்டிக்கப்பட்ட மீட்டமைப்பு கட்டுப்பாட்டிற்கான விருப்ப நீட்டிக்கப்பட்ட மீட்டமைப்பு இடைமுகத்தை இயக்கு. · முடக்கப்பட்டிருக்கும் போது, fRSmartComp அடிப்படை மீட்டமைப்பு கட்டுப்பாட்டை செயல்படுத்துகிறது.
2.1.1.3.4. மீட்டமை கோரிக்கை தாவலைப் பயன்படுத்தவும்
அட்டவணை 14. மீட்டமை கோரிக்கை தாவல் அளவுருவைப் பயன்படுத்தவும்
மீட்டமை கோரிக்கை தாவலைப் பயன்படுத்தவும்
விளக்கம்
மீட்டமை கோரிக்கை இடைமுகத்தைச் சேர்க்கவும்
· Nios V செயலி அமைப்பில் உள்ள பிற கூறுகளைப் பாதிக்காமல் Nios V செயலியை மீட்டமைக்கத் தூண்டுவதற்கு உள்ளூர் மாஸ்டர் இதைப் பயன்படுத்தக்கூடிய உள்ளூர் மீட்டமைப்பு போர்ட்களை வெளிப்படுத்த இந்த விருப்பத்தை இயக்கவும்.
· மீட்டமைப்பு இடைமுகம் ஒரு உள்ளீட்டு மீட்டமைப்பு சமிக்ஞையையும் வெளியீட்டு உறுதிப்படுத்தல் சமிக்ஞையையும் கொண்டுள்ளது.
· resetreq சிக்னலை உறுதிப்படுத்துவதன் மூலம் Nios V செயலி மையத்திற்கு மீட்டமைப்பைக் கோரலாம்.
· செயலி ack signal ஐ உறுதிப்படுத்தும் வரை resetreq சமிக்ஞை உறுதிப்படுத்தப்பட வேண்டும். சமிக்ஞை உறுதிப்படுத்தப்படாமல் இருப்பது செயலியை தீர்மானிக்க முடியாத நிலையில் இருக்கச் செய்யலாம்.
· பிழைத்திருத்த பயன்முறையில் resetreq சமிக்ஞையை வலியுறுத்துவது செயலியின் நிலையில் எந்த விளைவையும் ஏற்படுத்தாது.
· Nios V செயலி, ack signal-ஐ உறுதிப்படுத்துவதன் மூலம் மீட்டமைப்பு வெற்றிகரமாக இருப்பதாக பதிலளிக்கிறது.
· செயலி வெற்றிகரமாக மீட்டமைக்கப்பட்ட பிறகு, ரீசெட்ரெக் சிக்னலின் உறுதிப்படுத்தல் நீக்கப்படும் வரை, அக் சிக்னலின் உறுதிப்படுத்தல் அவ்வப்போது பல முறை நிகழலாம்.
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 19
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் இயங்குதள வடிவமைப்பாளருடன் நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு.
726952 | 2025.07.16
2.1.1.3.5. பொறிகள், விதிவிலக்குகள் மற்றும் குறுக்கீடுகள் தாவல்
அட்டவணை 15.
கோர் லெவல் இன்டரப்ட் கன்ட்ரோலரை இயக்கு முடக்கப்பட்டிருக்கும் போது, ட்ராப்ஸ், விதிவிலக்குகள் மற்றும் இன்டரப்ட்ஸ் தாவல்
பொறிகள், விதிவிலக்குகள் மற்றும் குறுக்கீடுகள் தாவல்
முகவரை மீட்டமை
விளக்கம்
· மீட்டமைப்பு குறியீடு இருக்கும் மீட்டமைப்பு திசையனை (நியோஸ் V செயலி மீட்டமைப்பு முகவரி) வழங்கும் நினைவகம்.
· நீங்கள் Nios V செயலி அறிவுறுத்தல் மாஸ்டருடன் இணைக்கப்பட்டு, Nios V செயலி துவக்க ஓட்டத்தால் ஆதரிக்கப்படும் எந்த நினைவக தொகுதியையும் மீட்டமைப்பு முகவராகத் தேர்ந்தெடுக்கலாம்.
ஆஃப்செட்டை மீட்டமை
· தேர்ந்தெடுக்கப்பட்ட மீட்டமைப்பு முகவரின் அடிப்படை முகவரியுடன் தொடர்புடைய மீட்டமைப்பு திசையனின் ஆஃப்செட்டைக் குறிப்பிடுகிறது. · பிளாட்ஃபார்ம் டிசைனர் தானாகவே மீட்டமைப்பு ஆஃப்செட்டுக்கான இயல்புநிலை மதிப்பை வழங்குகிறது.
கோர் லெவல் இன்டரப்ட் கன்ட்ரோலரை (CLIC) இயக்கு.
· முன்கூட்டியே குறுக்கிடுதல்கள் மற்றும் உள்ளமைக்கக்கூடிய குறுக்கீடு தூண்டுதல் நிலையை ஆதரிக்க CLIC ஐ இயக்கவும்.
· இயக்கப்படும்போது, நீங்கள் இயங்குதள குறுக்கீடுகளின் எண்ணிக்கையை உள்ளமைக்கலாம், தூண்டுதல் நிபந்தனைகளை அமைக்கலாம் மற்றும் சில குறுக்கீடுகளை முன்கூட்டியே நியமிக்கலாம்.
குறுக்கீடு பயன்முறை நிழல் பதிவு Files
குறுக்கீடு வகைகளை நேரடி அல்லது வெக்டர்டு என குறிப்பிடவும். குறுக்கீட்டின் போது சூழல் மாறுதலைக் குறைக்க நிழல் பதிவேட்டை இயக்கவும்.
அட்டவணை 16.
கோர் லெவல் இன்டரப்ட் கன்ட்ரோலரை இயக்கும்போது ஏற்படும் பொறிகள், விதிவிலக்குகள் மற்றும் குறுக்கீடுகள்
பொறிகள், விதிவிலக்குகள் மற்றும் குறுக்கீடுகள்
விளக்கங்கள்
முகவரை மீட்டமை
ஆஃப்செட்டை மீட்டமை
கோர் லெவல் இன்டரப்ட் கன்ட்ரோலரை (CLIC) இயக்கு.
· மீட்டமைப்பு குறியீடு இருக்கும் மீட்டமைப்பு திசையனை (நியோஸ் V செயலி மீட்டமைப்பு முகவரி) வழங்கும் நினைவகம்.
· நீங்கள் Nios V செயலி அறிவுறுத்தல் மாஸ்டருடன் இணைக்கப்பட்டு, Nios V செயலி துவக்க ஓட்டத்தால் ஆதரிக்கப்படும் எந்த நினைவக தொகுதியையும் மீட்டமைப்பு முகவராகத் தேர்ந்தெடுக்கலாம்.
· தேர்ந்தெடுக்கப்பட்ட மீட்டமைப்பு முகவரின் அடிப்படை முகவரியுடன் தொடர்புடைய மீட்டமைப்பு திசையனின் ஆஃப்செட்டைக் குறிப்பிடுகிறது. · பிளாட்ஃபார்ம் டிசைனர் தானாகவே மீட்டமைப்பு ஆஃப்செட்டுக்கான இயல்புநிலை மதிப்பை வழங்குகிறது.
· CLIC-ஐ முன்கூட்டிய குறுக்கீடுகளையும் உள்ளமைக்கக்கூடிய குறுக்கீடு தூண்டுதல் நிலையையும் ஆதரிக்க இயக்கவும். · இயக்கப்படும்போது, நீங்கள் இயங்குதள குறுக்கீடுகளின் எண்ணிக்கையை உள்ளமைக்கலாம், தூண்டுதல் நிலைகளை அமைக்கலாம்,
மேலும் சில குறுக்கீடுகளை முன்கூட்டியே தடுப்பதாக குறிப்பிடவும்.
குறுக்கீடு முறை
· குறுக்கீடு வகைகளை நேரடி, வெக்டர்டு அல்லது CLIC என குறிப்பிடவும்.
நிழல் பதிவு Files
· குறுக்கீடு செய்யும்போது சூழல் மாறுதலைக் குறைக்க நிழல் பதிவேட்டை இயக்கவும்.
· இரண்டு அணுகுமுறைகளை வழங்குகிறது:
— CLIC குறுக்கீடு நிலைகளின் எண்ணிக்கை
— CLIC குறுக்கீடு நிலைகளின் எண்ணிக்கை – 1: பதிவேட்டின் எண்ணிக்கையை நீங்கள் விரும்பும் போது இந்த விருப்பம் பயனுள்ளதாக இருக்கும். file M20K அல்லது M9K தொகுதிகளின் சரியான எண்ணிக்கையில் பொருந்தக்கூடிய பிரதிகள்.
· நிழல் பதிவேட்டைப் பயன்படுத்த நியோஸ் V செயலியை இயக்கவும். fileகுறுக்கீடுகளின் போது சூழல் மாறுதல் மேல்நிலையைக் குறைக்கும் s.
நிழல் பதிவேடு பற்றிய கூடுதல் தகவலுக்கு files, Nios V செயலி குறிப்பு கையேட்டைப் பார்க்கவும்.
பிளாட்ஃபார்ம் குறுக்கீடு மூலங்களின் எண்ணிக்கை
· 16 முதல் 2048 வரையிலான இயங்குதள குறுக்கீட்டின் எண்ணிக்கையைக் குறிப்பிடுகிறது.
குறிப்பு: CLIC 2064 குறுக்கீடு உள்ளீடுகளை ஆதரிக்கிறது, மேலும் முதல் 16 குறுக்கீடு உள்ளீடுகளும் அடிப்படை குறுக்கீடு கட்டுப்படுத்தியுடன் இணைக்கப்பட்டுள்ளன.
CLIC வெக்டார் அட்டவணை சீரமைப்பு
· இயங்குதள குறுக்கீடு மூலங்களின் எண்ணிக்கையின் அடிப்படையில் தானாகவே தீர்மானிக்கப்படுகிறது. · பரிந்துரைக்கப்பட்ட மதிப்பிற்குக் கீழே உள்ள சீரமைப்பைப் பயன்படுத்தினால், CLIC தர்க்கத்தை அதிகரிக்கிறது.
வெக்டரிங் கணக்கீடுகளைச் செய்ய கூடுதல் சேர்க்கையைச் சேர்ப்பதன் மூலம் சிக்கலானது. · பரிந்துரைக்கப்பட்ட மதிப்பிற்குக் கீழே உள்ள ஒரு சீரமைப்பைப் பயன்படுத்தினால், இது அதிகரிக்கும்.
CLIC இல் தர்க்க சிக்கலானது.
தொடர்ந்தது…
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 20
கருத்தை அனுப்பவும்
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் இயங்குதள வடிவமைப்பாளருடன் நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு.
726952 | 2025.07.16
பொறிகள், விதிவிலக்குகள் மற்றும் குறுக்கீடுகள்
குறுக்கீடு நிலைகளின் எண்ணிக்கை
ஒரு நிலைக்கு குறுக்கீடு முன்னுரிமைகளின் எண்ணிக்கை
கட்டமைக்கக்கூடிய குறுக்கீடு துருவமுனைப்பு ஆதரவு விளிம்பு தூண்டப்பட்ட குறுக்கீடுகளை
விளக்கங்கள்
· பயன்பாட்டுக் குறியீட்டிற்கான கூடுதல் நிலை 0 உடன் குறுக்கீடு நிலைகளின் எண்ணிக்கையைக் குறிப்பிடுகிறது. உயர் மட்டத்தின் குறுக்கீடுகள் கீழ்-நிலை குறுக்கீட்டிற்கான இயங்கும் கையாளுபவரை குறுக்கிடலாம் (முன்கூட்டியே).
· குறுக்கீடுகளுக்கான ஒரே விருப்பமாக பூஜ்ஜியமற்ற குறுக்கீடு நிலைகள் இருப்பதால், பயன்பாட்டுக் குறியீடு எப்போதும் மிகக் குறைந்த நிலை 0 இல் இருக்கும். குறிப்பு: ஒரு குறுக்கீட்டிற்கான நிலையின் இயக்க நேர உள்ளமைவு மற்றும் முன்னுரிமை ஒற்றை 8-பிட் பதிவேட்டில் செய்யப்படுகிறது. குறுக்கீடு நிலைகளின் எண்ணிக்கை 256 ஆக இருந்தால், இயக்க நேரத்தில் குறுக்கீடு முன்னுரிமையை உள்ளமைக்க முடியாது. இல்லையெனில், உள்ளமைக்கக்கூடிய முன்னுரிமைகளின் அதிகபட்ச எண்ணிக்கை 256 / (குறுக்கீடு நிலைகளின் எண்ணிக்கை - 1).
· முன்கூட்டியே நிறுத்தப்படாத குறுக்கீடு கையாளுபவர்கள் அழைக்கப்படும் வரிசையைத் தீர்மானிக்க CLIC பயன்படுத்தும் குறுக்கீடு முன்னுரிமைகளின் எண்ணிக்கையைக் குறிப்பிடுகிறது. குறிப்பு: தேர்ந்தெடுக்கப்பட்ட குறுக்கீடு நிலை மற்றும் தேர்ந்தெடுக்கப்பட்ட குறுக்கீடு முன்னுரிமையின் பைனரி மதிப்புகளின் இணைப்பு 8 பிட்களுக்கு குறைவாக இருக்க வேண்டும்.
· இயக்க நேரத்தில் குறுக்கீடு துருவமுனைப்பை உள்ளமைக்க உங்களை அனுமதிக்கிறது. · இயல்புநிலை துருவமுனைப்பு நேர்மறை துருவமுனைப்பு ஆகும்.
· இயக்க நேரத்தின் போது குறுக்கீடு தூண்டுதல் நிலையை உள்ளமைக்க உங்களை அனுமதிக்கிறது, அதாவது உயர்-நிலை தூண்டுதல் அல்லது நேர்மறை-விளிம்பு தூண்டுதல் (கட்டமைக்கக்கூடிய குறுக்கீடு துருவமுனைப்பில் குறுக்கீடு துருவமுனைப்பு நேர்மறையாக இருக்கும்போது).
· இயல்புநிலை தூண்டுதல் நிலை நிலை தூண்டப்பட்ட குறுக்கீடு ஆகும்.
குறிப்பு:
பிளாட்ஃபார்ம் டிசைனர் ஒரு அப்சலூட் விருப்பத்தை வழங்குகிறது, இது ரீசெட் ஆஃப்செட்டில் ஒரு அப்சலூட் முகவரியைக் குறிப்பிட உங்களை அனுமதிக்கிறது. மீட்டமைப்பு வெக்டரைச் சேமிக்கும் நினைவகம் செயலி அமைப்பு மற்றும் துணை அமைப்புகளுக்கு வெளியே அமைந்திருக்கும் போது இந்த விருப்பத்தைப் பயன்படுத்தவும்.
தொடர்புடைய தகவல் Nios® V செயலி குறிப்பு கையேடு
2.1.1.3.6. நினைவக உள்ளமைவுகள் தாவல்
அட்டவணை 17. நினைவக உள்ளமைவு தாவல் அளவுருக்கள்
வகை
நினைவக உள்ளமைவு தாவல்
விளக்கம்
தற்காலிக சேமிப்புகள்
தரவு தற்காலிக சேமிப்பு அளவு
· தரவு தற்காலிக சேமிப்பின் அளவைக் குறிப்பிடுகிறது. · செல்லுபடியாகும் அளவுகள் 0 கிலோபைட்டுகள் (KB) முதல் 16 KB வரை இருக்கும். · அளவு 0 KB ஆக இருக்கும்போது தரவு தற்காலிக சேமிப்பை அணைக்கவும்.
வழிமுறை கேச் அளவு
· அறிவுறுத்தல் தற்காலிக சேமிப்பின் அளவைக் குறிப்பிடுகிறது. · செல்லுபடியாகும் அளவுகள் 0 KB முதல் 16 KB வரை இருக்கும். · அளவு 0 KB ஆக இருக்கும்போது அறிவுறுத்தல் தற்காலிக சேமிப்பை அணைக்கவும்.
புற மண்டலம் A மற்றும் B
அளவு
· புறப் பகுதியின் அளவைக் குறிப்பிடுகிறது.
· செல்லுபடியாகும் அளவுகள் 64 KB முதல் 2 ஜிகாபைட்கள் (GB) வரை அல்லது எதுவுமில்லை. எதுவுமில்லை என்பதைத் தேர்ந்தெடுப்பது புறப் பகுதியை முடக்குகிறது.
அடிப்படை முகவரி
· அளவைத் தேர்ந்தெடுத்த பிறகு புறப் பகுதியின் அடிப்படை முகவரியைக் குறிப்பிடுகிறது.
· புறப் பகுதியில் உள்ள அனைத்து முகவரிகளும் தடைசெய்ய முடியாத தரவு அணுகல்களை உருவாக்குகின்றன.
· புற மண்டல அடிப்படை முகவரி புற மண்டல அளவிற்கு ஏற்ப சீரமைக்கப்பட வேண்டும்.
இறுக்கமாக இணைக்கப்பட்ட நினைவுகள்
அளவு
· இறுக்கமாக இணைக்கப்பட்ட நினைவகத்தின் அளவைக் குறிப்பிடுகிறது. — செல்லுபடியாகும் அளவுகள் 0 MB முதல் 512 MB வரை.
அடிப்படை முகவரி துவக்கம் File
· இறுக்கமாக இணைக்கப்பட்ட நினைவகத்தின் அடிப்படை முகவரியைக் குறிப்பிடுகிறது. · துவக்கத்தைக் குறிப்பிடுகிறது. file இறுக்கமாக இணைக்கப்பட்ட நினைவகத்திற்காக.
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 21
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் இயங்குதள வடிவமைப்பாளருடன் நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு.
726952 | 2025.07.16
குறிப்பு:
தற்காலிக சேமிப்பு இயக்கப்பட்ட Nios V செயலி அமைப்பில், நீங்கள் ஒரு புறப் பகுதிக்குள் கணினி புறச்சாதனங்களை வைக்க வேண்டும். UART, PIO, DMA மற்றும் பிற போன்ற புறச்சாதனங்களுக்கான தற்காலிக சேமிப்பு அல்லாத பரிவர்த்தனையை வரையறுக்க நீங்கள் புறச்சாதனப் பகுதிகளைப் பயன்படுத்தலாம்.
2.1.1.3.7. ECC தாவல்
அட்டவணை 18. ECC தாவல்
ECC பிழை கண்டறிதல் மற்றும் நிலை அறிக்கையிடலை இயக்கு.
ஒற்றை பிட் திருத்தத்தை இயக்கு
விளக்கம்
· Nios V செயலி உள் RAM தொகுதிகளுக்கு ECC அம்சத்தைப் பயன்படுத்த இந்த விருப்பத்தை இயக்கவும். · ECC அம்சங்கள் 2-பிட் பிழைகளைக் கண்டறிந்து பின்வரும் நடத்தையின் அடிப்படையில் செயல்படுகின்றன:
— இது சரிசெய்யக்கூடிய ஒற்றை பிட் பிழையாக இருந்து, ஒற்றை பிட் திருத்தத்தை இயக்கு என்பது அணைக்கப்பட்டிருந்தால், செயலி குழாய்வழியில் பிழையைச் சரிசெய்த பிறகு செயலி தொடர்ந்து இயங்கும். இருப்பினும், திருத்தம் மூல நினைவகங்களில் பிரதிபலிக்காது.
— இது சரிசெய்யக்கூடிய ஒற்றை பிட் பிழையாக இருந்து, ஒற்றை பிட் திருத்தத்தை இயக்கு இயக்கப்பட்டிருந்தால், செயலி குழாய் மற்றும் மூல நினைவகங்களில் உள்ள பிழையைச் சரிசெய்த பிறகு செயலி தொடர்ந்து இயங்கும்.
— அது சரிசெய்ய முடியாத பிழையாக இருந்தால், செயலி அதன் செயல்பாட்டை நிறுத்துகிறது.
மையத்தில் உட்பொதிக்கப்பட்ட நினைவகத் தொகுதிகளில் ஒற்றை பிட் திருத்தத்தை இயக்கு.
2.1.1.3.8. தனிப்பயன் வழிமுறை தாவல்
குறிப்பு:
இந்த டேப் நியோஸ் வி/ஜி செயலி மையத்திற்கு மட்டுமே கிடைக்கும்.
தனிப்பயன் வழிமுறை நியோஸ் V தனிப்பயன் வழிமுறை வன்பொருள் இடைமுக அட்டவணை
நியோஸ் வி தனிப்பயன் வழிமுறை மென்பொருள் மேக்ரோ அட்டவணை
விளக்கம்
· நியோஸ் V செயலி அதன் தனிப்பயன் வழிமுறை மேலாளர் இடைமுகங்களை வரையறுக்க இந்த அட்டவணையைப் பயன்படுத்துகிறது.
· வரையறுக்கப்பட்ட தனிப்பயன் வழிமுறை மேலாளர் இடைமுகங்கள் ஒரு ஆப்கோட் (CUSTOM0-3) மற்றும் 3 பிட்கள் funct7 [6:4] மூலம் தனித்துவமாக குறியாக்கம் செய்யப்படுகின்றன.
· நீங்கள் மொத்தம் 32 தனிப்பட்ட தனிப்பயன் வழிமுறை மேலாளர் இடைமுகங்களை வரையறுக்கலாம்.
· வரையறுக்கப்பட்ட தனிப்பயன் அறிவுறுத்தல் மேலாளர் இடைமுகங்களுக்கான தனிப்பயன் அறிவுறுத்தல் மென்பொருள் குறியாக்கங்களை வரையறுக்க நியோஸ் V செயலி இந்த அட்டவணையைப் பயன்படுத்துகிறது.
· ஒவ்வொரு வரையறுக்கப்பட்ட தனிப்பயன் வழிமுறை மென்பொருள் குறியாக்கத்திற்கும், Opcode (CUSTOM0-3) மற்றும் 3 பிட்கள் funct7[6:4] குறியாக்கம் தனிப்பயன் வழிமுறை வன்பொருள் இடைமுக அட்டவணையில் வரையறுக்கப்பட்ட தனிப்பயன் வழிமுறை மேலாளர் இடைமுக குறியாக்கத்துடன் தொடர்புடையதாக இருக்க வேண்டும்.
· கொடுக்கப்பட்ட தனிப்பயன் அறிவுறுத்தலுக்கான கூடுதல் குறியாக்கத்தை வரையறுக்க, அல்லது கூடுதல் அறிவுறுத்தல் வாதங்களாக அனுப்பப்பட வேண்டிய Xகளாகக் குறிப்பிட, funct7[6:4], funct7[3:0], மற்றும் funct3[2:0] ஆகியவற்றைப் பயன்படுத்தலாம்.
· நியோஸ் V செயலி, system.h இல் உருவாக்கப்பட்ட C-மேக்ரோக்களாக வரையறுக்கப்பட்ட தனிப்பயன் வழிமுறை மென்பொருள் குறியாக்கங்களை வழங்குகிறது, மேலும் R-வகை RISC-V வழிமுறை வடிவமைப்பைப் பின்பற்றுகிறது.
· பின்வருவனவற்றிற்கான தனிப்பயன் பெயர்களை வரையறுக்க நினைவூட்டல்கள் பயன்படுத்தப்படலாம்: — system.h இல் உருவாக்கப்பட்ட C-Macros.
— custom_instruction_debug.xml இல் உருவாக்கப்பட்ட GDB பிழைத்திருத்த நினைவூட்டல்கள்.
தொடர்புடைய தகவல்
AN 977: Nios V செயலி தனிப்பயன் வழிமுறை ஒரு குறிப்பிட்ட பயன்பாட்டின் தேவைகளைப் பூர்த்தி செய்ய Nios® V செயலியைத் தனிப்பயனாக்க உங்களை அனுமதிக்கும் தனிப்பயன் வழிமுறைகள் பற்றிய கூடுதல் தகவலுக்கு.
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 22
கருத்தை அனுப்பவும்
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் பிளாட்ஃபார்ம் டிசைனருடன் கூடிய நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு 726952 | 2025.07.16
2.1.2. கணினி கூறு வடிவமைப்பை வரையறுத்தல்
நியோஸ் வி செயலி அமைப்பின் வன்பொருள் பண்புகளை வரையறுக்கவும், விரும்பிய கூறுகளைச் சேர்க்கவும் பிளாட்ஃபார்ம் டிசைனரைப் பயன்படுத்தவும். பின்வரும் வரைபடம் பின்வரும் கூறுகளுடன் கூடிய அடிப்படை நியோஸ் வி செயலி அமைப்பு வடிவமைப்பைக் காட்டுகிறது: · நியோஸ் வி செயலி கோர் · ஆன்-சிப் நினைவகம் · ஜேTAG UART · இடைவெளி டைமர் (விரும்பினால்)(1)
ஒரு புதிய ஆன்-சிப் நினைவகம் ஒரு பிளாட்ஃபார்ம் டிசைனர் சிஸ்டத்தில் சேர்க்கப்படும்போது, மீட்டமைப்பில் சேர்க்கப்பட்ட நினைவக கூறுகளைப் பிரதிபலிக்க ஒத்திசைவு சிஸ்டம் தகவலைச் செய்யவும். மாற்றாக, சமீபத்திய கூறு மாற்றங்களைத் தானாகவே பிரதிபலிக்க பிளாட்ஃபார்ம் டிசைனரில் தானியங்கி ஒத்திசைவை இயக்கலாம்.
படம் 11. Exampபிளாட்ஃபார்ம் டிசைனரில் உள்ள மற்ற புறச்சாதனங்களுடன் நியோஸ் வி செயலியின் இணைப்பு.
(1) பிளாட்ஃபார்ம் டிசைனரில் உள்ள வெளிப்புற இடைவெளி டைமரை மாற்ற, நியோஸ் V இன்டர்னல் டைமர் அம்சங்களைப் பயன்படுத்த உங்களுக்கு விருப்பம் உள்ளது.
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 23
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் இயங்குதள வடிவமைப்பாளருடன் நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு.
726952 | 2025.07.16
உங்கள் பிளாட்ஃபார்ம் டிசைனர் சிஸ்டத்தில் கன்ட்யூட்டாக ஏற்றுமதி செய்ய வேண்டிய ஆபரேஷன் பின்களையும் நீங்கள் வரையறுக்க வேண்டும்.ampஎனவே, ஒரு சரியான FPGA அமைப்பு செயல்பாட்டு பின் பட்டியல் கீழே வரையறுக்கப்பட்டுள்ளது ஆனால் இவை மட்டும் அல்ல:
· கடிகாரம்
· மீட்டமை
· I/O சமிக்ஞைகள்
2.1.3. அடிப்படை முகவரிகள் மற்றும் குறுக்கீடு கோரிக்கை முன்னுரிமைகளைக் குறிப்பிடுதல்
வடிவமைப்பில் சேர்க்கப்பட்ட கூறுகள் ஒரு அமைப்பை உருவாக்க எவ்வாறு தொடர்பு கொள்கின்றன என்பதைக் குறிப்பிட, ஒவ்வொரு முகவர் கூறுக்கும் அடிப்படை முகவரிகளை ஒதுக்க வேண்டும் மற்றும் J க்கு குறுக்கீடு கோரிக்கை (IRQ) முன்னுரிமைகளை ஒதுக்க வேண்டும்.TAG UART மற்றும் இடைவெளி டைமர். பிளாட்ஃபார்ம் டிசைனர் ஒரு கட்டளையை வழங்குகிறது - அடிப்படை முகவரிகளை ஒதுக்கு - இது ஒரு அமைப்பில் உள்ள அனைத்து கூறுகளுக்கும் தானாகவே சரியான அடிப்படை முகவரிகளை ஒதுக்குகிறது. இருப்பினும், உங்கள் தேவைகளின் அடிப்படையில் அடிப்படை முகவரிகளை நீங்கள் சரிசெய்யலாம்.
அடிப்படை முகவரிகளை ஒதுக்குவதற்கான சில வழிகாட்டுதல்கள் பின்வருமாறு:
· நியோஸ் V செயலி மையமானது 32-பிட் முகவரி இடைவெளியைக் கொண்டுள்ளது. முகவர் கூறுகளை அணுக, அவற்றின் அடிப்படை முகவரி 0x00000000 மற்றும் 0xFFFFFFFF க்கு இடையில் இருக்க வேண்டும்.
· நியோஸ் V நிரல்கள் முகவரிகளைக் குறிக்க குறியீட்டு மாறிலிகளைப் பயன்படுத்துகின்றன. நினைவில் கொள்ள எளிதான முகவரி மதிப்புகளை நீங்கள் தேர்ந்தெடுக்க வேண்டியதில்லை.
· ஒரு-பிட் முகவரி வேறுபாட்டை மட்டுமே கொண்ட கூறுகளை வேறுபடுத்தும் முகவரி மதிப்புகள் மிகவும் திறமையான வன்பொருளை உருவாக்குகின்றன. அனைத்து அடிப்படை முகவரிகளையும் சிறிய முகவரி வரம்பிற்குள் சுருக்க வேண்டியதில்லை, ஏனெனில் சுருக்குவது குறைந்த செயல்திறன் கொண்ட வன்பொருளை உருவாக்கக்கூடும்.
· பிளாட்ஃபார்ம் டிசைனர் தனித்தனி நினைவக கூறுகளை தொடர்ச்சியான நினைவக வரம்பில் சீரமைக்க முயற்சிக்காது. உதாரணத்திற்குampஎனவே, நீங்கள் பல ஆன்-சிப் நினைவக கூறுகளை ஒரு தொடர்ச்சியான நினைவக வரம்பாகக் குறிப்பிட விரும்பினால், நீங்கள் வெளிப்படையாக அடிப்படை முகவரிகளை ஒதுக்க வேண்டும்.
பிளாட்ஃபார்ம் டிசைனர் ஒரு ஆட்டோமேஷன் கட்டளையையும் வழங்குகிறது - Assign Interrupt Numbers, இது IRQ சிக்னல்களை இணைத்து செல்லுபடியாகும் வன்பொருள் முடிவுகளை உருவாக்குகிறது. இருப்பினும், IRQகளை திறம்பட ஒதுக்குவதற்கு ஒட்டுமொத்த சிஸ்டம் மறுமொழி நடத்தை பற்றிய புரிதல் தேவைப்படுகிறது. பிளாட்ஃபார்ம் டிசைனர் சிறந்த IRQ ஒதுக்கீட்டைப் பற்றி படித்த யூகங்களைச் செய்ய முடியாது.
மிகக் குறைந்த IRQ மதிப்புக்கு அதிக முன்னுரிமை உண்டு. ஒரு சிறந்த அமைப்பில், கணினி கடிகார டிக் டிக் துல்லியத்தைப் பராமரிக்க, டைமர் கூறு மிக உயர்ந்த முன்னுரிமை IRQ ஐக் கொண்டிருக்க வேண்டும் என்று ஆல்டெரா பரிந்துரைக்கிறது, அதாவது, மிகக் குறைந்த மதிப்பு.
சில சந்தர்ப்பங்களில், நீங்கள் நிகழ்நேர புறச்சாதனங்களுக்கு (வீடியோ கட்டுப்படுத்திகள் போன்றவை) அதிக முன்னுரிமையை ஒதுக்கலாம், இது டைமர் கூறுகளை விட அதிக குறுக்கீடு விகிதத்தைக் கோருகிறது.
தொடர்புடைய தகவல்
குவார்டஸ் பிரைம் ப்ரோ பதிப்பு பயனர் வழிகாட்டி: பிளாட்ஃபார்ம் டிசைனருடன் ஒரு அமைப்பை உருவாக்குவது பற்றிய கூடுதல் தகவல்.
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 24
கருத்தை அனுப்பவும்
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் பிளாட்ஃபார்ம் டிசைனருடன் கூடிய நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு 726952 | 2025.07.16
2.2. குவார்டஸ் பிரைம் திட்டத்தில் தள வடிவமைப்பாளர் அமைப்பை ஒருங்கிணைத்தல்.
பிளாட்ஃபார்ம் டிசைனரில் நியோஸ் V சிஸ்டம் டிசைனை உருவாக்கிய பிறகு, நியோஸ் V சிஸ்டம் மாட்யூலை குவார்டஸ் பிரைம் FPGA டிசைன் ப்ராஜெக்ட்டில் ஒருங்கிணைக்க பின்வரும் பணிகளைச் செய்யுங்கள். · குவார்டஸ் பிரைம் ப்ராஜெக்ட்டில் நியோஸ் V சிஸ்டம் மாட்யூலை இன்ஸ்டன்ஷியேட் செய்யவும் · நியோஸ் V சிஸ்டம் மாட்யூலில் இருந்து FPGA லாஜிக்கில் உள்ள மற்ற சிக்னல்களுடன் சிக்னல்களை இணைக்கவும் · இயற்பியல் பின்களின் இருப்பிடத்தை ஒதுக்கவும் · FPGA வடிவமைப்பைக் கட்டுப்படுத்தவும்
2.2.1. குவார்டஸ் பிரைம் திட்டத்தில் நியோஸ் V செயலி அமைப்பு தொகுதியை நிறுவுதல்.
பிளாட்ஃபார்ம் டிசைனர் ஒரு சிஸ்டம் மாட்யூல் டிசைன் நிறுவனத்தை உருவாக்குகிறது, அதை நீங்கள் குவார்டஸ் பிரைமில் இன்ஸ்டன்ஷியேட் செய்யலாம். சிஸ்டம் மாட்யூலை நீங்கள் எப்படி இன்ஸ்டன்ஷியேட் செய்கிறீர்கள் என்பது ஒட்டுமொத்த குவார்டஸ் பிரைம் திட்டத்திற்கான வடிவமைப்பு உள்ளீட்டு முறையைப் பொறுத்தது. உதாரணத்திற்குample, நீங்கள் வடிவமைப்பு உள்ளீட்டிற்கு Verilog HDL ஐப் பயன்படுத்தினால், Verilog அடிப்படையிலான கணினி தொகுதியை நிறுவவும். வடிவமைப்பு உள்ளீட்டிற்கு தொகுதி வரைபட முறையைப் பயன்படுத்த விரும்பினால், ஒரு கணினி தொகுதி சின்னத்தை உருவாக்கவும் .bdf file.
2.2.2. சிக்னல்களை இணைத்தல் மற்றும் இயற்பியல் பின் இருப்பிடங்களை ஒதுக்குதல்
உங்கள் Altera FPGA வடிவமைப்பை உங்கள் பலகை-நிலை வடிவமைப்போடு இணைக்க, பின்வரும் பணிகளைச் செய்யுங்கள்: · உயர்மட்டத்தை அடையாளம் காணவும் file உங்கள் வடிவமைப்பு மற்றும் சிக்னல்களை வெளிப்புற ஆல்டெராவுடன் இணைக்க
FPGA சாதன பின்கள். · உங்கள் பலகை-நிலை வடிவமைப்பு பயனர் வழிகாட்டி மூலம் எந்த பின்களை இணைக்க வேண்டும் என்பதைப் புரிந்து கொள்ளுங்கள் அல்லது
· உங்கள் Altera FPGA சாதனத்தில் உள்ள போர்ட்களுக்கு பின் மூலம் உயர்மட்ட வடிவமைப்பில் சிக்னல்களை ஒதுக்கவும்.
ஒதுக்கீட்டு கருவிகள்.
உங்கள் பிளாட்ஃபார்ம் டிசைனர் சிஸ்டம் உயர் மட்ட வடிவமைப்பாக இருக்கலாம். இருப்பினும், ஆல்டெரா FPGA உங்கள் தேவைகளின் அடிப்படையில் கூடுதல் தர்க்கத்தையும் சேர்க்கலாம், இதனால் தனிப்பயன் உயர் மட்ட வடிவமைப்பை அறிமுகப்படுத்துகிறது. file. உயர்மட்டம் file நியோஸ் V செயலி அமைப்பு தொகுதி சமிக்ஞைகளை மற்ற ஆல்டெரா FPGA வடிவமைப்பு தர்க்கத்துடன் இணைக்கிறது.
தொடர்புடைய தகவல் குவார்டஸ் பிரைம் ப்ரோ பதிப்பு பயனர் வழிகாட்டி: வடிவமைப்பு கட்டுப்பாடுகள்
2.2.3. ஆல்டெரா FPGA வடிவமைப்பைக் கட்டுப்படுத்துதல்
ஒரு சரியான Altera FPGA அமைப்பு வடிவமைப்பில், வடிவமைப்பு நேர மூடல் மற்றும் பிற தர்க்கக் கட்டுப்பாடு தேவைகளைப் பூர்த்தி செய்வதை உறுதிசெய்யும் வடிவமைப்பு கட்டுப்பாடுகள் அடங்கும். Quartus Prime மென்பொருள் அல்லது மூன்றாம் தரப்பு EDA வழங்குநர்களில் வழங்கப்பட்ட கருவிகளைப் பயன்படுத்தி இந்தத் தேவைகளைப் பூர்த்தி செய்ய உங்கள் Altera FPGA வடிவமைப்பை நீங்கள் கட்டுப்படுத்த வேண்டும். உகந்த இடப்பெயர்வு முடிவுகளைப் பெற, Quartus Prime மென்பொருள் தொகுப்பு கட்டத்தில் வழங்கப்பட்ட கட்டுப்பாடுகளைப் பயன்படுத்துகிறது.
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 25
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் இயங்குதள வடிவமைப்பாளருடன் நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு.
726952 | 2025.07.16
தொடர்புடைய தகவல் · குவார்டஸ் பிரைம் ப்ரோ பதிப்பு பயனர் வழிகாட்டி: வடிவமைப்பு கட்டுப்பாடுகள் · மூன்றாம் தரப்பு EDA கூட்டாளர்கள் · குவார்டஸ் பிரைம் ப்ரோ பதிப்பு பயனர் வழிகாட்டி: நேர பகுப்பாய்வி
2.3. நியோஸ் V செயலி நினைவக அமைப்பை வடிவமைத்தல்
இந்தப் பிரிவு, நியோஸ் V செயலியுடன் கூடிய பிளாட்ஃபார்ம் டிசைனர் உட்பொதிக்கப்பட்ட அமைப்பில் நினைவக சாதனங்களைத் தேர்ந்தெடுத்து உகந்த செயல்திறனை அடைவதற்கான சிறந்த நடைமுறைகளை விவரிக்கிறது. உட்பொதிக்கப்பட்ட அமைப்பின் ஒட்டுமொத்த செயல்திறனை மேம்படுத்துவதில் நினைவக சாதனங்கள் முக்கிய பங்கு வகிக்கின்றன. உட்பொதிக்கப்பட்ட அமைப்பு நினைவகம் நிரல் வழிமுறைகளையும் தரவையும் சேமிக்கிறது.
2.3.1. ஆவியாகும் நினைவகம்
நினைவக வகைகளில் முதன்மையான வேறுபாடு நிலையற்ற தன்மை. நினைவக சாதனத்திற்கு மின்சாரம் வழங்கும்போது மட்டுமே ஆவியாகும் நினைவகம் அதன் உள்ளடக்கங்களை வைத்திருக்கும். நீங்கள் மின்சாரத்தை அகற்றியவுடன், நினைவகம் அதன் உள்ளடக்கங்களை இழக்கிறது.
Exampநிலையற்ற நினைவகத்தின் சில வகைகள் ரேம், கேச் மற்றும் பதிவேடுகள். இவை இயங்கும் செயல்திறனை அதிகரிக்கும் வேகமான நினைவக வகைகள். உகந்த செயல்திறனுக்காக ரேமில் நியோஸ் வி செயலி வழிமுறைகளை ஏற்றி செயல்படுத்தவும், நியோஸ் வி ஐபி கோரை ஆன்-சிப் மெமரி ஐபி அல்லது வெளிப்புற மெமரி இன்டர்ஃபேஸ் ஐபியுடன் இணைக்கவும் ஆல்டெரா பரிந்துரைக்கிறது.
செயல்திறனை மேம்படுத்த, Nios V செயலி தரவு மேலாளர் இடைமுக வகை அல்லது அகலத்தை பூட் RAM உடன் பொருத்துவதன் மூலம் கூடுதல் பிளாட்ஃபார்ம் டிசைனர் தழுவல் கூறுகளை நீக்கலாம்.ampசரி, நீங்கள் ஆன்-சிப் மெமரி II ஐ 32-பிட் AXI-4 இடைமுகத்துடன் கட்டமைக்க முடியும், இது நியோஸ் V தரவு மேலாளர் இடைமுகத்துடன் பொருந்துகிறது.
தொடர்புடைய தகவல் · வெளிப்புற நினைவக இடைமுகங்கள் IP ஆதரவு மையம் · ஆன்-சிப் நினைவகம் (RAM அல்லது ROM) Altera FPGA IP · ஆன்-சிப் நினைவகம் II (RAM அல்லது ROM) Altera FPGA IP · Nios V செயலி பயன்பாடு பக்கம் 54 இல் OCRAM இலிருந்து இயக்கப்படுகிறது.
2.3.1.1. ஆன்-சிப் நினைவக கட்டமைப்பு RAM அல்லது ROM
நீங்கள் Altera FPGA ஆன்-சிப் மெமரி ஐபிகளை RAM அல்லது ROM ஆக உள்ளமைக்கலாம். · RAM படிக்க மற்றும் எழுதும் திறனை வழங்குகிறது மற்றும் நிலையற்ற தன்மையைக் கொண்டுள்ளது. நீங்கள்
நியோஸ் வி செயலியை ஆன்-சிப் ரேமில் இருந்து துவக்கும்போது, துவக்க உள்ளடக்கம் பாதுகாக்கப்படுவதையும், இயக்க நேரத்தில் மீட்டமைக்கப்பட்டால் சிதைக்கப்படாமல் இருப்பதையும் உறுதி செய்ய வேண்டும். · நியோஸ் வி செயலி ரோமில் இருந்து துவக்கினால், நியோஸ் வி செயலியில் உள்ள எந்த மென்பொருள் பிழையும் ஆன்-சிப் நினைவகத்தின் உள்ளடக்கங்களை தவறாக மேலெழுத முடியாது. இதனால், துவக்க மென்பொருள் சிதைவின் அபாயத்தைக் குறைக்கிறது.
தொடர்புடைய தகவல் · ஆன்-சிப் மெமரி (RAM அல்லது ROM) Altera FPGA IP · ஆன்-சிப் மெமரி II (RAM அல்லது ROM) Altera FPGA IP · Nios V செயலி பயன்பாடு பக்கம் 54 இல் OCRAM இலிருந்து இயக்கப்படுகிறது.
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 26
கருத்தை அனுப்பவும்
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் பிளாட்ஃபார்ம் டிசைனருடன் கூடிய நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு 726952 | 2025.07.16
2.3.1.2. தற்காலிக சேமிப்புகள்
குறைந்த தாமதம் காரணமாக, ஆன்-சிப் நினைவகங்கள் பொதுவாக கேச் செயல்பாட்டை செயல்படுத்தப் பயன்படுத்தப்படுகின்றன. நியோஸ் V செயலி அதன் அறிவுறுத்தல் மற்றும் தரவு கேச்களுக்கு ஆன்-சிப் நினைவகத்தைப் பயன்படுத்துகிறது. ஆன்-சிப் நினைவகத்தின் வரையறுக்கப்பட்ட திறன் பொதுவாக கேச்களுக்கு ஒரு பிரச்சினையாக இருக்காது, ஏனெனில் அவை பொதுவாக சிறியவை.
பின்வரும் நிபந்தனைகளின் கீழ் தற்காலிக சேமிப்புகள் பொதுவாகப் பயன்படுத்தப்படுகின்றன:
· வழக்கமான நினைவகம் சிப்பிற்கு வெளியே அமைந்துள்ளது மற்றும் சிப்பில் உள்ள நினைவகத்தை விட நீண்ட அணுகல் நேரத்தைக் கொண்டுள்ளது.
· மென்பொருள் குறியீட்டின் செயல்திறன்-முக்கியமான பிரிவுகள் அறிவுறுத்தல் தற்காலிக சேமிப்பில் பொருந்தக்கூடும், இது கணினி செயல்திறனை மேம்படுத்துகிறது.
· செயல்திறன்-முக்கியமான, அடிக்கடி பயன்படுத்தப்படும் தரவின் பகுதி, தரவு தற்காலிக சேமிப்பில் பொருந்தி, கணினி செயல்திறனை மேம்படுத்துகிறது.
நியோஸ் வி செயலியில் தற்காலிக சேமிப்புகளை இயக்குவது நினைவக படிநிலையை உருவாக்குகிறது, இது நினைவக அணுகல் நேரத்தைக் குறைக்கிறது.
2.3.1.2.1. புற மண்டலம்
UART, I2C மற்றும் SPI போன்ற எந்த உட்பொதிக்கப்பட்ட புற சாதன IP முகவரியும் தற்காலிக சேமிப்பில் வைக்கப்படக்கூடாது. நீண்ட அணுகல் நேரத்தால் பாதிக்கப்படும் வெளிப்புற நினைவகங்களுக்கு தற்காலிக சேமிப்பில் வைக்க பரிந்துரைக்கப்படுகிறது, அதே நேரத்தில் உள் ஆன்-சிப் நினைவகங்கள் அவற்றின் குறுகிய அணுகல் நேரத்தின் காரணமாக விலக்கப்படலாம். நினைவுகளைத் தவிர, UART, I2C மற்றும் SPI போன்ற எந்த உட்பொதிக்கப்பட்ட புற சாதன IP முகவரிகளையும் தற்காலிக சேமிப்பில் வைக்கக்கூடாது. மென்மையான IP முகவரிகளைப் புதுப்பிக்கும் முகவர் சாதனங்கள் போன்ற வெளிப்புற சாதனங்களிலிருந்து நிகழ்வுகள் செயலி தற்காலிக சேமிப்பால் பிடிக்கப்படுவதில்லை, இதனால் அவை செயலியால் பெறப்படுவதில்லை என்பதால் இது முக்கியமானது. இதன் விளைவாக, நீங்கள் தற்காலிக சேமிப்பை நீக்கும் வரை இந்த நிகழ்வுகள் கவனிக்கப்படாமல் போகலாம், இது உங்கள் கணினியில் எதிர்பாராத நடத்தைக்கு வழிவகுக்கும். சுருக்கமாக, உட்பொதிக்கப்பட்ட புற சாதனங்களின் நினைவக-வரைபடப் பகுதி நீக்க முடியாதது மற்றும் செயலியின் புறப் பகுதிகளுக்குள் இருக்க வேண்டும்.
ஒரு புறப் பகுதியை அமைக்க, இந்தப் படிகளைப் பின்பற்றவும்:
1. பிளாட்ஃபார்ம் டிசைனரில் கணினியின் முகவரி வரைபடத்தைத் திறக்கவும்.
2. செயலியின் வழிமுறை மேலாளர் மற்றும் தரவு மேலாளரின் முகவரி வரைபடத்திற்குச் செல்லவும்.
3. உங்கள் அமைப்பில் உள்ள புறச்சாதனங்கள் மற்றும் நினைவகங்களை அடையாளம் காணவும்.
படம் 12. Exampமுகவரி வரைபடம்
குறிப்பு: நீல அம்புகள் நினைவுகளை சுட்டிக்காட்டுகின்றன. 4. புற சாதனங்களை தொகுக்கவும்:
a. தற்காலிக சேமிப்பில் சேமிக்கக்கூடிய நினைவகம் b. புறச்சாதனங்கள் பாதுகாக்க முடியாதவை
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 27
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் இயங்குதள வடிவமைப்பாளருடன் நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு.
726952 | 2025.07.16
அட்டவணை 19. தற்காலிகமாக சேமிக்கக்கூடிய மற்றும் தற்காலிகமாக சேமிக்க முடியாத பகுதி
கீழ்நிலை
முகவரி வரைபடம்
நிலை
புற மண்டலம்
அளவு
அடிப்படை முகவரி
பயனர்_பயன்பாடு_mem.s1
0x0 ~ 0x3ffff
தற்காலிக சேமிப்பு
N/A
N/A
cpu.dm_agent பூட்காப்பியர்_rom.s1
0x40000 ~ 0x4ffff 0x50000 ~ 0x517ff
தற்காலிகமாகச் சேமிக்க முடியாதது
65536 பைட்டுகள் இல்லை
0x40000 N/A
bootcopier_ram.s1 cpu.timer_sw_agent அஞ்சல் பெட்டி.avmm
0x52000 ~ 0x537ff 0x54000 ~ 0x5403f 0x54040 ~ 0x5407f
தற்காலிகமாகச் சேமிக்கக்கூடியது தற்காலிகமாகச் சேமிக்க முடியாதது
144 பைட்டுகள் (குறைந்தபட்ச அளவு 65536 பைட்டுகள்)
0x54000
sysid_qsys_0.கண்ட்ரோல்_ஸ்லேவ்
0x54080 ~ 0x54087
தற்காலிக சேமிப்பை நீக்குதல்
uart.avalon_j பற்றிtag_அடிமை
0x54088 ~ 0x5408f
தற்காலிக சேமிப்பை நீக்குதல்
5. புறப் பகுதிகளை அவற்றின் குறிப்பிட்ட அளவுகளுடன் சீரமைக்கவும்:
· உதாரணமாகample, அளவு 65536 பைட்டுகளாக இருந்தால், அது 0x10000 பைட்டுகளுக்கு ஒத்திருக்கிறது. எனவே, அனுமதிக்கப்பட்ட அடிப்படை முகவரி 0x10000 இன் பெருக்கமாக இருக்க வேண்டும்.
· CPU.dm_agent 0x40000 என்ற அடிப்படை முகவரியைப் பயன்படுத்துகிறது, இது 0x10000 இன் பெருக்கமாகும். இதன் விளைவாக, 65536 பைட்டுகள் அளவு மற்றும் 0x40000 என்ற அடிப்படை முகவரியுடன் கூடிய புற மண்டலம் A, தேவைகளைப் பூர்த்தி செய்கிறது.
· 0x54000 இல் உள்ள நீக்க முடியாத பகுதிகளின் தொகுப்பின் அடிப்படை முகவரி 0x10000 இன் பெருக்கல் அல்ல. நீங்கள் அவற்றை 0x60000 அல்லது 0x10000 இன் பிற பெருக்கல்களுக்கு மீண்டும் ஒதுக்க வேண்டும். எனவே, 65536 பைட்டுகள் அளவு மற்றும் 0x60000 அடிப்படை முகவரியைக் கொண்ட புற மண்டலம் B, அளவுகோல்களைப் பூர்த்தி செய்கிறது.
அட்டவணை 20. மறு ஒதுக்கீட்டில் தற்காலிகமாக சேமிக்கக்கூடிய மற்றும் தற்காலிகமாக சேமிக்க முடியாத பகுதி
கீழ்நிலை
முகவரி வரைபடம்
நிலை
புற மண்டலம்
அளவு
அடிப்படை முகவரி
பயனர்_பயன்பாடு_mem.s1
0x0 ~ 0x3ffff
தற்காலிக சேமிப்பு
N/A
N/A
cpu.dm_முகவர்
0x40000 ~ 0x4ffff
தற்காலிக சேமிப்பை நீக்கக்கூடியது 65536 பைட்டுகள்
0x40000
பூட்காப்பியர்_ரோம்.எஸ்1
0x50000 ~ 0x517 அடி
தற்காலிக சேமிப்பு
N/A
N/A
bootcopier_ram.s1 cpu.timer_sw_agent mailbox.avmm sysid_qsys_0.control_slave
0x52000 ~ 0x537ff 0x60000 ~ 0x6003f 0x60040 ~ 0x6007f 0x60080 ~ 0x60087
தற்காலிகமாகச் சேமிக்க முடியாதது தற்காலிகமாகச் சேமிக்க முடியாதது
144 பைட்டுகள் (குறைந்தபட்ச அளவு 65536 பைட்டுகள்)
0x60000
uart.avalon_j பற்றிtag_அடிமை
0x60088 ~ 0x6008f
தற்காலிக சேமிப்பை நீக்குதல்
2.3.1.3. இறுக்கமாக இணைக்கப்பட்ட நினைவகம்
இறுக்கமாக இணைக்கப்பட்ட நினைவகங்கள் (TCMகள்) ஆன்-சிப் நினைவகத்தைப் பயன்படுத்தி செயல்படுத்தப்படுகின்றன, ஏனெனில் அவற்றின் குறைந்த தாமதம் அவற்றை பணிக்கு மிகவும் பொருத்தமானதாக ஆக்குகிறது. TCMகள் வழக்கமான முகவரி இடத்தில் மேப் செய்யப்பட்ட நினைவுகள், ஆனால் நுண்செயலிக்கு ஒரு பிரத்யேக இடைமுகத்தைக் கொண்டுள்ளன மற்றும் கேச் நினைவகத்தின் உயர் செயல்திறன், குறைந்த தாமத பண்புகளைக் கொண்டுள்ளன. TCM வெளிப்புற ஹோஸ்டுக்கு ஒரு துணை இடைமுகத்தையும் வழங்குகிறது. செயலி மற்றும் வெளிப்புற ஹோஸ்ட் TCM ஐ கையாள ஒரே அனுமதி அளவைக் கொண்டுள்ளன.
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 28
கருத்தை அனுப்பவும்
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் இயங்குதள வடிவமைப்பாளருடன் நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு.
726952 | 2025.07.16
குறிப்பு:
TCM துணை போர்ட் வெளிப்புற ஹோஸ்டுடன் இணைக்கப்படும்போது, அது செயலி மையத்தில் ஒதுக்கப்பட்ட அடிப்படை முகவரியிலிருந்து வேறுபட்ட அடிப்படை முகவரியுடன் காட்டப்படலாம். இரண்டு முகவரிகளையும் ஒரே மதிப்புக்கு சீரமைக்க ஆல்டெரா பரிந்துரைக்கிறது.
2.3.1.4. வெளிப்புற நினைவக இடைமுகம் (EMIF)
EMIF (வெளிப்புற நினைவக இடைமுகம்) SRAM (நிலையான சீரற்ற அணுகல் நினைவகம்) போலவே செயல்படுகிறது, ஆனால் இது மாறும் தன்மை கொண்டது மற்றும் அதன் உள்ளடக்கத்தை பராமரிக்க அவ்வப்போது புதுப்பிப்பு தேவைப்படுகிறது. EMIF இல் உள்ள டைனமிக் நினைவக செல்கள் SRAM இல் உள்ள நிலையான நினைவக செல்களை விட மிகச் சிறியவை, இதன் விளைவாக அதிக திறன் மற்றும் குறைந்த விலை நினைவக சாதனங்கள் உருவாகின்றன.
புதுப்பிப்புத் தேவைக்கு கூடுதலாக, EMIF குறிப்பிட்ட இடைமுகத் தேவைகளைக் கொண்டுள்ளது, அவை பெரும்பாலும் சிறப்பு கட்டுப்படுத்தி வன்பொருளைத் தேவைப்படுத்துகின்றன. நிலையான முகவரி வரிகளைக் கொண்ட SRAM போலல்லாமல், EMIF அதன் நினைவக இடத்தை வங்கிகள், வரிசைகள் மற்றும் நெடுவரிசைகளாக ஒழுங்கமைக்கிறது. வங்கிகள் மற்றும் வரிசைகளுக்கு இடையில் மாறுவது சில மேல்நிலைகளை அறிமுகப்படுத்துகிறது, எனவே EMIF ஐ திறமையாகப் பயன்படுத்த நினைவக அணுகல்களை கவனமாக வரிசைப்படுத்த வேண்டும். EMIF வரிசை மற்றும் நெடுவரிசை முகவரிகளை ஒரே முகவரி வரிகளில் மல்டிபிளெக்ஸ் செய்கிறது, கொடுக்கப்பட்ட EMIF அளவிற்குத் தேவையான பின்களின் எண்ணிக்கையைக் குறைக்கிறது.
DDR, DDR2, DDR3, DDR4 மற்றும் DDR5 போன்ற EMIF இன் அதிவேக பதிப்புகள், PCB வடிவமைப்பாளர்கள் கருத்தில் கொள்ள வேண்டிய கடுமையான சமிக்ஞை ஒருமைப்பாடு தேவைகளை விதிக்கின்றன.
EMIF சாதனங்கள் மிகவும் செலவு குறைந்த மற்றும் அதிக திறன் கொண்ட RAM வகைகளில் ஒன்றாகக் கருதப்படுகின்றன, இதனால் அவை பிரபலமான விருப்பமாக அமைகின்றன. EMIF இடைமுகத்தின் முக்கிய அங்கம் EMIF IP ஆகும், இது முகவரி மல்டிபிளெக்சிங், புதுப்பிப்பு மற்றும் வரிசைகள் மற்றும் வங்கிகளுக்கு இடையில் மாறுதல் தொடர்பான பணிகளை நிர்வகிக்கிறது. இந்த வடிவமைப்பு, அமைப்பின் மற்ற பகுதிகள் அதன் உள் கட்டமைப்பைப் புரிந்து கொள்ளாமல் EMIF ஐ அணுக அனுமதிக்கிறது.
தொடர்புடைய தகவல் வெளிப்புற நினைவக இடைமுகங்கள் IP ஆதரவு மையம்
2.3.1.4.1. முகவரி இடைவெளி நீட்டிப்பு ஐபி
அட்ரஸ் ஸ்பான் எக்ஸ்டெண்டர் ஆல்டெரா FPGA IP, மெமரி-மேப் செய்யப்பட்ட ஹோஸ்ட் இடைமுகங்களை அவற்றின் முகவரி சிக்னல்களின் அகலத்தை விட பெரிய அல்லது சிறிய முகவரி வரைபடத்தை அணுக அனுமதிக்கிறது. அட்ரஸ் ஸ்பான் எக்ஸ்டெண்டர் IP, முகவரியிடக்கூடிய இடத்தை பல தனித்தனி சாளரங்களாகப் பிரிக்கிறது, இதனால் ஹோஸ்ட் சாளரத்தின் வழியாக நினைவகத்தின் பொருத்தமான பகுதியை அணுக முடியும்.
முகவரி இடைவெளி நீட்டிப்பான், ஹோஸ்ட் மற்றும் முகவர் அகலங்களை 32-பிட் மற்றும் 64 பிட் உள்ளமைவுக்கு வரம்பிடாது. நீங்கள் 1-64 பிட் முகவரி சாளரங்களுடன் முகவரி இடைவெளி நீட்டிப்பானைப் பயன்படுத்தலாம்.
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 29
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் இயங்குதள வடிவமைப்பாளருடன் நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு.
726952 | 2025.07.16
படம் 13. முகவரி இடைவெளி நீட்டிப்பு Altera FPGA IP
முகவர் சொல் முகவரி
முகவரி இடைவெளி நீட்டிப்பான்
A
மேப்பிங் டேபிள்
கட்டுப்பாட்டு போர்ட் A
…
கட்டுப்பாட்டுப் பதிவு 0 கட்டுப்பாட்டுப் பதிவு Z-1
விரிவாக்கப்பட்ட ஹோஸ்ட் முகவரி H
தொடர்புடைய தகவல்
Quartus® Prime Pro பதிப்பு பயனர் வழிகாட்டி: பிளாட்ஃபார்ம் டிசைனர் மேலும் தகவலுக்கு Address Span Extender Intel® FPGA IP என்ற தலைப்பைப் பார்க்கவும்.
2.3.1.4.2. நியோஸ் V செயலியுடன் முகவரி இடைவெளி நீட்டிப்பு IP ஐப் பயன்படுத்துதல்
32-பிட் நியோஸ் V செயலி ஒரு முகவரி இடைவெளியில் 4 ஜிபி வரை முகவரியை நிரப்ப முடியும். EMIF 4 ஜிபிக்கு மேல் நினைவகத்தைக் கொண்டிருந்தால், அது அதிகபட்சமாக ஆதரிக்கப்படும் முகவரி இடைவெளியை மீறுகிறது, இது பிளாட்ஃபார்ம் டிசைனர் அமைப்பை பிழையானது என்று காட்டுகிறது. ஒரு ஒற்றை EMIF முகவரி இடத்தை பல சிறிய சாளரங்களாகப் பிரிப்பதன் மூலம் இந்த சிக்கலைத் தீர்க்க ஒரு முகவரி இடைவெளி நீட்டிப்பு IP தேவைப்படுகிறது.
பின்வரும் அளவுருக்களைக் கருத்தில் கொள்ளுமாறு ஆல்டெரா பரிந்துரைக்கிறது.
அட்டவணை 21. முகவரி இடைவெளி நீட்டிப்பு அளவுருக்கள்
அளவுரு
பரிந்துரைக்கப்பட்ட அமைப்புகள்
தரவுப்பாதை அகலம்
விரிவாக்கப்பட்ட மாஸ்டர் பைட் முகவரி அகலம்
32-பிட் செயலியுடன் இணைக்கப்பட்ட 32-பிட்களைத் தேர்ந்தெடுக்கவும். EMIF நினைவக அளவைப் பொறுத்தது.
ஸ்லேவ் வேர்டு முகவரி அகலம் பர்ஸ்ட்கவுண்ட் அகலம்
2 ஜிபி அல்லது அதற்கும் குறைவாகத் தேர்ந்தெடுக்கவும். நியோஸ் வி செயலியின் மீதமுள்ள முகவரி இடைவெளி மற்ற உட்பொதிக்கப்பட்ட மென்மையான ஐபிக்களுக்கு ஒதுக்கப்பட்டுள்ளது.
செயல்திறனை மேம்படுத்த 1 இல் தொடங்கி படிப்படியாக இந்த மதிப்பை அதிகரிக்கவும்.
துணை சாளரங்களின் எண்ணிக்கை
நீங்கள் EMIF-ஐ Nios V செயலியுடன் அறிவுறுத்தல் மற்றும் தரவு நினைவகமாக இணைக்கிறீர்கள் என்றால் 1 துணை சாளரத்தைத் தேர்ந்தெடுக்கவும், அல்லது இரண்டையும் தேர்ந்தெடுக்கவும். Nios V செயலி EMIF-லிருந்து இயக்கப்படும் போது பல துணை சாளரங்களுக்கு இடையில் மாறுவது ஆபத்தானது.
ஸ்லேவ் கண்ட்ரோல் போர்ட்டை இயக்கு
நீங்கள் EMIF-ஐ Nios V செயலியுடன் அறிவுறுத்தல் மற்றும்/அல்லது தரவு நினைவகமாக இணைக்கிறீர்கள் என்றால், ஸ்லேவ் கண்ட்ரோல் போர்ட்டை முடக்கவும். துணை சாளரங்களின் எண்ணிக்கையைப் போலவே அதே கவலைகளும் இருக்கும்.
அதிகபட்ச நிலுவையில் உள்ள வாசிப்புகள்
செயல்திறனை மேம்படுத்த 1 இல் தொடங்கி படிப்படியாக இந்த மதிப்பை அதிகரிக்கவும்.
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 30
கருத்தை அனுப்பவும்
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் பிளாட்ஃபார்ம் டிசைனருடன் கூடிய நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு 726952 | 2025.07.16
படம் 14. வழிமுறை மற்றும் தரவு மேலாளரை முகவரி இடைவெளி நீட்டிப்புடன் இணைத்தல்.
படம் 15. முகவரி மேப்பிங்
முகவரி இடைவெளி நீட்டிப்பானது EMIF இன் முழு 8GB நினைவக இடத்தையும் அணுக முடியும் என்பதை நினைவில் கொள்க. இருப்பினும், முகவரி இடைவெளி நீட்டிப்பானது வழியாக, Nios V செயலி EMIF இன் முதல் 1GB நினைவக இடத்தை மட்டுமே அணுக முடியும்.
படம் 16. எளிமைப்படுத்தப்பட்ட தொகுதி வரைபடம்
பிளாட்ஃபார்ம் டிசைனர் சிஸ்டம்
மீதமுள்ள 3 ஜிபி
நியோஸ் வி செயலி முகவரி
இடைவெளி என்பது உட்பொதிக்கப்பட்டதற்கானது.
NNioios sVV PProrocecsesosor ஆர்
M
அதே அமைப்பில் மென்மையான IPகள்.
1 ஜிபி சாளரம்
முகவரி வரம்பு
S
விரிவாக்கி
M
முதல் 1 ஜிபி மட்டும்
EMIF நினைவகம் நியோஸ் V உடன் இணைக்கப்பட்டுள்ளது.
EMIF
செயலி.
8 ஜிபி
S
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 31
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் இயங்குதள வடிவமைப்பாளருடன் நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு.
726952 | 2025.07.16
2.3.1.4.3. முகவரி இடைவெளி நீட்டிப்பு இணைப்பான் நினைவக சாதனத்தை வரையறுத்தல் 1. முகவரி இடைவெளி நீட்டிப்பை (EMIF) மீட்டமைப்பு வெக்டராக வரையறுக்கவும். மாற்றாக, நீங்கள் Nios V செயலி மீட்டமைப்பு வெக்டரை OCRAM அல்லது ஃபிளாஷ் சாதனங்கள் போன்ற பிற நினைவகங்களுக்கு ஒதுக்கலாம்.
படம் 17. மீட்டமை வெக்டராக பல விருப்பங்கள்
இருப்பினும், Board Support Package (BSP) Editor ஆனது Address Span Extender (EMIF) ஐ ஒரு செல்லுபடியாகும் நினைவகமாக தானாகவே பதிவு செய்ய முடியாது. நீங்கள் செய்த தேர்வைப் பொறுத்து, பின்வரும் படங்களில் காட்டப்பட்டுள்ளபடி இரண்டு வெவ்வேறு சூழ்நிலைகளைக் காணலாம். படம் 18. Address Span Extender (EMIF) ஐ Reset Vector ஆக வரையறுக்கும்போது BSP பிழை.
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 32
கருத்தை அனுப்பவும்
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் பிளாட்ஃபார்ம் டிசைனருடன் கூடிய நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு 726952 | 2025.07.16
படம் 19. மற்ற நினைவுகளை மீட்டமை வெக்டராக வரையறுக்கும்போது EMIF இல்லை.
2. BSP லிங்கர் ஸ்கிரிப்ட் தாவலில் Add Memory Device, Add Linker Memory Region மற்றும் Add Linker Section Mappings ஆகியவற்றைப் பயன்படுத்தி Address Span Extender (EMIF) ஐ கைமுறையாகச் சேர்க்க வேண்டும்.
3. இந்தப் படிகளைப் பின்பற்றவும்:
a. நினைவக வரைபடத்தைப் பயன்படுத்தி முகவரி இடைவெளி நீட்டிப்பாளரின் முகவரி இடைவெளியைத் தீர்மானிக்கவும் (எ.கா.ampபின்வரும் படத்தில் le முகவரி இடைவெளி நீட்டிப்பு வரம்பை 0x0 முதல் 0x3fff_ffff வரை பயன்படுத்துகிறது).
படம் 20. நினைவக வரைபடம்
b. நினைவக சாதனத்தைச் சேர் என்பதைக் கிளிக் செய்து, உங்கள் வடிவமைப்பின் நினைவக வரைபடத்தில் உள்ள தகவலின் அடிப்படையில் நிரப்பவும்: i. சாதனத்தின் பெயர்: emif_ddr4. குறிப்பு: நினைவக வரைபடத்திலிருந்து அதே பெயரை நகலெடுப்பதை உறுதிசெய்யவும். ii. அடிப்படை முகவரி: 0x0 iii. அளவு: 0x40000000
c. புதிய இணைப்பான் நினைவகப் பகுதியைச் சேர்க்க சேர் என்பதைக் கிளிக் செய்யவும்:
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 33
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் இயங்குதள வடிவமைப்பாளருடன் நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு.
726952 | 2025.07.16
அட்டவணை 22. லிங்கர் நினைவகப் பகுதியைச் சேர்த்தல்
படிகள்
வெக்டரை மீட்டமைக்கவும்
emif_ddr4 ஐ இயக்கு
மற்ற நினைவுகள்
1
மீட்டமை எனப்படும் புதிய லிங்கர் நினைவகப் பகுதியைச் சேர்க்கவும். புதிய லிங்கர் நினைவகப் பகுதியைச் சேர்க்கவும்
· பிராந்திய பெயர்: மீட்டமை
emif_ddr4 ஐத் தேர்ந்தெடுக்கவும்.
· பிராந்திய அளவு: 0x20
· பிராந்தியத்தின் பெயர்: emif_ddr4
· நினைவக சாதனம்: emif_ddr4
· பிராந்திய அளவு: 0x40000000
· நினைவக ஆஃப்செட்: 0x0
· நினைவக சாதனம்: emif_ddr4
· நினைவக ஆஃப்செட்: 0x0
2
புதிய லிங்கர் நினைவகப் பகுதியைச் சேர்க்கவும்
மீதமுள்ள emif_ddr4.
· பிராந்தியத்தின் பெயர்: emif_ddr4
· பிராந்திய அளவு: 0x3ffffe0
· நினைவக சாதனம்: emif_ddr4
· நினைவக ஆஃப்செட்: 0x20
படம் 21. முகவரி இடைவெளி நீட்டிப்பை (EMIF) மீட்டமை வெக்டராக வரையறுக்கும்போது இணைப்பான் பகுதி
படம் 22. மற்ற நினைவுகளை மீட்டமை வெக்டராக வரையறுக்கும்போது இணைப்பான் பகுதி
d. emif_ddr4 BSP இல் சேர்க்கப்பட்டவுடன், நீங்கள் அதை எந்த இணைப்பான் பிரிவிற்கும் தேர்ந்தெடுக்கலாம்.
படம் 23. முகவரி இடைவெளி நீட்டிப்பு (EMIF) வெற்றிகரமாக சேர்க்கப்பட்டது.
e. SOPC வடிவமைப்பில் நினைவக சாதனம் emif_ddr4 தெரியவில்லை என்ற எச்சரிக்கையை புறக்கணிக்கவும்.
f. BSP ஐ உருவாக்குவதற்குச் செல்லவும்.
தொடர்புடைய தகவல் பக்கம் 51 இல் நியோஸ் V செயலி துவக்க முறைகள் அறிமுகம்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 34
கருத்தை அனுப்பவும்
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் பிளாட்ஃபார்ம் டிசைனருடன் கூடிய நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு 726952 | 2025.07.16
2.3.2. ஆவியாகாத நினைவகம்
மின்சாரம் அணைக்கப்படும் போது நிலையற்ற நினைவகம் அதன் உள்ளடக்கங்களைத் தக்க வைத்துக் கொள்கிறது, இது ஒரு கணினி சக்தி சுழற்சிக்குப் பிறகு கணினி மீட்டெடுக்க வேண்டிய தகவல்களைச் சேமிப்பதற்கு ஒரு நல்ல தேர்வாக அமைகிறது. நிலையற்ற நினைவகம் பொதுவாக செயலி துவக்கக் குறியீடு, தொடர்ச்சியான பயன்பாட்டு அமைப்புகள் மற்றும் ஆல்டெரா FPGA உள்ளமைவுத் தரவைச் சேமிக்கிறது. நிலையற்ற நினைவகம் நன்மைகளைக் கொண்டிருந்தாலும்tagமின்சக்தியை அகற்றும்போது அதன் தரவைத் தக்கவைத்துக்கொள்வதில், இது ஆவியாகும் நினைவகத்துடன் ஒப்பிடும்போது மிகவும் மெதுவாக இருக்கும், மேலும் பெரும்பாலும் மிகவும் சிக்கலான எழுத்து மற்றும் அழிக்கும் நடைமுறைகளைக் கொண்டுள்ளது. நிலையற்ற நினைவகம் பொதுவாக ஒரு குறிப்பிட்ட எண்ணிக்கையிலான முறை மட்டுமே அழிக்கப்படும் என்பது உறுதி செய்யப்படுகிறது, அதன் பிறகு அது தோல்வியடையக்கூடும்.
Exampநிலையற்ற நினைவகங்களில் அனைத்து வகையான ஃபிளாஷ், EPROM மற்றும் EEPROM ஆகியவை அடங்கும். ஆல்டெரா FPGA பிட்ஸ்ட்ரீம்கள் மற்றும் நியோஸ் V நிரல் படங்களை ஒரு நிலையற்ற நினைவகத்தில் சேமித்து, நியோஸ் V செயலிகளுக்கான துவக்க சாதனமாக சீரியல் ஃபிளாஷைப் பயன்படுத்த ஆல்டெரா பரிந்துரைக்கிறது.
தொடர்புடைய தகவல்
· பொதுவான சீரியல் ஃபிளாஷ் இடைமுகம் Altera FPGA IP பயனர் வழிகாட்டி
· மெயில்பாக்ஸ் கிளையன்ட் ஆல்டெரா FPGA IP பயனர் வழிகாட்டி · MAX® 10 பயனர் ஃபிளாஷ் நினைவக பயனர் வழிகாட்டி: ஆன்-சிப் ஃபிளாஷ் ஆல்டெரா FPGA IP கோர்
2.4. கடிகாரங்கள் மற்றும் மீட்டமைப்புகள் சிறந்த நடைமுறைகள்
Nios V செயலி கடிகாரம் மற்றும் மீட்டமைப்பு டொமைன் அது இணைக்கும் ஒவ்வொரு புற சாதனத்துடனும் எவ்வாறு தொடர்பு கொள்கிறது என்பதைப் புரிந்துகொள்வது முக்கியம். ஒரு எளிய Nios V செயலி அமைப்பு ஒரு ஒற்றை கடிகார டொமைனுடன் தொடங்குகிறது, மேலும் வேகமான கடிகார டொமைன் மெதுவான கடிகார டொமைனுடன் மோதும்போது அது பல-கடிகார டொமைன் அமைப்புடன் சிக்கலாகிவிடும். இந்த வெவ்வேறு டொமைன்கள் மீட்டமைப்பிலிருந்து எவ்வாறு வரிசைப்படுத்தப்படுகின்றன என்பதை நீங்கள் கவனித்து புரிந்து கொள்ள வேண்டும் மற்றும் எந்த நுட்பமான சிக்கல்களும் இல்லை என்பதை உறுதிப்படுத்த வேண்டும்.
சிறந்த நடைமுறைக்காக, Nios V செயலி மற்றும் துவக்க நினைவகத்தை ஒரே கடிகார டொமைனில் வைக்க Altera பரிந்துரைக்கிறது. Nios V செயலி மிகவும் மெதுவான கடிகார டொமைனில் இருக்கும் நினைவகத்திலிருந்து பூட் ஆகும்போது, அதை வேகமான கடிகார டொமைனில் மீட்டமைப்பிலிருந்து விடுவிக்க வேண்டாம், இது அறிவுறுத்தல் பெறுதல் பிழையை ஏற்படுத்தக்கூடும். பிளாட்ஃபார்ம் டிசைனர் முன்னிருப்பாக வழங்குவதைத் தாண்டி சில கையேடு வரிசைமுறை உங்களுக்குத் தேவைப்படலாம், மேலும் உங்கள் பயன்பாட்டு வழக்கின் அடிப்படையில் மீட்டமை வெளியீட்டு இடவியலைத் திட்டமிடுங்கள். உங்கள் கணினி வந்து சிறிது நேரம் இயங்கிய பிறகு அதை மீட்டமைக்க விரும்பினால், கணினி மீட்டமைப்பு வரிசைமுறை மற்றும் மீட்டமைக்கப்பட்ட துவக்கத் தேவைக்கும் அதே பரிசீலனைகளைப் பயன்படுத்தவும்.
2.4.1. சிஸ்டம் ஜேTAG கடிகாரம்
ஒவ்வொரு Nios V செயலி அமைப்பிலும் கடிகாரக் கட்டுப்பாடுகளைக் குறிப்பிடுவது ஒரு முக்கியமான கணினி வடிவமைப்புக் கருத்தாகும், மேலும் இது சரியான தன்மை மற்றும் உறுதியான நடத்தைக்கு அவசியமாகும். Quartus Prime Timing Analyzer, தொழில்துறை-தரநிலை கட்டுப்பாடு, பகுப்பாய்வு மற்றும் அறிக்கையிடல் முறையைப் பயன்படுத்தி உங்கள் வடிவமைப்பில் உள்ள அனைத்து தர்க்கங்களின் நேர செயல்திறனைச் சரிபார்க்க நிலையான நேர பகுப்பாய்வைச் செய்கிறது.
Example 1. 100/50 டியூட்டி சைக்கிள் மற்றும் 50 மெகா ஹெர்ட்ஸ் ஜே உடன் அடிப்படை 16 மெகா ஹெர்ட்ஸ் கடிகாரம்TAG கடிகாரம்
#************************************************************************ # 100MHz கடிகாரத்தை உருவாக்கு #************************************************************************************************ create_clock -name {clk} -period 10 [get_ports {clk}] #*************************** 16MHz J ஐ உருவாக்குTAG கடிகாரம் #***************************
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 35
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் இயங்குதள வடிவமைப்பாளருடன் நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு.
726952 | 2025.07.16
create_clock -name {altera_reserved_tck} -period 62.500 [get_ports {altera_reserved_tck}] set_clock_groups -asynchronous -group [get_clocks {altera_reserved_tck}] தொடர்புடைய தகவல் குவார்டஸ் பிரைம் டைமிங் அனலைசர் சமையல் புத்தகம்
2.4.2. கோரிக்கை இடைமுகத்தை மீட்டமைக்கவும்
Nios V செயலி ஒரு விருப்ப மீட்டமைப்பு கோரிக்கை வசதியைக் கொண்டுள்ளது. மீட்டமைப்பு கோரிக்கை வசதி reset_req மற்றும் reset_req_ack சமிக்ஞைகளைக் கொண்டுள்ளது.
பிளாட்ஃபார்ம் டிசைனரில் மீட்டமை கோரிக்கையை இயக்க: 1. நியோஸ் வி செயலி ஐபி அளவுரு எடிட்டரைத் தொடங்கவும். 2. யூஸ் ரீசெட் கோரிக்கை அமைப்பில், சேர் ரீசெட் கோரிக்கை இடைமுகத்தை இயக்கவும்.
விருப்பம்.
படம் 24. Nios V செயலி மீட்டமைப்பு கோரிக்கையை இயக்கு
reset_req சமிக்ஞை ஒரு குறுக்கீடு போல செயல்படுகிறது. நீங்கள் reset_req ஐ உறுதிப்படுத்தும்போது, நீங்கள் மையத்திற்கு மீட்டமைக்கக் கோருகிறீர்கள். நிலுவையில் உள்ள எந்தவொரு பஸ் பரிவர்த்தனையும் அதன் செயல்பாட்டை முடிக்க கோர் காத்திருக்கிறது. உதாரணமாகampஅதாவது, நினைவக அணுகல் பரிவர்த்தனை நிலுவையில் இருந்தால், கோர் முழுமையான பதிலுக்காகக் காத்திருக்கும். இதேபோல், கோர் எந்தவொரு நிலுவையில் உள்ள அறிவுறுத்தல் பதிலை ஏற்றுக்கொள்கிறது, ஆனால் reset_req சமிக்ஞையைப் பெற்ற பிறகு ஒரு அறிவுறுத்தல் கோரிக்கையை வெளியிடாது.
மீட்டமைப்பு செயல்பாடு பின்வரும் ஓட்டத்தைக் கொண்டுள்ளது: 1. நிலுவையில் உள்ள அனைத்து செயல்பாடுகளையும் முடிக்கவும் 2. உள் பைப்லைனை ஃப்ளஷ் செய்யவும் 3. நிரல் கவுண்டரை மீட்டமை வெக்டருக்கு அமைக்கவும் 4. மையத்தை மீட்டமைக்கவும் முழு மீட்டமைப்பு செயல்பாட்டிற்கும் சில கடிகார சுழற்சிகள் தேவை. reset_req_ack உறுதிப்படுத்தப்படும் வரை reset_req உறுதிப்படுத்தப்பட வேண்டும், இது கோர் மீட்டமைப்பு செயல்பாடு வெற்றிகரமாக நிறைவடைந்ததைக் குறிக்கிறது. அவ்வாறு செய்யத் தவறினால் மையத்தின் நிலை தீர்மானிக்கப்படாததாக இருக்கும்.
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 36
கருத்தை அனுப்பவும்
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் பிளாட்ஃபார்ம் டிசைனருடன் கூடிய நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு 726952 | 2025.07.16
2.4.2.1. வழக்கமான பயன்பாட்டு வழக்குகள்
· கணினியில் உள்ள மற்ற FPGA ஹோஸ்ட்கள் Nios V செயலி துவக்க நினைவகத்தை துவக்கும் வரை, Nios V செயலி கோர் அதன் மீட்டமைப்பு வெக்டரிலிருந்து நிரல் செயல்படுத்தலைத் தொடங்குவதைத் தடுக்க, பவர்-ஆனில் இருந்து reset_req சிக்னலை நீங்கள் உறுதிப்படுத்தலாம். இந்த விஷயத்தில், முழு துணை அமைப்பும் ஒரு சுத்தமான வன்பொருள் மீட்டமைப்பை அனுபவிக்க முடியும். மற்ற FPGA ஹோஸ்ட்கள் செயலி துவக்க நினைவகத்தை துவக்கும் வரை Nios V செயலி மீட்டமைப்பு கோரிக்கை நிலையில் காலவரையின்றி வைக்கப்படும்.
· மீதமுள்ள அமைப்பை சீர்குலைக்காமல் நீங்கள் Nios V செயலி மையத்தை மீட்டமைக்க வேண்டிய ஒரு அமைப்பில், மையத்தின் தற்போதைய செயல்பாட்டை சுத்தமாக நிறுத்த reset_req சமிக்ஞையை நீங்கள் உறுதிப்படுத்தலாம் மற்றும் அமைப்பு reset_req_ack சமிக்ஞையை வெளியிட்டவுடன் மீட்டமை வெக்டரிலிருந்து செயலியை மறுதொடக்கம் செய்யலாம்.
· வெளிப்புற ஹோஸ்ட் பின்வரும் பணிகளைச் செயல்படுத்துவதை எளிதாக்க மீட்டமை கோரிக்கை இடைமுகத்தைப் பயன்படுத்தலாம்:
— தற்போதைய நியோஸ் V செயலி நிரலை நிறுத்தவும்.
— Nios V செயலி துவக்க நினைவகத்தில் ஒரு புதிய நிரலை ஏற்றவும்.
— புதிய நிரலை இயக்க செயலியை அனுமதிக்கவும்.
reset_req_ack சிக்னலின் நிலையைக் கண்காணிக்க ஒரு டைம்அவுட் பொறிமுறையைச் செயல்படுத்த ஆல்டெரா உங்களைப் பரிந்துரைக்கிறது. Nios V செயலி கோர் எல்லையற்ற காத்திருப்பு நிலை நிலைக்குச் சென்று தெரியாத காரணத்திற்காக நின்றுவிட்டால், reset_req_ack காலவரையின்றி உறுதிப்படுத்த முடியாது. டைம்அவுட் பொறிமுறை உங்களுக்கு இவற்றைச் செய்ய உதவுகிறது:
· மீட்பு காலக்கெடு காலத்தை வரையறுத்து, கணினி நிலை மீட்டமைப்புடன் கணினி மீட்டெடுப்பைச் செய்யுங்கள்.
· வன்பொருள் நிலை மீட்டமைப்பைச் செய்யவும்.
2.4.3. வெளியீட்டு IP ஐ மீட்டமைக்கவும்
ஆல்டெரா SDM-அடிப்படையிலான சாதனங்கள், பல துறைகளில் கோர் ஃபேப்ரிக் லாஜிக்கை விநியோகிக்கும் ஒரு இணையான, துறை சார்ந்த கட்டமைப்பைப் பயன்படுத்துகின்றன. மீட்டமைப்பு சுற்றுக்கான ஆரம்ப உள்ளீடுகளில் ஒன்றாக ரீசெட் ரிலீஸ் ஆல்டெரா FPGA IP ஐப் பயன்படுத்த ஆல்டெரா உங்களை பரிந்துரைக்கிறது. இன்டெல்® SDM-அடிப்படையிலான சாதனங்களில் ஸ்ட்ராடிக்ஸ்® 10 மற்றும் அஜிலெக்ஸ்™ சாதனங்கள் அடங்கும். கட்டுப்பாட்டு-தொகுதி அடிப்படையிலான சாதனங்கள் இந்தத் தேவையால் பாதிக்கப்படுவதில்லை.
தொடர்புடைய தகவல்
AN 891: மீட்டமை வெளியீட்டைப் பயன்படுத்துதல் Altera FPGA IP
2.5. ஒரு முன்னிருப்பு முகவரை ஒதுக்குதல்
பிழை மறுமொழி இயல்புநிலை முகவராகச் செயல்படும் ஒரு இயல்புநிலை முகவரைக் குறிப்பிட பிளாட்ஃபார்ம் டிசைனர் உங்களை அனுமதிக்கிறது. நீங்கள் நியமிக்கும் இயல்புநிலை முகவர், முகவரி வரைபடத்தில் டிகோட் செய்யப்படாத அணுகல்களை முயற்சிக்கும் ஹோஸ்ட்களுக்கு பிழை மறுமொழி சேவையை வழங்குகிறது.
பின்வரும் சூழ்நிலைகள் டிகோட் செய்யப்படாத நிகழ்வைத் தூண்டுகின்றன:
· பேருந்து பரிவர்த்தனை பாதுகாப்பு நிலை மீறல்
· வரையறுக்கப்படாத நினைவகப் பகுதிக்கான பரிவர்த்தனை அணுகல்
· விதிவிலக்கு நிகழ்வு மற்றும் பல.
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 37
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் இயங்குதள வடிவமைப்பாளருடன் நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு.
726952 | 2025.07.16
வரையறுக்கப்படாத பரிவர்த்தனை இயல்புநிலை முகவருக்கு மாற்றப்பட்டு, பின்னர் Nios V செயலிக்கு பிழை பதிலுடன் பதிலளிக்கும் நிகழ்வுகளைக் கையாள ஒரு இயல்புநிலை முகவர் நியமிக்கப்பட வேண்டும்.
தொடர்புடைய தகவல்
· குவார்டஸ் பிரைம் ப்ரோ பதிப்பு பயனர் வழிகாட்டி: பிளாட்ஃபார்ம் வடிவமைப்பாளர். ஒரு இயல்புநிலை முகவரை நியமித்தல்
· குவார்டஸ் பிரைம் ப்ரோ பதிப்பு பயனர் வழிகாட்டி: பிளாட்ஃபார்ம் டிசைனர். பிழை பதில் ஸ்லேவ் ஆல்டெரா FPGA IP
· கிதுப் - Qsys க்கான துணை மீட்டமைப்பு கூறுகள்
2.6. அச்சிடுவதற்கு ஒரு UART முகவரை நியமித்தல்
மென்பொருள் பயன்பாட்டை பிழைத்திருத்துவதற்கும், உங்கள் கணினியின் நிலையை கண்காணிப்பதற்கும் அச்சிடுதல் பயனுள்ளதாக இருக்கும். தொடக்க செய்தி, பிழை செய்தி மற்றும் மென்பொருள் பயன்பாட்டின் செயல்பாட்டு முன்னேற்றம் போன்ற அடிப்படை தகவல்களை அச்சிட ஆல்டெரா பரிந்துரைக்கிறது.
பின்வரும் சூழ்நிலைகளில் printf() நூலக செயல்பாட்டைப் பயன்படுத்துவதைத் தவிர்க்கவும்: · எந்த ஹோஸ்டும் வெளியீட்டைப் படிக்கவில்லை என்றால், printf() நூலகம் பயன்பாட்டை நிறுத்தச் செய்கிறது.
இது J க்கு பொருந்தும்.TAG UART மட்டும். · printf() நூலகம் அதிக அளவு நிரல் நினைவகத்தைப் பயன்படுத்துகிறது.
2.6.1. J ஆல் கடைகளைத் தடுத்தல்TAG UART
அட்டவணை 23. பாரம்பரிய UART மற்றும் J இடையேயான வேறுபாடுகள்TAG UART
UART வகை பாரம்பரிய UART
விளக்கம்
வெளிப்புற ஹோஸ்ட் கேட்கிறதா இல்லையா என்பதைப் பொருட்படுத்தாமல் தொடர் தரவை அனுப்புகிறது. எந்த ஹோஸ்டும் தொடர் தரவைப் படிக்கவில்லை என்றால், தரவு இழக்கப்படும்.
JTAG UART
அனுப்பப்பட்ட தரவை ஒரு வெளியீட்டு இடையகத்திற்கு எழுதுகிறது மற்றும் அதை காலி செய்ய இடையகத்திலிருந்து படிக்க வெளிப்புற ஹோஸ்டை நம்பியுள்ளது.
ஜேTAG வெளியீட்டு இடையகம் நிரம்பும்போது UART இயக்கி காத்திருக்கிறது. JTAG UART இயக்கி, கூடுதல் டிரான்ஸ்மிட் தரவை எழுதுவதற்கு முன்பு, வெளியீட்டு இடையகத்திலிருந்து வெளிப்புற ஹோஸ்ட் படிக்கக் காத்திருக்கிறது. இந்த செயல்முறை டிரான்ஸ்மிட் தரவு இழப்பைத் தடுக்கிறது.
இருப்பினும், உற்பத்தியின் போது போன்ற கணினி பிழைத்திருத்தம் தேவைப்படாதபோது, உட்பொதிக்கப்பட்ட அமைப்புகள் J உடன் இணைக்கப்பட்ட ஹோஸ்ட் PC இல்லாமல் பயன்படுத்தப்படுகின்றன.TAG UART. அமைப்பு J ஐத் தேர்ந்தெடுத்தால்TAG UART முகவராக UART, வெளிப்புற ஹோஸ்ட் எதுவும் இணைக்கப்படாததால், அது அமைப்பை நிறுத்தக்கூடும்.
J-ஆல் ஏற்படும் தடைகளைத் தடுக்கTAG UART, பின்வரும் விருப்பங்களைப் பயன்படுத்தவும்:
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 38
கருத்தை அனுப்பவும்
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் இயங்குதள வடிவமைப்பாளருடன் நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு.
726952 | 2025.07.16
அட்டவணை 24. J ஆல் நிறுத்தப்படுவதைத் தடுத்தல்TAG UART
விருப்பங்கள்
UART இடைமுகம் மற்றும் இயக்கி எதுவும் இல்லை.
பிற UART இடைமுகம் மற்றும் இயக்கியைப் பயன்படுத்தவும்
ஜே-ஐப் பாதுகாக்கவும்TAG UART இடைமுகம் (இயக்கி இல்லாமல்)
வன்பொருள் மேம்பாட்டின் போது (பிளாட்ஃபார்ம் டிசைனரில்)
மென்பொருள் உருவாக்கத்தின் போது (போர்டு சப்போர்ட் பேக்கேஜ் எடிட்டரில்)
J ஐ அகற்றுTAG அமைப்பிலிருந்து UART
hal.stdin, hal.stdout மற்றும் hal.stderr ஐ எதுவுமில்லை என உள்ளமைக்கவும்.
J ஐ மாற்றவும்TAG மற்ற மென்மையான அமைப்புகளுடன் UART hal.stdin, hal.stdout மற்றும் hal.stderr ஐ உள்ளமைக்கவும்
UART ஐபி
மற்ற மென்மையான UART IP உடன்.
ஜே-ஐப் பாதுகாக்கவும்TAG அமைப்பில் UART
· போர்டு சப்போர்ட் பேக்கேஜ் எடிட்டரில் hal.stdin, hal.stdout மற்றும் hal.stderr ஆகியவற்றை None ஆக உள்ளமைக்கவும்.
· J ஐ முடக்குTAG BSP இயக்கி தாவலில் UART இயக்கி.
2.7. ஜேTAG சிக்னல்கள்
நியோஸ் V செயலி பிழைத்திருத்த தொகுதி J ஐப் பயன்படுத்துகிறதுTAG மென்பொருள் ELF பதிவிறக்கம் மற்றும் மென்பொருள் பிழைத்திருத்தத்திற்கான இடைமுகம். உங்கள் வடிவமைப்பை J உடன் பிழைத்திருத்தும்போதுTAG இடைமுகம், ஜேTAG வடிவமைப்பின் ஒரு பகுதியாக TCK, TMS, TDI மற்றும் TDO சமிக்ஞைகள் செயல்படுத்தப்படுகின்றன. J ஐக் குறிப்பிடுதல்TAG ஒவ்வொரு நியோஸ் V செயலி அமைப்பிலும் சமிக்ஞை கட்டுப்பாடுகள் ஒரு முக்கியமான கணினி வடிவமைப்பு கருத்தாகும், மேலும் இது சரியான தன்மை மற்றும் நிர்ணயிக்கும் நடத்தைக்கு அவசியமாகும்.
எந்தவொரு வடிவமைப்பின் கணினி கடிகார அதிர்வெண் J ஐ விட குறைந்தது நான்கு மடங்கு அதிகமாக இருக்க வேண்டும் என்று ஆல்டெரா பரிந்துரைக்கிறது.TAG ஆன்-சிப் இன்ஸ்ட்ரூமென்டேஷன் (OCI) கோர் சரியாக செயல்படுவதை உறுதிசெய்ய கடிகார அதிர்வெண்.
தொடர்புடைய தகவல் · Quartus® Prime Timing Analyzer சமையல் புத்தகம்: JTAG சிக்னல்கள்
ஜே பற்றிய கூடுதல் தகவலுக்குTAG நேரக் கட்டுப்பாடுகள் வழிகாட்டுதல்கள். · KDB: பைப்லைன் செய்யப்படாத Nios® V/m செயலியுடன் niosv-பதிவிறக்கம் ஏன் தோல்வியடைகிறது?
JTAG அதிர்வெண் 24MHz அல்லது 16Mhz?
2.8. இயங்குதள வடிவமைப்பாளர் அமைப்பு செயல்திறனை மேம்படுத்துதல்
ஆல்டெரா FPGA வடிவமைப்புகளுக்கான சிஸ்டம் இன்டர்கனெக்டின் செயல்திறனை மேம்படுத்துவதற்கான கருவிகளை பிளாட்ஃபார்ம் டிசைனர் வழங்குகிறது.
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 39
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் இயங்குதள வடிவமைப்பாளருடன் நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு.
726952 | 2025.07.16
படம் 25. உகப்பாக்கம் Exampலெஸ்
முன்னாள்ampபடத்தில் காட்டப்பட்டுள்ள le பின்வரும் படிகளைக் காட்டுகிறது:
1. முக்கியமான பாதைகளைத் தணிக்க பைப்லைன் பாலத்தை சேர்க்கிறது: a. வழிமுறை மேலாளர் மற்றும் அதன் முகவர்களுக்கு இடையில் b. தரவு மேலாளர் மற்றும் அதன் முகவர்களுக்கு இடையில்
2. ட்ரூ டூயல் போர்ட் ஆன்-சிப் ரேமைப் பயன்படுத்தவும், ஒவ்வொரு போர்ட்டும் முறையே வழிமுறை மேலாளர் மற்றும் தரவு மேலாளருக்கு அர்ப்பணிக்கப்பட வேண்டும்.
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 40
கருத்தை அனுப்பவும்
2. குவார்டஸ் பிரைம் மென்பொருள் மற்றும் பிளாட்ஃபார்ம் டிசைனருடன் கூடிய நியோஸ் வி செயலி வன்பொருள் அமைப்பு வடிவமைப்பு 726952 | 2025.07.16
கிடைக்கக்கூடிய கருவிகளைப் பயன்படுத்துவதற்கான நுட்பங்களையும், ஒவ்வொரு செயல்படுத்தலின் சமரசங்களையும் வழங்கும் பின்வரும் தொடர்புடைய இணைப்புகளைப் பார்க்கவும்.
தொடர்புடைய தகவல் · Quartus® Prime Pro பதிப்பு பயனர் வழிகாட்டி: பிளாட்ஃபார்ம் டிசைனர்
மேலும் தகவலுக்கு பிளாட்ஃபார்ம் டிசைனர் சிஸ்டம் செயல்திறனை மேம்படுத்துதல் என்ற தலைப்பைப் பார்க்கவும். · Quartus® Prime Standard Edition பயனர் வழிகாட்டி: பிளாட்ஃபார்ம் டிசைனர் மேலும் தகவலுக்கு பிளாட்ஃபார்ம் டிசைனர் சிஸ்டம் செயல்திறனை மேம்படுத்துதல் என்ற தலைப்பைப் பார்க்கவும்.
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 41
726952 | 2025.07.16 கருத்தை அனுப்பவும்
3. நியோஸ் V செயலி மென்பொருள் அமைப்பு வடிவமைப்பு
இந்த அத்தியாயம் நியோஸ் V செயலி மென்பொருள் மேம்பாட்டு ஓட்டத்தையும், உங்கள் உட்பொதிக்கப்பட்ட வடிவமைப்பு அமைப்பை உருவாக்குவதில் நீங்கள் பயன்படுத்தக்கூடிய மென்பொருள் கருவிகளையும் விவரிக்கிறது. உள்ளடக்கம் ஒரு மேலோட்டமாக செயல்படுகிறது.view நியோஸ் வி செயலி மென்பொருள் அமைப்பை உருவாக்குவதற்கு முன்பு.
படம் 26. மென்பொருள் வடிவமைப்பு ஓட்டம்
தொடங்கு
BSP எடிட்டரைப் பயன்படுத்தி பிளாட்ஃபார்ம் டிசைனரில் BSP ஐ உருவாக்கவும்.
நியோஸ் V கட்டளை ஷெல்லைப் பயன்படுத்தி BSP ஐ உருவாக்குங்கள்.
CMake Build பயன்பாட்டை உருவாக்கவும் File நியோஸ் V கட்டளை ஷெல்லைப் பயன்படுத்துதல்
குறிப்பு:
BSP மற்றும் பயன்பாட்டு CMake கட்டமைப்பை இறக்குமதி செய்யவும். File
நியோஸ் V செயலி பயன்பாட்டைப் பயன்படுத்தி உருவாக்கவும்
இன்டெல் FPGA க்கான RiscFree IDE
ஏதேனும் ஒன்றைப் பயன்படுத்தி நியோஸ் வி செயலி பயன்பாட்டை உருவாக்கவும்
கட்டளை வரி மூல குறியீடு திருத்தி, CMake, மற்றும் Make
கட்டளைகள்
முடிவு
மென்பொருள் மேம்பாடு மற்றும் பிழைத்திருத்தத்திற்கு Altera FPGA டெவலப்மென்ட் கிட் அல்லது தனிப்பயன் முன்மாதிரி பலகையைப் பயன்படுத்துமாறு Altera பரிந்துரைக்கிறது. உங்கள் மென்பொருள் உண்மையான பலகையில் இயங்கும்போது மட்டுமே பல புற சாதனங்கள் மற்றும் கணினி-நிலை அம்சங்கள் கிடைக்கும்.
© ஆல்டெரா கார்ப்பரேஷன். ஆல்டெரா, ஆல்டெரா லோகோ, `a' லோகோ மற்றும் பிற ஆல்டெரா முத்திரைகள் ஆல்டெரா கார்ப்பரேஷனின் வர்த்தக முத்திரைகள். எந்த நேரத்திலும் எந்த தயாரிப்புகள் மற்றும் சேவைகளிலும் அறிவிப்பு இல்லாமல் மாற்றங்களைச் செய்யும் உரிமையை ஆல்டெரா கொண்டுள்ளது. ஆல்டெராவால் எழுத்துப்பூர்வமாக வெளிப்படையாக ஒப்புக் கொள்ளப்பட்டதைத் தவிர, இங்கு விவரிக்கப்பட்டுள்ள எந்தவொரு தகவல், தயாரிப்பு அல்லது சேவையின் பயன்பாடு அல்லது பயன்பாட்டிலிருந்து எழும் எந்தப் பொறுப்பையும் பொறுப்பையும் ஆல்டெரா ஏற்காது. வெளியிடப்பட்ட எந்தவொரு தகவலையும் நம்புவதற்கு முன்பும், தயாரிப்புகள் அல்லது சேவைகளுக்கான ஆர்டர்களை வழங்குவதற்கு முன்பும் சாதன விவரக்குறிப்புகளின் சமீபத்திய பதிப்பைப் பெற ஆல்டெரா வாடிக்கையாளர்கள் அறிவுறுத்தப்படுகிறார்கள். *பிற பெயர்கள் மற்றும் பிராண்டுகள் மற்றவர்களின் சொத்தாகக் கோரப்படலாம்.
3. நியோஸ் V செயலி மென்பொருள் அமைப்பு வடிவமைப்பு 726952 | 2025.07.16
3.1. நியோஸ் V செயலி மென்பொருள் மேம்பாட்டு ஓட்டம்
3.1.1. பலகை ஆதரவு தொகுப்பு திட்டம்
நியோஸ் வி போர்டு சப்போர்ட் பேக்கேஜ் (பிஎஸ்பி) திட்டம் என்பது சிஸ்டம்-குறிப்பிட்ட சப்போர்ட் குறியீட்டைக் கொண்ட ஒரு சிறப்பு நூலகமாகும். ஒரு நியோஸ் வி செயலி வன்பொருள் அமைப்பில் ஒரு செயலிக்கு தனிப்பயனாக்கப்பட்ட மென்பொருள் இயக்க நேர சூழலை ஒரு பிஎஸ்பி வழங்குகிறது.
குவார்டஸ் பிரைம் மென்பொருள், BSPயின் நடத்தையைக் கட்டுப்படுத்தும் அமைப்புகளை மாற்றியமைக்க Nios V Board Support Package Editor மற்றும் niosv-bsp பயன்பாட்டு கருவிகளை வழங்குகிறது.
ஒரு BSP பின்வரும் கூறுகளைக் கொண்டுள்ளது: · வன்பொருள் சுருக்க அடுக்கு · சாதன இயக்கிகள் · விருப்ப மென்பொருள் தொகுப்புகள் · விருப்ப நிகழ்நேர இயக்க முறைமை
3.1.2. பயன்பாட்டுத் திட்டம்
ஒரு Nios VC/C++ பயன்பாட்டுத் திட்டம் பின்வரும் அம்சங்களைக் கொண்டுள்ளது: · மூலக் குறியீட்டின் தொகுப்பு மற்றும் CMakeLists.txt ஆகியவற்றைக் கொண்டுள்ளது.
— CMakeLists.txt மூலக் குறியீட்டைத் தொகுத்து, அதை ஒரு BSP மற்றும் ஒன்று அல்லது அதற்கு மேற்பட்ட விருப்ப நூலகங்களுடன் இணைத்து, ஒரு .elf ஐ உருவாக்குகிறது. file
· மூலங்களில் ஒன்று files என்பது main() என்ற செயல்பாட்டைக் கொண்டுள்ளது. · நூலகங்கள் மற்றும் BSPகளில் செயல்பாடுகளை அழைக்கும் குறியீட்டை உள்ளடக்கியது.
Altera, CMakeLists.txt பயன்பாட்டை உருவாக்க Quartus Prime மென்பொருள் பயன்பாட்டு கருவிகளில் niosv-பயன்பாட்டு பயன்பாட்டு கருவியையும், Eclipse-அடிப்படையிலான சூழலில் மூலக் குறியீட்டை மாற்றியமைக்க Altera FPGA களுக்கான RiscFree IDE ஐயும் வழங்குகிறது.
3.2. ஆல்டெரா FPGA உட்பொதிக்கப்பட்ட மேம்பாட்டு கருவிகள்
நியோஸ் V செயலி மென்பொருள் மேம்பாட்டிற்கான பின்வரும் கருவிகளை ஆதரிக்கிறது: · வரைகலை பயனர் இடைமுகம் (GUI) - கிடைக்கக்கூடிய வரைகலை மேம்பாட்டு கருவிகள்
விண்டோஸ்* மற்றும் லினக்ஸ்* இயக்க முறைமைகள் (OS). — Nios V Board Support Package Editor (Nios V BSP Editor) — Altera FPGA களுக்கான Ashling RiscFree IDE · Command-Line Tools (CLI) – Nios V Command Shell இலிருந்து தொடங்கப்படும் மேம்பாட்டு கருவிகள். ஒவ்வொரு கருவியும் கட்டளை வரியிலிருந்து அணுகக்கூடிய உதவி வடிவத்தில் அதன் சொந்த ஆவணங்களை வழங்குகிறது. Nios V Command Shell ஐத் திறந்து பின்வரும் கட்டளையைத் தட்டச்சு செய்யவும்: –உதவி செய்ய view உதவி மெனு. — Nios V பயன்பாட்டு கருவிகள் — File வடிவமைப்பு மாற்ற கருவிகள் — பிற பயன்பாட்டு கருவிகள்
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 43
3. நியோஸ் V செயலி மென்பொருள் அமைப்பு வடிவமைப்பு 726952 | 2025.07.16
அட்டவணை 25. GUI கருவிகள் மற்றும் கட்டளை வரி கருவிகள் பணிகளின் சுருக்கம்
பணி
GUI கருவி
கட்டளை வரி கருவி
ஒரு BSP-ஐ உருவாக்குதல்
நியோஸ் வி பிஎஸ்பி ஆசிரியர்
· குவார்டஸ் பிரைம் ப்ரோ பதிப்பு மென்பொருளில்: niosv-bsp -c -s=<.qsys file> -t= [விருப்பங்கள்] அமைப்புகள்.bsp
· குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு மென்பொருளில்: niosv-bsp -c -s=<.sopcinfo file> -t= [விருப்பங்கள்] அமைப்புகள்.bsp
ஏற்கனவே உள்ள .bsp ஐப் பயன்படுத்தி BSP ஐ உருவாக்குதல் file
BSP-ஐப் புதுப்பித்தல்
நியோஸ் வி பிஎஸ்பி எடிட்டர் நியோஸ் வி பிஎஸ்பி எடிட்டர்
niosv-bsp -g [விருப்பங்கள்] அமைப்புகள்.bsp niosv-bsp -u [விருப்பங்கள்] அமைப்புகள்.bsp
ஒரு BSP-ஐ ஆய்வு செய்தல்
நியோஸ் வி பிஎஸ்பி ஆசிரியர்
நியோஸ்வி-பிஎஸ்பி -க்யூ -இ= [விருப்பங்கள்] அமைப்புகள்.bsp
ஒரு பயன்பாட்டை உருவாக்குதல்
–
நியோஸ்வி-ஆப் -ஏ= -b= -கள்= files கோப்பகம்> [விருப்பங்கள்]
ஒரு பயனர் நூலகத்தை உருவாக்குதல்
–
நியோஸ்வி-ஆப் -எல்= -கள்= files அடைவு> -p= [விருப்பங்கள்]
ஒரு பயன்பாட்டை மாற்றியமைத்தல் ஒரு பயனர் நூலகத்தை மாற்றியமைத்தல் ஒரு பயன்பாட்டை உருவாக்குதல்
ஆல்டெரா FPGAக்களுக்கான RiscFree IDE
ஆல்டெரா FPGAக்களுக்கான RiscFree IDE
ஆல்டெரா FPGAக்களுக்கான RiscFree IDE
எந்த கட்டளை வரி மூல எடிட்டரும்
எந்த கட்டளை வரி மூல எடிட்டரும்
· உருவாக்கு · சிமேக்
ஒரு பயனர் நூலகத்தை உருவாக்குதல்
ஆல்டெரா FPGAக்களுக்கான RiscFree IDE
· உருவாக்கு · சிமேக்
ELF பயன்பாட்டைப் பதிவிறக்குகிறது
.elf ஐ மாற்றுதல் file
ஆல்டெரா FPGAக்களுக்கான RiscFree IDE
–
niosv-பதிவிறக்கம்
· எல்ஃப்2ஃப்ளாஷ் · எல்ஃப்2ஹெக்ஸ்
தொடர்புடைய தகவல்
ஆல்டெரா FPGAs பயனர் வழிகாட்டிக்கான ஆஷ்லிங் ரிஸ்க்ஃப்ரீ ஒருங்கிணைந்த மேம்பாட்டு சூழல் (IDE)
3.2.1. நியோஸ் V செயலி பலகை ஆதரவு தொகுப்பு எடிட்டர்
பின்வரும் பணிகளைச் செய்ய நீங்கள் Nios V செயலி BSP எடிட்டரைப் பயன்படுத்தலாம்: · Nios V செயலி BSP திட்டத்தை உருவாக்குதல் அல்லது மாற்றுதல் · அமைப்புகள், இணைப்புப் பகுதிகள் மற்றும் பிரிவு மேப்பிங்குகளைத் திருத்து · மென்பொருள் தொகுப்புகள் மற்றும் சாதன இயக்கிகளைத் தேர்ந்தெடுக்கவும்.
BSP எடிட்டரின் திறன்களில் niosv-bsp பயன்பாடுகளின் திறன்களும் அடங்கும். BSP எடிட்டரில் உருவாக்கப்பட்ட எந்தவொரு திட்டப்பணியையும் கட்டளை வரி பயன்பாடுகளைப் பயன்படுத்தி உருவாக்கலாம்.
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 44
கருத்தை அனுப்பவும்
3. நியோஸ் V செயலி மென்பொருள் அமைப்பு வடிவமைப்பு 726952 | 2025.07.16
குறிப்பு:
குவார்டஸ் பிரைம் ஸ்டாண்டர்ட் பதிப்பு மென்பொருளுக்கு, BSP எடிட்டர் GUI ஐ செயல்படுத்துவதற்கான படிகளுக்கு AN 980: நியோஸ் V செயலி குவார்டஸ் பிரைம் மென்பொருள் ஆதரவைப் பார்க்கவும்.
BSP எடிட்டரைத் தொடங்க, இந்தப் படிகளைப் பின்பற்றவும்: 1. பிளாட்ஃபார்ம் டிசைனரைத் திறந்து, File மெனு.
a. ஏற்கனவே உள்ள BSP அமைப்பைத் திறக்க file, திற என்பதைக் கிளிக் செய்யவும்… b. புதிய BSP ஐ உருவாக்க, புதிய BSP… என்பதைக் கிளிக் செய்யவும் 2. BSP எடிட்டர் தாவலைத் தேர்ந்தெடுத்து பொருத்தமான விவரங்களை வழங்கவும்.
படம் 27. BSP எடிட்டரைத் தொடங்கவும்
தொடர்புடைய தகவல் AN 980: நியோஸ் V செயலி குவார்டஸ் பிரைம் மென்பொருள் ஆதரவு
3.2.2. ஆல்டெரா FPGAக்களுக்கான RiscFree IDE
Altera FPGA-களுக்கான RiscFree IDE என்பது Nios V செயலிக்கான Eclipse-அடிப்படையிலான IDE ஆகும். பின்வரும் காரணங்களுக்காக இந்த IDE-யில் Nios V செயலி மென்பொருளை உருவாக்க Altera பரிந்துரைக்கிறது: · அம்சங்கள் Nios V உடன் இணக்கமாக உருவாக்கப்பட்டு சரிபார்க்கப்பட்டுள்ளன.
செயலி உருவாக்க ஓட்டம். · தேவையான அனைத்து கருவிச் சங்கிலிகள் மற்றும் துணை கருவிகளுடன் பொருத்தப்பட்டிருக்கும், இது உங்களை செயல்படுத்துகிறது
நியோஸ் வி செயலி மேம்பாட்டை எளிதாகத் தொடங்க.
தொடர்புடைய தகவல் ஆல்டெரா FPGAs பயனர் வழிகாட்டிக்கான Ashling RiscFree ஒருங்கிணைந்த மேம்பாட்டு சூழல் (IDE)
3.2.3. நியோஸ் V பயன்பாட்டு கருவிகள்
கட்டளை வரியில் தட்டச்சு செய்யப்பட்ட கட்டளைகள் அல்லது ஸ்கிரிப்டில் உட்பொதிக்கப்பட்ட கட்டளைகளைப் பயன்படுத்தி நீங்கள் Nios V நிரல்களை உருவாக்கலாம், மாற்றலாம் மற்றும் உருவாக்கலாம். இந்தப் பிரிவில் விவரிக்கப்பட்டுள்ள Nios V கட்டளை வரி கருவிகள் /niosv/bin அடைவு.
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 45
3. நியோஸ் V செயலி மென்பொருள் அமைப்பு வடிவமைப்பு 726952 | 2025.07.16
அட்டவணை 26. நியோஸ் V பயன்பாட்டு கருவிகள்
கட்டளை வரி கருவிகள்
சுருக்கம்
niosv-ஆப் niosv-bsp niosv-ஷெல் niosv-ஸ்டாக்-ரிப்போர்ட்டைப் பதிவிறக்கவும்
ஒரு பயன்பாட்டு திட்டத்தை உருவாக்கி உள்ளமைக்க.
BSP அமைப்புகளை உருவாக்க அல்லது புதுப்பிக்க file மற்றும் பகுஜன் சமாஜ் கட்சியை உருவாக்குங்கள் fileELF-ஐ பதிவிறக்கம் செய்ய file ஒரு Nios® V செயலிக்கு.
Nios V கட்டளை ஷெல்லைத் திறக்க. உங்கள் பயன்பாட்டிற்கு மீதமுள்ள நினைவக இடத்தைப் பற்றி உங்களுக்குத் தெரிவிக்க .elf ஸ்டேக் அல்லது குவியல் பயன்பாட்டிற்காக.
3.2.4 File வடிவமைப்பு மாற்ற கருவிகள்
File ஒரு பயன்பாட்டிலிருந்து மற்றொரு பயன்பாட்டிற்கு தரவை அனுப்பும்போது சில நேரங்களில் வடிவமைப்பு மாற்றம் அவசியம். file வடிவமைப்பு மாற்ற கருவிகள் உள்ளன
மென்பொருள் நிறுவல் கோப்பகம்>/niosv/bin கோப்பகம்.
அட்டவணை 27. File வடிவமைப்பு மாற்ற கருவிகள்
கட்டளை வரி கருவிகள் elf2flash elf2hex
சுருக்கம் .elf ஐ மொழிபெயர்க்க file ஃபிளாஷ் நினைவக நிரலாக்கத்திற்கான .srec வடிவத்திற்கு. .elf ஐ மொழிபெயர்க்க file நினைவக துவக்கத்திற்கான .hex வடிவத்திற்கு.
3.2.5. பிற பயன்பாட்டு கருவிகள்
நியோஸ் வி செயலி அடிப்படையிலான அமைப்பை உருவாக்கும்போது உங்களுக்கு பின்வரும் கட்டளை வரி கருவிகள் தேவைப்படலாம். இந்த கட்டளை வரி கருவிகள் இன்டெல்லால் வழங்கப்படுகின்றன /குவார்ட்டஸ்/பின் அல்லது பெறப்பட்டது
திறந்த மூல கருவிகள்.
அட்டவணை 28. பிற கட்டளை வரி கருவிகள்
கட்டளை வரி கருவிகள்
வகை
சுருக்கம்
ஜூஆர்ட்-டெர்மினல்
இன்டெல் வழங்கியது
stdout மற்றும் stderr ஐ கண்காணிக்கவும், Nios® V செயலிக்கு உள்ளீட்டை வழங்கவும்
stdin வழியாக துணை அமைப்பு. இந்த கருவி J க்கு மட்டுமே பொருந்தும்TAG Nios® V செயலியுடன் இணைக்கப்படும்போது UART IP.
ஓபன்ஓசிடி
OpenOCD ஐ இயக்க இன்டெல் வழங்கியது.
openocd-cfg-gen தமிழ் in இல்
இன்டெல் வழங்கியது · OpenOCD உள்ளமைவை உருவாக்க file· J ஐக் காட்டTAG சங்கிலி சாதன குறியீடு.
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 46
கருத்தை அனுப்பவும்
726952 | 2025.07.16 கருத்தை அனுப்பவும்
4. நியோஸ் வி செயலி கட்டமைப்பு மற்றும் துவக்க தீர்வுகள்
வெவ்வேறு நினைவக இடங்களிலிருந்து மென்பொருளை துவக்கி இயக்க நியோஸ் V செயலியை நீங்கள் உள்ளமைக்கலாம். துவக்க நினைவகம் குவாட் சீரியல் புற இடைமுகம் (QSPI) ஃபிளாஷ், ஆன்-சிப் நினைவகம் (OCRAM) அல்லது டைட்லி கப்பிள்டு மெமரி (TCM) ஆகும்.
தொடர்புடைய தகவல் · பக்கம் 193 இல் பவர்-அப் தூண்டுதல் நிபந்தனைகள் · பவர்-அப் தூண்டுதல்கள்
பவர்-அப் தூண்டுதல்கள் பற்றிய கூடுதல் தகவலுக்கு.
4.1. அறிமுகம்
நியோஸ் V செயலி இரண்டு வகையான துவக்க செயல்முறைகளை ஆதரிக்கிறது: · alt_load() செயல்பாட்டைப் பயன்படுத்தி இயக்கு-இன்-பிளேஸ் (XIP) · துவக்க நகலெடுப்பாளரைப் பயன்படுத்தி RAM க்கு நகலெடுக்கப்பட்ட நிரல். நியோஸ் V உட்பொதிக்கப்பட்ட நிரல் மேம்பாடு வன்பொருள் சுருக்க அடுக்கை (HAL) அடிப்படையாகக் கொண்டது. HAL ஒரு சிறிய துவக்க ஏற்றி நிரலை வழங்குகிறது (பூட் நகலெடுப்பாளர் என்றும் அழைக்கப்படுகிறது), இது துவக்க நேரத்தில் துவக்க நினைவகத்திலிருந்து தொடர்புடைய இணைப்பான் பிரிவுகளை அவற்றின் இயக்க நேர இடத்திற்கு நகலெடுக்கிறது. போர்டு சப்போர்ட் பேக்கேஜ் (BSP) எடிட்டர் அமைப்புகளை கையாளுவதன் மூலம் நிரல் மற்றும் தரவு நினைவக இயக்க நேர இருப்பிடங்களை நீங்கள் குறிப்பிடலாம். இந்தப் பிரிவு விவரிக்கிறது: · உங்கள் நியோஸ் V செயலி அமைப்பைப் பூட் செய்யும் நியோஸ் V செயலி துவக்க நகலெடுப்பாளர்
துவக்க நினைவகத் தேர்வு · நியோஸ் வி செயலி துவக்க விருப்பங்கள் மற்றும் பொதுவான ஓட்டம் · தேர்ந்தெடுக்கப்பட்ட துவக்க நினைவகத்திற்கான நியோஸ் வி நிரலாக்க தீர்வுகள்
4.2. பயன்பாடுகளை இணைத்தல்
நீங்கள் Nios V செயலி திட்டத்தை உருவாக்கும்போது, BSP எடிட்டர் இரண்டு இணைப்பி தொடர்பானவற்றை உருவாக்குகிறது files: · linker.x: இணைப்பான் கட்டளை file உருவாக்கப்பட்ட பயன்பாட்டின் உருவாக்கம்file பயன்படுத்துகிறது
.elf பைனரியை உருவாக்க file. · linker.h: இணைப்பான் நினைவக அமைப்பைப் பற்றிய தகவல்களைக் கொண்டுள்ளது. BSP திட்டத்தில் நீங்கள் செய்யும் அனைத்து இணைப்பான் அமைப்பு மாற்றங்களும் இந்த இரண்டு இணைப்பான்களின் உள்ளடக்கங்களைப் பாதிக்கின்றன. files. ஒவ்வொரு Nios V செயலி பயன்பாட்டிலும் பின்வரும் இணைப்பான் பிரிவுகள் உள்ளன:
© ஆல்டெரா கார்ப்பரேஷன். ஆல்டெரா, ஆல்டெரா லோகோ, `a' லோகோ மற்றும் பிற ஆல்டெரா முத்திரைகள் ஆல்டெரா கார்ப்பரேஷனின் வர்த்தக முத்திரைகள். எந்த நேரத்திலும் எந்த தயாரிப்புகள் மற்றும் சேவைகளிலும் அறிவிப்பு இல்லாமல் மாற்றங்களைச் செய்யும் உரிமையை ஆல்டெரா கொண்டுள்ளது. ஆல்டெராவால் எழுத்துப்பூர்வமாக வெளிப்படையாக ஒப்புக் கொள்ளப்பட்டதைத் தவிர, இங்கு விவரிக்கப்பட்டுள்ள எந்தவொரு தகவல், தயாரிப்பு அல்லது சேவையின் பயன்பாடு அல்லது பயன்பாட்டிலிருந்து எழும் எந்தப் பொறுப்பையும் பொறுப்பையும் ஆல்டெரா ஏற்காது. வெளியிடப்பட்ட எந்தவொரு தகவலையும் நம்புவதற்கு முன்பும், தயாரிப்புகள் அல்லது சேவைகளுக்கான ஆர்டர்களை வழங்குவதற்கு முன்பும் சாதன விவரக்குறிப்புகளின் சமீபத்திய பதிப்பைப் பெற ஆல்டெரா வாடிக்கையாளர்கள் அறிவுறுத்தப்படுகிறார்கள். *பிற பெயர்கள் மற்றும் பிராண்டுகள் மற்றவர்களின் சொத்தாகக் கோரப்படலாம்.
4. நியோஸ் V செயலி கட்டமைப்பு மற்றும் துவக்க தீர்வுகள் 726952 | 2025.07.16
அட்டவணை 29. இணைப்பான் பிரிவுகள்
.உரை
இணைப்பான் பிரிவுகள்
.ரோடாட்டா
.rwdata
.பி.எஸ்.எஸ்.
.குவியல்
.ஸ்டாக்
விளக்கங்கள் செயல்படுத்தக்கூடிய குறியீடு. நிரலை செயல்படுத்துவதில் பயன்படுத்தப்படும் எந்த படிக்க-மட்டும் தரவும். நிரலை செயல்படுத்துவதில் பயன்படுத்தப்படும் படிக்க-எழுதும் தரவைச் சேமிக்கிறது. துவக்கப்படாத நிலையான தரவைக் கொண்டுள்ளது. மாறும் வகையில் ஒதுக்கப்பட்ட நினைவகத்தைக் கொண்டுள்ளது. செயல்பாட்டு-அழைப்பு அளவுருக்கள் மற்றும் பிற தற்காலிக தரவைச் சேமிக்கிறது.
நீங்கள் .elf இல் கூடுதல் இணைப்பான் பிரிவுகளைச் சேர்க்கலாம் file தனிப்பயன் குறியீடு மற்றும் தரவை வைத்திருக்க. இந்த இணைப்பான் பிரிவுகள் பெயரிடப்பட்ட நினைவக பகுதிகளில் வைக்கப்படுகின்றன, அவை இயற்பியல் நினைவக சாதனங்கள் மற்றும் முகவரிகளுடன் ஒத்துப்போக வரையறுக்கப்படுகின்றன. முன்னிருப்பாக, BSP எடிட்டர் இந்த இணைப்பான் பிரிவுகளை தானாகவே உருவாக்குகிறது. இருப்பினும், ஒரு குறிப்பிட்ட பயன்பாட்டிற்கான இணைப்பான் பிரிவுகளை நீங்கள் கட்டுப்படுத்தலாம்.
4.2.1. இணைக்கும் நடத்தை
இந்தப் பிரிவு BSP எடிட்டரின் இயல்புநிலை இணைப்பு நடத்தை மற்றும் இணைப்பு நடத்தையை எவ்வாறு கட்டுப்படுத்துவது என்பதை விவரிக்கிறது.
4.2.1.1. இயல்புநிலை BSP இணைப்பு
BSP உள்ளமைவின் போது, கருவிகள் பின்வரும் படிகளை தானாகவே செய்கின்றன:
1. நினைவகப் பகுதிப் பெயர்களை ஒதுக்கவும்: ஒவ்வொரு கணினி நினைவக சாதனத்திற்கும் ஒரு பெயரை ஒதுக்கி, ஒவ்வொரு பெயரையும் இணைப்பியில் சேர்க்கவும். file ஒரு நினைவகப் பகுதியாக.
2. மிகப்பெரிய நினைவகத்தைக் கண்டறியவும்: இணைப்பியில் மிகப்பெரிய படிக்க-எழுத நினைவகப் பகுதியை அடையாளம் காணவும். file.
3. இணைப்பான் பிரிவுகளை ஒதுக்கவும்: முந்தைய படியில் அடையாளம் காணப்பட்ட நினைவகப் பகுதியில் இயல்புநிலை இணைப்பான் பிரிவுகளை (.text, .rodata, .rwdata, .bss, .heap, மற்றும் .stack) வைக்கவும்.
4. எழுது files: linker.x மற்றும் linker.h ஐ எழுதவும். files.
பொதுவாக, மென்பொருள் மேம்பாட்டுச் செயல்பாட்டின் போது இணைப்பான் பிரிவு ஒதுக்கீட்டுத் திட்டம் செயல்படும், ஏனெனில் நினைவகம் போதுமான அளவு பெரியதாக இருந்தால் பயன்பாடு செயல்படும் என்பது உறுதி.
இயல்புநிலை இணைப்பு நடத்தைக்கான விதிகள், Altera-உருவாக்கிய Tcl ஸ்கிரிப்ட்களான bsp-set-defaults.tcl மற்றும் bsp-linker-utils.tcl இல் உள்ளன. /niosv/scripts/bsp-defaults கோப்பகம். niosv-bsp கட்டளை இந்த ஸ்கிரிப்ட்களை செயல்படுத்துகிறது. இந்த ஸ்கிரிப்ட்களை நேரடியாக மாற்ற வேண்டாம்.
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 48
கருத்தை அனுப்பவும்
4. நியோஸ் V செயலி கட்டமைப்பு மற்றும் துவக்க தீர்வுகள் 726952 | 2025.07.16
4.2.1.2. உள்ளமைக்கக்கூடிய BSP இணைப்பு
BSP எடிட்டரின் லிங்கர் ஸ்கிரிப்ட் தாவலில் இயல்புநிலை இணைப்பு நடத்தையை நீங்கள் நிர்வகிக்கலாம். பின்வரும் முறைகளைப் பயன்படுத்தி லிங்கர் ஸ்கிரிப்டை கையாளவும்: · ஒரு நினைவகப் பகுதியைச் சேர்க்கவும்: ஒரு நினைவகப் பகுதியை ஒரு இயற்பியல் நினைவக சாதனத்திற்கு வரைபடமாக்கவும். · ஒரு பிரிவு மேப்பிங்கைச் சேர்க்கவும்: ஒரு பகுதி பெயரை ஒரு நினைவகப் பகுதிக்கு வரைபடமாக்கவும். BSP
எடிட்டர் உங்களை அனுமதிக்கிறது view மாற்றங்களைச் செய்வதற்கு முன்னும் பின்னும் நினைவக வரைபடம்.
4.3. நியோஸ் V செயலி துவக்க முறைகள்
ஆல்டெரா FPGA சாதனங்களில் நியோஸ் V செயலியை துவக்க சில முறைகள் உள்ளன. நியோஸ் V செயலியை துவக்குவதற்கான முறைகள் ஃபிளாஷ் நினைவக தேர்வு மற்றும் சாதன குடும்பங்களைப் பொறுத்து மாறுபடும்.
அட்டவணை 30. அந்தந்த துவக்க விருப்பங்களுடன் ஆதரிக்கப்படும் ஃபிளாஷ் நினைவகங்கள்
ஆதரிக்கப்படும் துவக்க நினைவுகள்
சாதனம்
ஆன்-சிப் ஃபிளாஷ் (உள் உள்ளமைவுக்கு)
அதிகபட்சம் 10 சாதனங்கள் மட்டும் (ஆன்-சிப் ஃபிளாஷ் ஐபியுடன்)
பொது நோக்கத்திற்கான QSPI ஃபிளாஷ் (பயனர் தரவுகளுக்கு மட்டும்)
ஆதரிக்கப்படும் அனைத்து FPGA சாதனங்களும் (பொதுவான சீரியல் ஃப்ளாஷ் இடைமுகம் FPGA IP உடன்)
QSPI ஃபிளாஷ் கட்டமைப்பு (செயலில் உள்ள தொடர் கட்டமைப்புக்கு)
கட்டுப்பாட்டு தொகுதி அடிப்படையிலானது
சாதனங்கள் (பொதுவானவையுடன்
சீரியல் ஃப்ளாஷ் இடைமுகம் இன்டெல் FPGA IP)(2)
நியோஸ் V செயலி துவக்க முறைகள்
பயன்பாட்டின் இயக்க நேர இடம்
துவக்க நகலி
நியோஸ் V செயலி பயன்பாடு ஆன்-சிப் ஃபிளாஷிலிருந்து இயங்கும் இடத்தில்
ஆன்-சிப் ஃபிளாஷ் (XIP) + OCRAM/ வெளிப்புற RAM (எழுதக்கூடிய தரவு பிரிவுகளுக்கு)
alt_load() செயல்பாடு
பூட் காப்பியரைப் பயன்படுத்தி ஆன்-சிப் ஃபிளாஷிலிருந்து RAM க்கு நகலெடுக்கப்பட்ட நியோஸ் V செயலி பயன்பாடு.
OCRAM/வெளிப்புற ரேம்
GSFI வழியாக பூட்லோடரை மீண்டும் பயன்படுத்துதல்
பொது நோக்கத்திற்கான QSPI ஃபிளாஷிலிருந்து இயங்கும் Nios V செயலி பயன்பாடு.
பொது நோக்கத்திற்கான QSPI ஃபிளாஷ் (XIP) + OCRAM/ வெளிப்புற RAM (எழுதக்கூடிய தரவு பிரிவுகளுக்கு)
alt_load() செயல்பாடு
பூட் காப்பியரைப் பயன்படுத்தி பொது நோக்கத்திற்கான QSPI ஃபிளாஷிலிருந்து RAM க்கு நகலெடுக்கப்பட்ட Nios V செயலி பயன்பாடு.
OCRAM/வெளிப்புற ரேம்
GSFI வழியாக பூட்லோடர்
QSPI ஃபிளாஷ் உள்ளமைவிலிருந்து நியோஸ் V செயலி பயன்பாடு இயங்கும் இடத்தில்
QSPI ஃபிளாஷ் (XIP) + OCRAM/ வெளிப்புற RAM (எழுதக்கூடிய தரவு பிரிவுகளுக்கு) கட்டமைப்பு
alt_load() செயல்பாடு
துவக்க நகலெடுப்பியைப் பயன்படுத்தி உள்ளமைவு QSPI ஃபிளாஷிலிருந்து RAM க்கு நகலெடுக்கப்பட்ட Nios V செயலி பயன்பாடு.
GSFI வழியாக OCRAM/ வெளிப்புற RAM பூட்லோடர் தொடர்கிறது…
(2) சாதனப் பட்டியலுக்கு AN 980: Nios V செயலி Quartus Prime மென்பொருள் ஆதரவைப் பார்க்கவும்.
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 49
4. நியோஸ் V செயலி கட்டமைப்பு மற்றும் துவக்க தீர்வுகள் 726952 | 2025.07.16
ஆதரிக்கப்படும் துவக்க நினைவுகள்
ஆன்-சிப் நினைவகம் (OCRAM) இறுக்கமாக இணைக்கப்பட்ட நினைவகம் (TCM)
சாதனம்
SDM-அடிப்படையிலான சாதனங்கள் (மெயில்பாக்ஸ் கிளையன்ட் இன்டெல் FPGA IP உடன்). (2)
ஆதரிக்கப்படும் அனைத்து Altera FPGA சாதனங்களும் (2)
ஆதரிக்கப்படும் அனைத்து Altera FPGA சாதனங்களும்(2)
நியோஸ் V செயலி துவக்க முறைகள்
துவக்க நகலெடுப்பியைப் பயன்படுத்தி உள்ளமைவு QSPI ஃபிளாஷிலிருந்து RAM க்கு நகலெடுக்கப்பட்ட Nios V செயலி பயன்பாடு.
OCRAM இலிருந்து இயங்கும் Nios V செயலி பயன்பாடு.
TCM இலிருந்து இயங்கும் Nios V செயலி பயன்பாடு.
பயன்பாட்டின் இயக்க நேர இடம்
துவக்க நகலி
SDM வழியாக OCRAM/ வெளிப்புற RAM பூட்லோடர்
ஓக்ராம்
alt_load() செயல்பாடு
வழிமுறை TCM (XIP) எதுவுமில்லை + தரவு TCM (எழுதக்கூடிய தரவு பிரிவுகளுக்கு)
படம் 28. நியோஸ் V செயலி துவக்க ஓட்டம்
மீட்டமை
வெக்டரை மீட்டமைக்க செயலி குதிக்கிறது (துவக்க குறியீடு தொடக்கம்)
பயன்பாட்டுக் குறியீடு வேறொரு நினைவக இடத்திற்கு நகலெடுக்கப்படலாம் (துவக்க விருப்பங்களைப் பொறுத்து)
துவக்க குறியீடு செயலியைத் துவக்குகிறது.
துவக்க விருப்பங்களைப் பொறுத்து, துவக்க குறியீடு தரவு/குறியீட்டிற்கான ஆரம்ப மதிப்புகளை மற்றொரு நினைவக இடத்திற்கு (alt_load) நகலெடுக்கலாம்.
துவக்க குறியீடு பயன்பாட்டு குறியீடு மற்றும் தரவு நினைவக இடத்தை துவக்குகிறது.
துவக்க குறியீடு அனைத்து கணினி புறச்சாதனங்களையும் HAL இயக்கிகளுடன் (alt_main) துவக்குகிறது.
பிரதான நுழைவு
தொடர்புடைய தகவல் · பொதுவான சீரியல் ஃபிளாஷ் இடைமுகம் Altera FPGA IP பயனர் வழிகாட்டி
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 50
கருத்தை அனுப்பவும்
4. நியோஸ் V செயலி கட்டமைப்பு மற்றும் துவக்க தீர்வுகள் 726952 | 2025.07.16
· மெயில்பாக்ஸ் கிளையன்ட் ஆல்டெரா FPGA IP பயனர் வழிகாட்டி · AN 980: நியோஸ் V செயலி குவாட்ரஸ் பிரைம் மென்பொருள் ஆதரவு
4.4. நியோஸ் V செயலி துவக்க முறைகள் அறிமுகம்
நியோஸ் V செயலி அமைப்புகள், செயலி பயன்பாட்டு நிரலை இயக்கத் தொடங்குவதற்கு முன், மென்பொருள் படங்களை கணினி நினைவகத்தில் உள்ளமைக்க வேண்டும். இயல்புநிலை இணைப்பான் பிரிவுகளுக்கு இணைப்பான் பிரிவுகளைப் பார்க்கவும்.
BSP எடிட்டர் பின்வரும் செயல்பாடுகளைச் செய்யும் ஒரு இணைப்பான் ஸ்கிரிப்டை உருவாக்குகிறது: · இணைப்பான் அமைப்புகளுக்கு ஏற்ப செயலி மென்பொருள் இணைக்கப்பட்டுள்ளதா என்பதை உறுதி செய்கிறது.
BSP எடிட்டரின் மூலம் மென்பொருள் நினைவகத்தில் எங்கு உள்ளது என்பதைத் தீர்மானிக்கிறது. · செயலியின் குறியீட்டுப் பகுதியை நினைவகக் கூறுகளில் நிலைநிறுத்துகிறது.
ஒதுக்கப்பட்ட நினைவக கூறுகள்.
பின்வரும் பகுதி கிடைக்கக்கூடிய நியோஸ் V செயலி துவக்க முறைகளை சுருக்கமாக விவரிக்கிறது.
4.4.1. பூட் ஃபிளாஷிலிருந்து நியோஸ் V செயலி பயன்பாடு இயக்கப்படும் இடம்
ஆல்டெரா ஃபிளாஷ் கட்டுப்படுத்திகளை வடிவமைத்தது, இதனால் பூட் ஃபிளாஷ் முகவரி இடம் கணினி மீட்டமைப்பின் போது நியோஸ் வி செயலிக்கு உடனடியாக அணுக முடியும், நினைவக கட்டுப்படுத்தி அல்லது நினைவக சாதனங்களை துவக்க வேண்டிய அவசியமில்லை. இது நியோஸ் வி செயலியை பூட் சாதனங்களில் சேமிக்கப்பட்ட பயன்பாட்டுக் குறியீட்டை நேரடியாக இயக்க பூட் நகலெடுப்பாளரைப் பயன்படுத்தாமல் குறியீட்டை மற்றொரு நினைவக வகைக்கு நகலெடுக்க உதவுகிறது. ஃபிளாஷ் கட்டுப்படுத்திகள்: · ஆன்-சிப் ஃபிளாஷ் ஐபியுடன் ஆன்-சிப் ஃபிளாஷ் (MAX® 10 சாதனத்தில் மட்டும்) · பொதுவான சீரியல் ஃபிளாஷ் இடைமுக ஐபியுடன் பொதுவான நோக்கத்திற்கான QSPI ஃபிளாஷ் · உள்ளமைவு பொதுவான சீரியல் ஃபிளாஷ் இடைமுக ஐபியுடன் QSPI ஃபிளாஷ் (MAX 10 தவிர).
சாதனங்கள்)
Nios V செயலி பயன்பாடு பூட் ஃபிளாஷிலிருந்து இயங்கும்போது, BSP எடிட்டர் பின்வரும் செயல்பாடுகளைச் செய்கிறது: · .text இணைப்பான் பிரிவுகளை பூட் ஃபிளாஷ் நினைவகப் பகுதிக்கு அமைக்கிறது. · .bss,.rodata, .rwdata, .stack மற்றும் .heap இணைப்பான் பிரிவுகளை RAM க்கு அமைக்கிறது.
நினைவகப் பகுதி. கணினி மீட்டமைக்கப்பட்டவுடன், தரவுப் பிரிவுகளை (.rodata, .rwdata,, .exceptions) RAM-க்கு நகலெடுக்க, BSP அமைப்புகளில் alt_load() செயல்பாட்டை நீங்கள் இயக்க வேண்டும். குறியீட்டுப் பிரிவு (.text) பூட் ஃபிளாஷ் நினைவகப் பகுதியில் இருக்கும்.
தொடர்புடைய தகவல் · பொதுவான சீரியல் ஃபிளாஷ் இடைமுகம் ஆல்டெரா FPGA IP பயனர் வழிகாட்டி · ஆல்டெரா MAX 10 பயனர் ஃபிளாஷ் நினைவக பயனர் வழிகாட்டி
4.4.1.1. alt_load()
BSP எடிட்டரைப் பயன்படுத்தி HAL குறியீட்டில் alt_load() செயல்பாட்டை இயக்கலாம்.
execute-in-place துவக்க ஓட்டத்தில் பயன்படுத்தப்படும்போது, alt_load() செயல்பாடு பின்வரும் பணிகளைச் செய்கிறது:
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 51
4. நியோஸ் V செயலி கட்டமைப்பு மற்றும் துவக்க தீர்வுகள் 726952 | 2025.07.16
· BSP அமைப்புகளின் அடிப்படையில் நினைவகப் பிரிவுகளை RAM-க்கு நகலெடுக்கும் மினி பூட் காப்பியராக செயல்படுகிறது.
· தரவுப் பிரிவுகளை (.rodata, .rwdata, .exceptions) RAM-க்கு நகலெடுக்கிறது, ஆனால் குறியீடுப் பிரிவுகளை (.text) அல்ல. குறியீடுப் பிரிவு (.text) என்பது படிக்க மட்டும் உள்ள பிரிவாகும், மேலும் அது துவக்க ஃபிளாஷ் நினைவகப் பகுதியில் உள்ளது. இந்தப் பகிர்வு RAM பயன்பாட்டைக் குறைக்க உதவுகிறது, ஆனால் ஃபிளாஷ் நினைவகத்திற்கான அணுகல்கள் ஆன்-சிப் RAM-ஐ அணுகுவதை விட மெதுவாக இருப்பதால் குறியீடு செயல்படுத்தல் செயல்திறனைக் கட்டுப்படுத்தலாம்.
பின்வரும் அட்டவணை BSP எடிட்டர் அமைப்புகள் மற்றும் செயல்பாடுகளை பட்டியலிடுகிறது:
அட்டவணை 31. BSP எடிட்டர் அமைப்புகள்
BSP எடிட்டர் அமைப்பு hal.linker.enable_alt_load hal.linker.enable_alt_load_copy_rodata hal.linker.enable_alt_load_copy_rwdata hal.linker.enable_alt_load_copy_exceptions
செயல்பாடு alt_load() செயல்பாட்டை இயக்குகிறது. alt_load() .rodata பகுதியை RAM க்கு நகலெடுக்கிறது. alt_load() .rwdata பகுதியை RAM க்கு நகலெடுக்கிறது. alt_load() .exceptions பகுதியை RAM க்கு நகலெடுக்கிறது.
4.4.2. பூட் காப்பியரைப் பயன்படுத்தி பூட் ஃபிளாஷிலிருந்து RAM க்கு நகலெடுக்கப்பட்ட நியோஸ் V செயலி பயன்பாடு.
நியோஸ் வி செயலி மற்றும் எச்ஏஎல் ஆகியவை ஒரு பூட் காப்பியரை உள்ளடக்கியது, இது பெரும்பாலான நியோஸ் வி செயலி பயன்பாடுகளுக்கு போதுமான செயல்பாட்டை வழங்குகிறது மற்றும் நியோஸ் வி மென்பொருள் மேம்பாட்டு ஓட்டத்துடன் செயல்படுத்த வசதியானது.
பயன்பாடு ஒரு பூட் காப்பியரைப் பயன்படுத்தும் போது, அது அனைத்து இணைப்பான் பிரிவுகளையும் (.text, .heap, .rwdata, .rodata, .bss, .stack) ஒரு உள் அல்லது வெளிப்புற RAM ஆக அமைக்கிறது. Nios V செயலி பயன்பாட்டை பூட் ஃபிளாஷிலிருந்து உள் அல்லது வெளிப்புற RAM க்கு செயல்படுத்துவதற்காக நகலெடுக்க பூட் காப்பியரைப் பயன்படுத்துவது செயல்படுத்தல் செயல்திறனை மேம்படுத்த உதவுகிறது.
இந்த பூட் விருப்பத்திற்கு, Nios V செயலி கணினி மீட்டமைப்பில் பூட் காப்பியர் மென்பொருளை இயக்கத் தொடங்குகிறது. மென்பொருள் பூட் ஃபிளாஷிலிருந்து பயன்பாட்டை உள் அல்லது வெளிப்புற RAM க்கு நகலெடுக்கிறது. செயல்முறை முடிந்ததும், Nios V செயலி நிரலின் கட்டுப்பாட்டை பயன்பாட்டிற்கு மாற்றுகிறது.
குறிப்பு:
துவக்க நகலெடுப்பான் ஃபிளாஷில் இருந்தால், alt_load() செயல்பாட்டை அழைக்க வேண்டிய அவசியமில்லை, ஏனெனில் அவை இரண்டும் ஒரே நோக்கத்திற்காக சேவை செய்கின்றன.
4.4.2.1. ஜெனரிக் சீரியல் ஃப்ளாஷ் இடைமுகம் வழியாக நியோஸ் V செயலி துவக்க ஏற்றி
GSFI வழியாக பூட்லோடர் என்பது நியோஸ் V செயலி பூட் காப்பியர் ஆகும், இது கட்டுப்பாட்டு தொகுதி அடிப்படையிலான சாதனங்களில் QSPI ஃபிளாஷ் நினைவகத்தை ஆதரிக்கிறது. GSFI வழியாக பூட்லோடர் பின்வரும் அம்சங்களை உள்ளடக்கியது:
· நிலையற்ற நினைவகத்தில் மென்பொருள் பயன்பாட்டைக் கண்டறியிறது.
· மென்பொருள் பயன்பாட்டு படத்தை RAM-க்கு பிரித்து நகலெடுக்கிறது.
· நகல் முடிந்ததும் செயலி செயல்படுத்தலை RAM இல் உள்ள பயன்பாட்டுக் குறியீட்டிற்கு தானாகவே மாற்றுகிறது.
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 52
கருத்தை அனுப்பவும்
4. நியோஸ் V செயலி கட்டமைப்பு மற்றும் துவக்க தீர்வுகள் 726952 | 2025.07.16
பூட் இமேஜ் பூட் காப்பியருக்குப் பிறகு அமைந்துள்ளது. Nios V செயலி மீட்டமைப்பு ஆஃப்செட் பூட் காப்பியரின் தொடக்கத்தை நோக்கிச் செல்வதை உறுதி செய்ய வேண்டும். படம்: GSFI வழியாக பூட்லோடருடன் QSPI ஃபிளாஷிற்கான நினைவக வரைபடம், GSFI வழியாக பூட்லோடருடன் QSPI ஃபிளாஷிற்கான நினைவக வரைபடம், பூட் காப்பியரைப் பயன்படுத்தும் போது QSPI ஃபிளாஷிற்கான ஃபிளாஷ் மெமரி வரைபடத்தைக் காட்டுகிறது. இந்த மெமரி வரைபடம் ஃபிளாஷ் மெமரி நினைவகம் FPGA படத்தையும் பயன்பாட்டு மென்பொருளையும் சேமிக்கிறது என்று கருதுகிறது.
அட்டவணை 32. நியோஸ் V செயலி கோருக்கான GSFI வழியாக பூட்லோடர்
நியோஸ் வி செயலி கோர்
நியோஸ் வி/எம் செயலி
GSFI வழியாக பூட்லோடர் File இடம்
/niosv/கூறுகள்/துவக்க ஏற்றி/ niosv_m_துவக்க ஏற்றி.srec
நியோஸ் வி/ஜி செயலி
/niosv/கூறுகள்/துவக்க ஏற்றி/ niosv_g_பூட்லோடர்.srec
படம் 29. GSFI வழியாக பூட்லோடருடன் QSPI ஃபிளாஷிற்கான நினைவக வரைபடம்
வாடிக்கையாளர் தரவு (*.ஹெக்ஸ்)
விண்ணப்பக் குறியீடு
குறிப்பு:
வெக்டர் ஆஃப்செட்டை மீட்டமைக்கவும்
துவக்க நகலி
0x01E00000
FPGA படம் (*.sof)
0x00000000
1. நினைவக வரைபடத்தின் தொடக்கத்தில் FPGA படம் உள்ளது, அதைத் தொடர்ந்து உங்கள் தரவு இருக்கும், அதில் துவக்க நகலெடுக்கும் கருவி மற்றும் பயன்பாட்டு குறியீடு இருக்கும்.
2. நீங்கள் பிளாட்ஃபார்ம் டிசைனரில் நியோஸ் வி செயலி மீட்டமைப்பு ஆஃப்செட்டை அமைத்து, அதை பூட் காப்பியரின் தொடக்கத்திற்கு சுட்டிக்காட்ட வேண்டும்.
3. FPGA படத்தின் அளவு தெரியவில்லை. குவார்டஸ் பிரைம் திட்டத் தொகுப்பிற்குப் பிறகுதான் நீங்கள் சரியான அளவை அறிய முடியும். ஆல்டெரா FPGA படத்தின் அளவிற்கு நீங்கள் ஒரு மேல் வரம்பை தீர்மானிக்க வேண்டும். உதாரணமாகampபின்னர், FPGA படத்தின் அளவு 0x01E00000 க்கும் குறைவாக இருந்தால், பிளாட்ஃபார்ம் டிசைனரில் ரீசெட் ஆஃப்செட்டை 0x01E00000 ஆக அமைக்கவும், இது பூட் காப்பியரின் தொடக்கமாகும்.
4. மென்பொருள் பயன்பாடு புதுப்பிக்கப்பட்டால் FPGA படத்தின் பகுதியளவு அழிப்பு ஏற்படாமல் இருப்பதை உறுதிசெய்ய, மீட்டமைப்பு வெக்டார் ஆஃப்செட்டை ஃபிளாஷ் செக்டர் எல்லையில் அமைப்பது ஒரு நல்ல வடிவமைப்பு நடைமுறையாகும்.
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 53
4. நியோஸ் V செயலி கட்டமைப்பு மற்றும் துவக்க தீர்வுகள் 726952 | 2025.07.16
4.4.2.2. செக்யூர் டிவைஸ் மேனேஜர் வழியாக நியோஸ் வி செயலி பூட்லோடர்
செக்யூர் டிவைஸ் மேனேஜர் வழியாக பூட்லோடர் (SDM) என்பது மெயில்பாக்ஸ் கிளையன்ட் ஆல்டெரா FPGA IP HAL இயக்கியைப் பயன்படுத்தி செயலியை துவக்கும் ஒரு HAL பயன்பாட்டுக் குறியீடாகும். Nios V செயலியை துவக்க SDM-அடிப்படையிலான சாதனங்களில் உள்ளமைவு QSPI ஃபிளாஷைப் பயன்படுத்தும் போது ஆல்டெரா இந்த பூட்லோடர் பயன்பாட்டை பரிந்துரைக்கிறது.
கணினி மீட்டமைக்கப்பட்டதும், நியோஸ் V செயலி முதலில் ஒரு சிறிய ஆன்-சிப் நினைவகத்திலிருந்து SDM வழியாக பூட்லோடரை துவக்கி, அஞ்சல் பெட்டி கிளையன்ட் IP ஐப் பயன்படுத்தி உள்ளமைவு QSPI ஃபிளாஷுடன் தொடர்பு கொள்ள SDM வழியாக பூட்லோடரை இயக்குகிறது.
SDM வழியாக பூட்லோடர் பின்வரும் பணிகளைச் செய்கிறது: · QSPI ஃபிளாஷ் உள்ளமைவில் நியோஸ் V மென்பொருளைக் கண்டறிகிறது. · நியோஸ் V மென்பொருளை ஆன்-சிப் ரேம் அல்லது வெளிப்புற ரேமில் நகலெடுக்கிறது. · செயலி செயல்படுத்தலை ஆன்-சிப் ரேமிற்குள் உள்ள நியோஸ் V மென்பொருளுக்கு மாற்றுகிறது அல்லது
வெளிப்புற ரேம்.
செயல்முறை முடிந்ததும், SDM வழியாக பூட்லோடர் நிரல் கட்டுப்பாட்டை பயனர் பயன்பாட்டிற்கு மாற்றுகிறது. SDM வழியாக பூட்லோடருக்கான நினைவக அமைப்பில் கோடிட்டுக் காட்டப்பட்டுள்ளபடி நினைவக அமைப்பை ஆல்டெரா பரிந்துரைக்கிறது.
படம் 30. SDM செயல்முறை ஓட்டம் வழியாக துவக்க ஏற்றி
கட்டமைப்பு
ஃபிளாஷ்
2
நியோஸ் வி மென்பொருள்
SDM
SDM-அடிப்படையிலான FPGA சாதனம்
அஞ்சல் பெட்டி கிளையன்ட் ஐபி
FPGA லாஜிக் நியோஸ் V
4 வெளிப்புற ரேம்
நியோஸ் வி மென்பொருள்
ஆன்-சிப் 4
EMIF
ரேம்
ஆன்-சிப் நினைவகம்
IP
நியோஸ் வி
1
மென்பொருள்
SDM வழியாக பூட்லோடர்
3
3
1. நியோஸ் V செயலி, ஆன்-சிப் நினைவகத்திலிருந்து SDM வழியாக பூட்லோடரை இயக்குகிறது.
2. SDM வழியாக பூட்லோடர் உள்ளமைவு ஃபிளாஷுடன் தொடர்பு கொண்டு நியோஸ் V மென்பொருளைக் கண்டறியும்.
3. SDM வழியாக பூட்லோடர், கான்ஃபிகரேஷன் ஃபிளாஷிலிருந்து நியோஸ் V மென்பொருளை ஆன்-சிப் ரேம் / வெளிப்புற ரேமிற்கு நகலெடுக்கிறது.
4. SDM வழியாக பூட்லோடர், Nios V செயலி செயல்பாட்டை, ஆன்-சிப் RAM/வெளிப்புற RAM இல் உள்ள Nios V மென்பொருளுக்கு மாற்றுகிறது.
4.4.3. OCRAM இலிருந்து Nios V செயலி பயன்பாடு செயல்படுத்தல்-இன்-பிளேஸ்
இந்த முறையில், Nios V செயலி மீட்டமைப்பு முகவரியானது ஆன்-சிப் நினைவகத்தின் (OCRAM) அடிப்படை முகவரிக்கு அமைக்கப்படுகிறது. பயன்பாட்டு பைனரி (.hex) file வன்பொருள் வடிவமைப்பு குவார்டஸ் பிரைம் மென்பொருளில் தொகுக்கப்பட்ட பிறகு, FPGA கட்டமைக்கப்படும்போது OCRAM இல் ஏற்றப்படும். Nios V செயலி மீட்டமைக்கப்பட்டவுடன், பயன்பாடு செயல்படத் தொடங்கி நுழைவுப் புள்ளிக்குச் செல்கிறது.
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 54
கருத்தை அனுப்பவும்
4. நியோஸ் V செயலி கட்டமைப்பு மற்றும் துவக்க தீர்வுகள் 726952 | 2025.07.16
குறிப்பு:
· OCRAM இலிருந்து இயக்க-இன்-பிளேஸுக்கு பூட் காப்பியர் தேவையில்லை, ஏனெனில் Nios V செயலி பயன்பாடு ஏற்கனவே கணினி மீட்டமைப்பில் உள்ளது.
· இந்த துவக்க முறைக்கு alt_load() ஐ இயக்க ஆல்டெரா பரிந்துரைக்கிறது, இதனால் FPGA சாதன படத்தை மறுகட்டமைக்காமல் மீட்டமைக்கும்போது உட்பொதிக்கப்பட்ட மென்பொருள் ஒரே மாதிரியாக செயல்படும்.
· கணினி மீட்டமைப்பின் போது .rwdata பகுதியை நகலெடுக்க BSP அமைப்புகளில் alt_load() செயல்பாட்டை நீங்கள் இயக்க வேண்டும். இந்த முறையில், நிரல் செயல்படுத்தலின் போது மேலெழுதப்படுவதைத் தவிர்க்க, துவக்கப்பட்ட மாறிகளுக்கான ஆரம்ப மதிப்புகள் தொடர்புடைய மாறிகளிலிருந்து தனித்தனியாக சேமிக்கப்படும்.
4.4.4. TCM இலிருந்து Nios V செயலி பயன்பாடு செயல்படுத்தல்-இன்-பிளேஸ்
இயக்க-இன்-பிளேஸ் முறை, நியோஸ் V செயலி மீட்டமைப்பு முகவரியை இறுக்கமாக இணைக்கப்பட்ட நினைவகத்தின் (TCM) அடிப்படை முகவரிக்கு அமைக்கிறது. பயன்பாட்டு பைனரி (.hex) file நீங்கள் Quartus Prime மென்பொருளில் வன்பொருள் வடிவமைப்பைத் தொகுத்த பிறகு FPGA ஐ உள்ளமைக்கும்போது TCM இல் ஏற்றப்படும். Nios V செயலி மீட்டமைக்கப்பட்டவுடன், பயன்பாடு செயல்படத் தொடங்கி நுழைவுப் புள்ளிக்குச் செல்கிறது.
குறிப்பு:
TCM-இன் Execute-In-Place-க்கு பூட் காப்பியர் தேவையில்லை, ஏனெனில் Nios V செயலி பயன்பாடு ஏற்கனவே கணினி மீட்டமைப்பில் உள்ளது.
4.5. நியோஸ் V செயலி ஆன்-சிப் ஃபிளாஷிலிருந்து (UFM) துவக்குதல்
நியோஸ் V செயலி, ஆன்-சிப் ஃபிளாஷ் (UFM) இலிருந்து துவக்கி இயக்கும் மென்பொருளை MAX 10 FPGA சாதனங்களில் கிடைக்கிறது. நியோஸ் V செயலி, உள் கட்டமைப்பு பயன்முறையின் கீழ் ஆன்-சிப் ஃபிளாஷைப் பயன்படுத்தி பின்வரும் இரண்டு துவக்க விருப்பங்களை ஆதரிக்கிறது:
· நியோஸ் V செயலி பயன்பாடு ஆன்-சிப் ஃபிளாஷிலிருந்து இடத்திலேயே செயல்படுகிறது.
· Nios V செயலி பயன்பாடு, துவக்க நகலெடுப்பான் மூலம் ஆன்-சிப் ஃபிளாஷிலிருந்து RAMக்கு நகலெடுக்கப்படுகிறது.
அட்டவணை 33. தொடர்புடைய துவக்க விருப்பங்களுடன் ஆதரிக்கப்படும் ஃபிளாஷ் நினைவகங்கள்
ஆதரிக்கப்படும் துவக்க நினைவுகள்
நியோஸ் V துவக்க முறைகள்
பயன்பாட்டின் இயக்க நேர இடம்
துவக்க நகலி
அதிகபட்சம் 10 சாதனங்கள் மட்டும் (OnChip ஃபிளாஷ் IP உடன்)
நியோஸ் V செயலி பயன்பாடு ஆன்-சிப் ஃபிளாஷிலிருந்து இயங்கும் இடத்தில்
பூட் காப்பியரைப் பயன்படுத்தி ஆன்-சிப் ஃபிளாஷிலிருந்து RAM க்கு நகலெடுக்கப்பட்ட நியோஸ் V செயலி பயன்பாடு.
ஆன்-சிப் ஃபிளாஷ் (XIP) + OCRAM/ வெளிப்புற RAM (எழுதக்கூடிய தரவு பிரிவுகளுக்கு)
alt_load() செயல்பாடு
OCRAM/ வெளிப்புற ரேம்
GSFI வழியாக பூட்லோடரை மீண்டும் பயன்படுத்துதல்
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 55
4. நியோஸ் V செயலி கட்டமைப்பு மற்றும் துவக்க தீர்வுகள் 726952 | 2025.07.16
படம் 31.
வடிவமைப்பு, உள்ளமைவு மற்றும் துவக்க ஓட்டம்
வடிவமைப்பு · பிளாட்ஃபார்ம் டிசைனரைப் பயன்படுத்தி உங்கள் நியோஸ் வி செயலி அடிப்படையிலான திட்டத்தை உருவாக்கவும். · கணினி வடிவமைப்பில் வெளிப்புற ரேம் அல்லது ஆன்-சிப் ரேம் இருப்பதை உறுதிசெய்யவும்.
FPGA உள்ளமைவு மற்றும் தொகுப்பு
· பிளாட்ஃபார்ம் டிசைனர் மற்றும் குவார்டஸ் பிரைம் மென்பொருளில் ஆன்-சிப் ஃபிளாஷ் ஐபியில் அதே உள் உள்ளமைவு பயன்முறையை அமைக்கவும். · நியோஸ் V செயலி மீட்டமைப்பு முகவரை ஆன்-சிப் ஃபிளாஷாக அமைக்கவும். · உங்களுக்கு விருப்பமான UFM துவக்க முறையைத் தேர்வுசெய்யவும். · பிளாட்ஃபார்ம் டிசைனரில் உங்கள் வடிவமைப்பை உருவாக்கவும். · குவார்டஸ் பிரைம் மென்பொருளில் உங்கள் திட்டத்தை தொகுக்கவும்.
பயனர் பயன்பாடு BSP திட்டம் · .sopcinfo அடிப்படையிலான Nios V செயலி HAL BSP ஐ உருவாக்கவும் file பிளாட்ஃபார்ம் டிசைனரால் உருவாக்கப்பட்டது. · BSP எடிட்டரில் Nios V செயலி BSP அமைப்புகள் மற்றும் லிங்கர் ஸ்கிரிப்டைத் திருத்தவும். · BSP திட்டத்தை உருவாக்கவும்.
பயனர் பயன்பாட்டு APP திட்டம் · Nios V செயலி பயன்பாட்டுக் குறியீட்டை உருவாக்குதல். · Nios V செயலி பயன்பாட்டை தொகுத்து Nios V செயலி பயன்பாட்டை உருவாக்குதல் (.hex) file· Intel FPGA On-Chip Flash IP இல் Initialize memory content விருப்பத்தை நீங்கள் சரிபார்த்தால், உங்கள் திட்டத்தை Quartus Prime மென்பொருளில் மீண்டும் தொகுக்கவும்.
நிரலாக்கம் Fileமாற்றுதல், பதிவிறக்குதல் மற்றும் இயக்குதல் · ஆன்-சிப் ஃபிளாஷை உருவாக்குதல் .pof file மாற்று நிரலாக்கத்தைப் பயன்படுத்துதல் Fileகுவார்டஸ் பிரைம் மென்பொருளில் உள்ள அம்சம்.
· .pof-ஐ நிரல் செய்யவும் file உங்கள் MAX 10 சாதனத்தில். · உங்கள் வன்பொருளை பவர் சுழற்சி செய்யவும்.
4.5.1. MAX 10 FPGA ஆன்-சிப் ஃபிளாஷ் விளக்கம்
MAX 10 FPGA சாதனங்கள் இரண்டு பகுதிகளாகப் பிரிக்கப்பட்ட ஆன்-சிப் ஃபிளாஷைக் கொண்டுள்ளன: · கட்டமைப்பு ஃபிளாஷ் நினைவகம் (CFM) — வன்பொருள் உள்ளமைவுத் தரவைச் சேமிக்கிறது
அதிகபட்சம் 10 FPGAக்கள். · பயனர் ஃபிளாஷ் நினைவகம் (UFM) — பயனர் தரவு அல்லது மென்பொருள் பயன்பாடுகளைச் சேமிக்கிறது.
MAX 10 சாதனத்தின் UFM கட்டமைப்பு மென்மையான மற்றும் கடினமான IP முகவரிகளின் கலவையாகும். Quartus Prime மென்பொருளில் உள்ள On-Chip Flash IP Core ஐப் பயன்படுத்தி மட்டுமே நீங்கள் UFM ஐ அணுக முடியும்.
ஆன்-சிப் ஃபிளாஷ் ஐபி கோர் பின்வரும் அம்சங்களை ஆதரிக்கிறது: · UFM மற்றும் CFM (பிளாட்ஃபார்ம் டிசைனரில் இயக்கப்பட்டிருந்தால்) துறைகளுக்கான படிக்க அல்லது எழுத அணுகல்கள்.
அவலோன் MM தரவு மற்றும் கட்டுப்பாட்டு அடிமை இடைமுகத்தைப் பயன்படுத்துகிறது. · பக்க அழித்தல், துறை அழித்தல் மற்றும் துறை எழுதுதல் ஆகியவற்றை ஆதரிக்கிறது. · பல்வேறு EDA உருவகப்படுத்துதல் கருவிகளைப் பயன்படுத்தி UFM படிக்க/எழுத அணுகல்களுக்கான உருவகப்படுத்துதல் மாதிரி.
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 56
கருத்தை அனுப்பவும்
4. நியோஸ் V செயலி கட்டமைப்பு மற்றும் துவக்க தீர்வுகள் 726952 | 2025.07.16
அட்டவணை 34. MAX 10 FPGA சாதனங்களில் ஆன்-சிப் ஃபிளாஷ் பகுதிகள்
ஃபிளாஷ் பகுதிகள்
செயல்பாடு
கட்டமைப்பு ஃபிளாஷ் நினைவகம் (பிரிவுகள் CFM0-2)
FPGA கட்டமைப்பு file சேமிப்பு
பயனர் ஃபிளாஷ் நினைவகம் (பிரிவுகள் UFM0-1)
நியோஸ் வி செயலி பயன்பாடு மற்றும் பயனர் தரவு
MAX 10 FPGA சாதனங்கள் பல உள்ளமைவு முறைகளை ஆதரிக்கின்றன, மேலும் இந்த முறைகளில் சில CFM1 மற்றும் CFM2 ஐ கூடுதல் UFM பகுதியாகப் பயன்படுத்த அனுமதிக்கின்றன. பின்வரும் அட்டவணை MAX 10 FPGA இன் உள்ளமைவு முறைகளின் அடிப்படையில் FPGA உள்ளமைவு படங்களின் சேமிப்பக இருப்பிடத்தைக் காட்டுகிறது.
அட்டவணை 35. FPGA உள்ளமைவு படங்களின் சேமிப்பக இடம்
உள்ளமைவு முறை இரட்டை சுருக்கப்பட்ட படங்கள்
CFM2 சுருக்கப்பட்ட படம் 2
CFM1
CFM0 சுருக்கப்பட்ட படம் 1
ஒற்றை சுருக்கப்படாத படம்
மெய்நிகர் UFM
சுருக்கப்படாத படம்
நினைவக துவக்கத்துடன் ஒற்றை சுருக்கப்படாத படம்
சுருக்கப்படாத படம் (முன்-துவக்கப்பட்ட ஆன்-சிப் நினைவக உள்ளடக்கத்துடன்)
நினைவக துவக்கத்துடன் கூடிய ஒற்றை சுருக்கப்பட்ட படம் சுருக்கப்பட்ட படம் (முன்-துவக்கப்பட்ட ஆன்-சிப் நினைவக உள்ளடக்கத்துடன்)
ஒற்றை சுருக்கப்பட்ட படம்
மெய்நிகர் UFM
சுருக்கப்பட்ட படம்
அதிகபட்சம் 10 FPGA-களில் ஃபிளாஷ் நினைவகத்தை அணுக, நீங்கள் ஆன்-சிப் ஃபிளாஷ் IP கோரைப் பயன்படுத்த வேண்டும். நீங்கள் ஆன்-சிப் ஃபிளாஷ் IP-ஐ குவார்டஸ் பிரைம் மென்பொருளுடன் இன்ஸ்டன்ஷிப் செய்து இணைக்கலாம். நியோஸ் V சாஃப்ட் கோர் செயலி, ஆன்-சிப் ஃபிளாஷ் IP-யுடன் தொடர்பு கொள்ள பிளாட்ஃபார்ம் டிசைனர் இன்டர்கனெக்ட்களைப் பயன்படுத்துகிறது.
படம் 32. ஆன்-சிப் ஃபிளாஷ் ஐபி மற்றும் நியோஸ் வி செயலி இடையேயான இணைப்பு
குறிப்பு:
எழுதுதல் மற்றும் அழித்தல் செயல்பாடுகளை செயலி கட்டுப்படுத்த, ஆன்-சிப் ஃபிளாஷ் சிஎஸ்ஆர் போர்ட் நியோஸ் வி செயலி டேட்டா_மேனேஜருடன் இணைக்கப்பட்டுள்ளதா என்பதை உறுதிசெய்யவும்.
ஆன்-சிப் ஃபிளாஷ் ஐபி கோர் ஐந்து ஃபிளாஷ் பிரிவுகளுக்கான அணுகலை வழங்க முடியும் - UFM0, UFM1, CFM0, CFM1, மற்றும் CFM2.
UFM மற்றும் CFM துறைகள் பற்றிய முக்கியமான தகவல்கள்.: · CFM துறைகள் உள்ளமைவு (பிட்ஸ்ட்ரீம்) தரவு (*.pof) சேமிப்பிற்காக வடிவமைக்கப்பட்டுள்ளன.
· பயனர் தரவை UFM துறைகளில் சேமிக்க முடியும், மேலும் பிளாட்ஃபார்ம் டிசைனர் கருவியில் சரியான அமைப்புகள் தேர்ந்தெடுக்கப்பட்டால் மறைக்கப்படலாம்.
· சில சாதனங்களுக்கு UFM1 பிரிவு இல்லை. நீங்கள் அட்டவணையைப் பார்க்கலாம்: ஒவ்வொரு தனிப்பட்ட MAX 10 FPGA சாதனத்திலும் கிடைக்கும் பிரிவுகளுக்கான UFM மற்றும் CFM பிரிவு அளவு.
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 57
4. நியோஸ் V செயலி கட்டமைப்பு மற்றும் துவக்க தீர்வுகள் 726952 | 2025.07.16
· ஒற்றை சுருக்கப்படாத பட உள்ளமைவு பயன்முறையைத் தேர்ந்தெடுப்பதன் மூலம் நீங்கள் CFM2 ஐ மெய்நிகர் UFM ஆக உள்ளமைக்கலாம்.
· ஒற்றை சுருக்கப்படாத பட உள்ளமைவு பயன்முறையைத் தேர்ந்தெடுப்பதன் மூலம் நீங்கள் CFM2 மற்றும் CFM1 ஐ மெய்நிகர் UFM ஆக உள்ளமைக்கலாம்.
· தேர்ந்தெடுக்கப்பட்ட MAX 10 FPGA சாதனங்களைப் பொறுத்து ஒவ்வொரு துறையின் அளவும் மாறுபடும்.
அட்டவணை 36.
UFM மற்றும் CFM துறை அளவு
இந்த அட்டவணை UFM மற்றும் CFM வரிசைகளின் பரிமாணங்களைப் பட்டியலிடுகிறது.
சாதனம்
துறை வாரியாக பக்கங்கள்
UFM1 UFM0 CFM2 CFM1 CFM0
பக்க அளவு (கிபிட்)
அதிகபட்ச பயனர்
ஃபிளாஷ் நினைவக அளவு (கிபிட்) (3)
மொத்த உள்ளமைவு நினைவக அளவு (கிபிட்)
10M02 3
3
0
0
34 16
96
544
10M04 0
8
41 29 70 16
1248
2240
10M08 8
8
41 29 70 16
1376
2240
10M16 4
4
38 28 66 32
2368
4224
10M25 4
4
52 40 92 32
3200
5888
10M40 4
4
48 36 84 64
5888
10752
10M50 4
4
48 36 84 64
5888
10752
OCRAM அளவு (கிபிட்)
108 189 378 549 675 1260 1638
தொடர்புடைய தகவல் · MAX 10 FPGA உள்ளமைவு பயனர் வழிகாட்டி · Altera MAX 10 பயனர் ஃபிளாஷ் நினைவக பயனர் வழிகாட்டி
4.5.2. UFM இலிருந்து Nios V செயலி பயன்பாடு செயல்படுத்தல்-இன்-பிளேஸ்
வரையறுக்கப்பட்ட ஆன்-சிப் நினைவக பயன்பாடு தேவைப்படும் நியோஸ் V செயலி பயன்பாடுகளுக்கு UFM தீர்வு Execute-In-Place பொருத்தமானது. alt_load() செயல்பாடு ஒரு மினி பூட் காப்பியராக செயல்படுகிறது, இது BSP அமைப்புகளின் அடிப்படையில் பூட் நினைவகத்திலிருந்து RAM க்கு தரவு பிரிவுகளை (.rodata, .rwdata, அல்லது .exceptions) நகலெடுக்கிறது. குறியீடு பிரிவு (.text),
படிக்க மட்டும் பிரிவான இது, MAX 10 ஆன்-சிப் ஃபிளாஷ் நினைவகப் பகுதியில் உள்ளது. இந்த அமைப்பு ரேம் பயன்பாட்டைக் குறைக்கிறது, ஆனால் ஃபிளாஷ் நினைவகத்திற்கான அணுகல் ஆன்-சிப் ரேமை விட மெதுவாக இருப்பதால் குறியீடு செயல்படுத்தல் செயல்திறனைக் கட்டுப்படுத்தலாம்.
நியோஸ் V செயலி பயன்பாடு UFM பிரிவில் நிரல் செய்யப்பட்டுள்ளது. கணினி மீட்டமைக்கப்பட்ட பிறகு UFM இலிருந்து குறியீட்டை இயக்க நியோஸ் V செயலியின் மீட்டமைப்பு திசையன் UFM அடிப்படை முகவரியை சுட்டிக்காட்டுகிறது.
உங்கள் பயன்பாட்டை பிழைத்திருத்தம் செய்ய மூல-நிலை பிழைத்திருத்தியைப் பயன்படுத்துகிறீர்கள் என்றால், நீங்கள் ஒரு வன்பொருள் பிரேக்பாயிண்டைப் பயன்படுத்த வேண்டும். ஏனெனில் UFM சீரற்ற நினைவக அணுகலை ஆதரிக்காது, இது மென்மையான பிரேக்பாயிண்ட் பிழைத்திருத்தத்திற்கு அவசியம்.
குறிப்பு:
MAX 10 இல் இடத்தில் செயல்படுத்தும் போது UFM ஐ அழிக்கவோ எழுதவோ முடியாது. UFM ஐ அழிக்கவோ எழுதவோ தேவைப்பட்டால், பூட் காப்பியர் அணுகுமுறைக்கு மாறவும்.
(3) அதிகபட்ச சாத்தியமான மதிப்பு, இது நீங்கள் தேர்ந்தெடுக்கும் உள்ளமைவு முறையைப் பொறுத்தது.
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 58
கருத்தை அனுப்பவும்
4. நியோஸ் V செயலி கட்டமைப்பு மற்றும் துவக்க தீர்வுகள் 726952 | 2025.07.16
படம் 33. UFM இலிருந்து நியோஸ் V செயலி பயன்பாடு XIP
அதிகபட்சம் 10 சாதனம்
.பி.ஓ.எஃப்.
நியோஸ் வி வன்பொருள் .SOF
நியோஸ் வி மென்பொருள் .HEX
குவார்டஸ் புரோகிராமர்
ஆன்-சிப் ஃபிளாஷ்
CFM
நியோஸ் வி வன்பொருள்
யுஎஃப்எம்
நியோஸ் வி மென்பொருள்
உள் கட்டமைப்பு
ஆன்-சிப் ஃபிளாஷ் ஐபி
FPGA லாஜிக்
நியோஸ் வி செயலி
ஆன்-சிப் ரேம்
வெளி
ரேம்
EMIF
IP
4.5.2.1. வன்பொருள் வடிவமைப்பு ஓட்டம்
பின்வரும் பகுதி, ஆன்-சிப் ஃபிளாஷிலிருந்து நியோஸ் V செயலி பயன்பாட்டிற்கான துவக்கக்கூடிய அமைப்பை உருவாக்குவதற்கான படிப்படியான முறையை விவரிக்கிறது.ampகீழே உள்ள le MAX 10 சாதனத்தைப் பயன்படுத்தி உருவாக்கப்பட்டுள்ளது.
IP கூறு அமைப்புகள்
1. Quartus Prime மற்றும் Platform Designer ஐப் பயன்படுத்தி உங்கள் Nios V செயலி திட்டத்தை உருவாக்கவும். 2. உங்கள் தளத்தில் வெளிப்புற RAM அல்லது On-Chip Memory (OCRAM) சேர்க்கப்பட்டுள்ளதா என்பதை உறுதிப்படுத்தவும்.
வடிவமைப்பாளர் அமைப்பு.
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 59
4. நியோஸ் V செயலி கட்டமைப்பு மற்றும் துவக்க தீர்வுகள் 726952 | 2025.07.16
படம் 34. Example OnChip Flash (UFM) இலிருந்து Nios V ஐ துவக்குவதற்கான பிளாட்ஃபார்ம் டிசைனரில் IP இணைப்புகள்
3. ஆன்-சிப் ஃபிளாஷ் ஐபி அளவுரு எடிட்டரில், உங்கள் வடிவமைப்பு விருப்பத்திற்கு ஏற்ப, கட்டமைப்பு பயன்முறையை பின்வருவனவற்றில் ஒன்றிற்கு அமைக்கவும்: · ஒற்றை சுருக்கப்படாத படம் · ஒற்றை சுருக்கப்பட்ட படம் · நினைவக துவக்கத்துடன் ஒற்றை சுருக்கப்படாத படம் · நினைவக துவக்கத்துடன் ஒற்றை சுருக்கப்பட்ட படம்
இரட்டை சுருக்கப்பட்ட படங்கள் பற்றிய கூடுதல் தகவலுக்கு, MAX 10 FPGA உள்ளமைவு பயனர் வழிகாட்டியைப் பார்க்கவும் - தொலைநிலை அமைப்பு மேம்படுத்தல்.
குறிப்பு:
ஆன்-சிப் ஃபிளாஷ் ஐபியில் உள்ள ஒவ்வொரு CFM பகுதிகளுக்கும் நீங்கள் மறைக்கப்பட்ட அணுகலை ஒதுக்க வேண்டும்.
படம் 35. ஆன்-சிப் ஃபிளாஷ் அளவுரு எடிட்டரில் உள்ளமைவு முறை தேர்வு
ஆன்-சிப் ஃபிளாஷ் ஐபி அமைப்புகள் - யுஎஃப்எம் துவக்கம் உங்கள் விருப்பத்திற்கு ஏற்ப பின்வரும் முறைகளில் ஒன்றை நீங்கள் தேர்வு செய்யலாம்:
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 60
கருத்தை அனுப்பவும்
4. நியோஸ் V செயலி கட்டமைப்பு மற்றும் துவக்க தீர்வுகள் 726952 | 2025.07.16
குறிப்பு:
அடுத்தடுத்த துணை அத்தியாயங்களில் (மென்பொருள் வடிவமைப்பு ஓட்டம் மற்றும் நிரலாக்கம்) உள்ள படிகள் நீங்கள் இங்கே செய்யும் தேர்வைப் பொறுத்தது.
· முறை 1: தொகுக்கும்போது SOF இல் UFM தரவை துவக்கவும்.
குவார்டஸ் பிரைம், தொகுக்கும்போது SOF இல் UFM துவக்கத் தரவைச் சேர்க்கிறது. UFM தரவில் மாற்றங்கள் இருந்தால் SOF மறு தொகுப்பு தேவைப்படுகிறது.
1. ஃபிளாஷ் உள்ளடக்கத்தை துவக்கு என்பதைச் சரிபார்த்து, இயல்புநிலை அல்லாத துவக்கத்தை இயக்கு. file.
படம் 36. ஃபிளாஷ் உள்ளடக்கங்களைத் துவக்கி, இயல்புநிலை அல்லாத துவக்கத்தை இயக்கு. File
2. உருவாக்கப்பட்ட .hex இன் பாதையைக் குறிப்பிடவும் file (elf2hex கட்டளையிலிருந்து) பயனர் உருவாக்கிய ஹெக்ஸ் அல்லது மிஃப் இல் file.
படம் 37. .hex ஐச் சேர்த்தல் File பாதை
· முறை 2: POF உருவாக்கத்தின் போது UFM தரவை தொகுக்கப்பட்ட SOF உடன் இணைக்கவும்.
நிரலாக்கத்தை மாற்றும்போது UFM தரவு தொகுக்கப்பட்ட SOF உடன் இணைக்கப்படுகிறது. files. UFM தரவு மாறினாலும், நீங்கள் SOF ஐ மீண்டும் தொகுக்க வேண்டியதில்லை. உருவாக்கத்தின் போது, நீங்கள் SOF ஐ மீண்டும் தொகுக்க வேண்டியதில்லை. fileபயன்பாட்டில் உள்ள மாற்றங்களுக்கு கள். பயன்பாட்டு உருவாக்குநர்களுக்கு இந்த முறையை Alterare பரிந்துரைக்கிறது.
1. ஃபிளாஷ் உள்ளடக்கத்தை துவக்கு என்பதைத் தேர்வுநீக்கு..
படம் 38. இயல்புநிலை அல்லாத துவக்கத்துடன் ஃபிளாஷ் உள்ளடக்கத்தைத் துவக்கவும் File
நியோஸ் வி செயலி இயக்க-இன்-பிளேஸ் முறைக்கான முகவர் அமைப்புகளை மீட்டமைக்கவும்.
1. Nios V செயலி அளவுரு எடிட்டரில், மீட்டமை முகவரை ஆன்-சிப் ஃபிளாஷாக அமைக்கவும்.
படம் 39. மீட்டமைப்பு முகவர் ஆன்-சிப் ஃபிளாஷுக்கு அமைக்கப்பட்ட நியோஸ் V செயலி அளவுரு எடிட்டர் அமைப்புகள்
2. Generation உரையாடல் பெட்டி தோன்றும் போது Generate HDL என்பதைக் கிளிக் செய்யவும். 3. வெளியீட்டைக் குறிப்பிடவும். file உருவாக்க விருப்பங்களைத் தேர்ந்தெடுத்து உருவாக்கு என்பதைக் கிளிக் செய்யவும்.
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 61
4. நியோஸ் V செயலி கட்டமைப்பு மற்றும் துவக்க தீர்வுகள் 726952 | 2025.07.16
Quartus Prime Software Settings 1. In the Quartus Prime software, click Assignments Device Device and Pin
Options Configuration. Set the Configuration mode according to the setting in On-Chip Flash IP. Figure 40. Configuration Mode Selection in Quartus Prime Software
2. சாதனம் மற்றும் பின் விருப்பங்கள் சாளரத்திலிருந்து வெளியேற சரி என்பதைக் கிளிக் செய்யவும்,
3. சாதன சாளரத்திலிருந்து வெளியேற சரி என்பதைக் கிளிக் செய்யவும்.
4. Click Processing Start Compilation to compile your project and generate the .sof file.
குறிப்பு:
Quartus Prime மென்பொருள் மற்றும் Platform Designer அளவுரு எடிட்டரில் உள்ள உள்ளமைவு முறை அமைப்பு வேறுபட்டால், Quartus Prime திட்டம் பின்வரும் பிழைச் செய்தியுடன் தோல்வியடையும்.
படம் 41.
வெவ்வேறு உள்ளமைவு முறை அமைப்பிற்கான பிழைச் செய்தி பிழை (14740): அணுவில் உள்ள உள்ளமைவு முறை “q_sys:q_sys_inst| altera_onchip_flash:onchip_flash_1|altera_onchip_flash_block: altera_onchip_flash_block|ufm_block” திட்ட அமைப்போடு பொருந்தவில்லை. திட்ட அமைப்பைப் பொருத்த Qsys அமைப்பைப் புதுப்பித்து மீண்டும் உருவாக்கவும்.
தொடர்புடைய தகவல் MAX 10 FPGA உள்ளமைவு பயனர் வழிகாட்டி
4.5.2.2. மென்பொருள் வடிவமைப்பு ஓட்டம்
இந்தப் பிரிவு Nios V செயலி மென்பொருள் திட்டத்தை உருவாக்குவதற்கும் கட்டமைப்பதற்கும் வடிவமைப்பு ஓட்டத்தை வழங்குகிறது. நெறிப்படுத்தப்பட்ட உருவாக்க ஓட்டத்தை உறுதிசெய்ய, உங்கள் வடிவமைப்பு திட்டத்தில் இதேபோன்ற ஒரு கோப்பக மரத்தை உருவாக்க ஊக்குவிக்கப்படுகிறீர்கள். பின்வரும் மென்பொருள் வடிவமைப்பு ஓட்டம் இந்த கோப்பக மரத்தை அடிப்படையாகக் கொண்டது.
மென்பொருள் திட்ட அடைவு மரத்தை உருவாக்க, இந்த வழிமுறைகளைப் பின்பற்றவும்: 1. உங்கள் வடிவமைப்பு திட்ட கோப்புறையில், மென்பொருள் என்ற கோப்புறையை உருவாக்கவும். 2. மென்பொருள் கோப்புறையில், hal_app மற்றும் hal_bsp என்ற இரண்டு கோப்புறைகளை உருவாக்கவும்.
படம் 42. மென்பொருள் திட்ட அடைவு மரம்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 62
கருத்தை அனுப்பவும்
4. நியோஸ் V செயலி கட்டமைப்பு மற்றும் துவக்க தீர்வுகள் 726952 | 2025.07.16
பயன்பாட்டு BSP திட்டத்தை உருவாக்குதல்
BSP எடிட்டரைத் தொடங்க, இந்தப் படிகளைப் பின்பற்றவும்: 1. Nios V கட்டளை ஷெல்லை உள்ளிடவும். 2. niosv-bsp-editor கட்டளையுடன் BSP எடிட்டரை அழைக்கவும். 3. BSP எடிட்டரில், கிளிக் செய்யவும். File உங்கள் BSP திட்டத்தைத் தொடங்க புதிய BSP. 4. பின்வரும் அமைப்புகளை உள்ளமைக்கவும்:
· SOPC தகவல் File பெயர்: SOPCINFO ஐ வழங்கவும். file (.sopcinfo). · CPU பெயர்: Nios V செயலியைத் தேர்ந்தெடுக்கவும். · இயக்க முறைமை: Nios V செயலியின் இயக்க முறைமையைத் தேர்ந்தெடுக்கவும். · பதிப்பு: இயல்புநிலையாக விடுங்கள். · BSP இலக்கு கோப்பகம்: BSP திட்டத்தின் கோப்பகப் பாதையைத் தேர்ந்தெடுக்கவும். நீங்கள்
அதை முன்கூட்டியே அமைக்கவும் /software/hal_bsp ஐ இயல்புநிலை இருப்பிடங்களைப் பயன்படுத்து என்பதை இயக்குவதன் மூலம். · BSP அமைப்புகள் File பெயர்: BSP அமைப்புகளின் பெயரைத் தட்டச்சு செய்யவும். File. · கூடுதல் Tcl ஸ்கிரிப்டுகள்: கூடுதல் Tcl ஸ்கிரிப்டை இயக்கு என்பதை இயக்குவதன் மூலம் BSP Tcl ஸ்கிரிப்டை வழங்கவும். 5. சரி என்பதைக் கிளிக் செய்யவும்.
படம் 43. புதிய BSP ஐ உள்ளமைக்கவும்
BSP எடிட்டரை உள்ளமைத்தல் மற்றும் BSP திட்டத்தை உருவாக்குதல்
You can define the processor’s exception vector either in On-Chip Memory (OCRAM) or On-Chip Flash based on your design preference. Setting the exception vector memory to OCRAM/External RAM is recommended to make the interrupt processing faster. 1. Go to Main Settings Advanced hal.linker. 2. If you select On-Chip Flash as exception vector,
a. Enable the following settings:
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 63
4. நியோஸ் V செயலி கட்டமைப்பு மற்றும் துவக்க தீர்வுகள் 726952 | 2025.07.16
· allow_code_at_reset · enable_alt_load · enable_alt_load_copy_rodata · enable_alt_load_copy_rwdata Figure 44. Advanced.hal.linker Settings
b. Click on the Linker Script tab in the BSP Editor. c. Set the .exceptions and .text regions in the Linker Section Name to
On-Chip Flash. d. Set the rest of the regions in the Linker Section Name list to the On-Chip
Memory (OCRAM) or external RAM.
Figure 45. Linker Region Settings (Exception Vector Memory: On-Chip Flash)
3. If you select OCRAM/External RAM as exception vector, a. Enable the following settings: · allow_code_at_reset · enable_alt_load · enable_alt_load_copy_rodata · enable_alt_load_copy_rwdata · enable_alt_load_copy_exception
Figure 46. Linker Region Settings (Exception Vector Memory: OCRAM/External RAM)
b. Click on the Linker Script tab in the BSP Editor.
c. Set the.text regions in the Linker Section Name to On-Chip Flash.
d. Set the rest of the regions in the Linker Section Name list to the On-Chip Memory (OCRAM) or external RAM.
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 64
கருத்தை அனுப்பவும்
4. நியோஸ் V செயலி கட்டமைப்பு மற்றும் துவக்க தீர்வுகள் 726952 | 2025.07.16
Figure 47. Linker Region Settings (Exception Vector Memory: OCRAM)
4. Click Generate to generate the BSP project. Generating the User Application Project File 1. Navigate to the software/hal_app folder and create your application source
code. 2. Launch the Nios V Command Shell. 3. Execute the command below to generate the application CMakeLists.txt.
niosv-app –app-dir=software/hal_app –bsp-dir=software/hal_bsp –srcs=software/hal_app/<user application>
Building the User Application Project You can choose to build the user application project using Ashling RiscFree IDE for Altera FPGAs or through the command line interface (CLI). If you prefer using CLI, you can build the user application using the following command: cmake -G “Unix Makefiles” -B software/hal_app/build -S software/hal_app make -C software/hal_app/build
The application (.elf) file is created in software/hal_app/build folder. Generating the HEX File You must generate a .hex file from your application .elf file, so you can create a .pof file suitable for programming the devices. 1. Launch the Nios V Command Shell. 2. For Nios V processor application boot from On-Chip Flash, use the following
command line to convert the ELF to HEX for your application. This command creates the user application (onchip_flash.hex) file. elf2hex software/hal_app/build/<user_application>.elf -o onchip_flash.hex
-b <base address of On-Chip Flash UFM region> -w 8 -e <end address of On-Chip Flash UFM region> 3. Recompile the hardware design if you check Initialize memory content option in On-Chip Flash IP (Method 1). This is to include the software data (.HEX) in the SOF file.
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 65
4. நியோஸ் V செயலி கட்டமைப்பு மற்றும் துவக்க தீர்வுகள் 726952 | 2025.07.16
4.5.2.3. Programming 1. In Quartus Prime, click File நிரலாக்கத்தை மாற்றவும் Files. 2. Under Output programming file, choose Programmer Object File (.pof) as Programming file type. 3. Set Mode to Internal Configuration.
Figure 48. Convert Programming File அமைப்புகள்
4. Click Options/Boot info…, the MAX 10 Device Options window appears. 5. Based on the Initialize flash content settings in the On-chip Flash IP, perform
one of the following steps: · If Initialize flash content is checked (Method 1), the UFM initialization data
was included in the SOF duringQuartus Prime compilation. — Select Page_0 for UFM source: option. Click OK and proceed to the
next. Figure 49. Setting Page_0 for UFM Source if Initialize Flash Content is Checked
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 66
கருத்தை அனுப்பவும்
4. நியோஸ் V செயலி கட்டமைப்பு மற்றும் துவக்க தீர்வுகள் 726952 | 2025.07.16
· If Initialize flash content is not checked (Method 2), choose Load memory file for the UFM source option. Browse to the generated On-chip Flash HEX file (onchip_flash.hex) in the File path: and click OK. This step adds UFM data separately to the SOF file during the programming file மாற்றம்.
Figure 50. Setting Load Memory File for UFM Source if Initialize Flash Content is Not Checked
6. In the Convert Programming File dialog box, at the Input files to convert section, click Add File… and point to the generated Quartus Prime .sof file.
Figure 51. Input Files to Convert in Convert Programming Files for Single Image Mode
7. Click Generate to create the .pof file. 8. Program the .pof file into your MAX 10 device. 9. Power cycle your hardware.
4.5.3. Nios V Processor Application Copied from UFM to RAM using Boot Copier
Altera recommends this solution for MAX 10 FPGA Nios V processor system designs where multiple iterations of application software development and high system performance are required. The boot copier is located within the UFM at an offset that is the same address as the reset vector. The Nios V application is located next to the boot copier.
For this boot option, the Nios V processor starts executing the boot copier upon system reset to copy the application from the UFM sector to the OCRAM or external RAM. Once copying is complete, the Nios V processor transfers the program control over to the application.
குறிப்பு:
The applied boot copier is the same as the Bootloader via GSFI.
கருத்தை அனுப்பவும்
Nios® V உட்பொதிக்கப்பட்ட செயலி வடிவமைப்பு கையேடு 67
4. நியோஸ் V செயலி கட்டமைப்பு மற்றும் துவக்க தீர்வுகள் 726952 | 2025.07.16
Figure 52. Nios V Application Copied from UFM to RAM using Boot Copier
அதிகபட்சம் 10 சாதனம்
.பி.ஓ.எஃப்.
நியோஸ் வி வன்பொருள் .SOF
நியோஸ் வி மென்பொருள் .HEX
Bootloader .SREC
குவார்டஸ் புரோகிராமர்
வெளிப்புற ரேம்
நியோஸ் வி மென்பொருள்
ஆன்-சிப் ஃபிளாஷ்
CFM
Nios V Hardwa
ஆவணங்கள் / ஆதாரங்கள்
![]() |
altera Nios V Embedded Processor [pdf] பயனர் வழிகாட்டி Nios V, Nios V-m, Nios V-g, Nios V-c, Nios V Embedded Processor, Nios V, Embedded Processor, Processor |