altera Nios V Embedded Processor

Sònrachaidhean

  • Ainm Bathar: Pròiseasar Nios V
  • Software Compatibility: Quartus Prime Software and Platform Designer
  • Seòrsa Pròiseasar: Altera FPGA
  • Siostam Cuimhne: Cuimhne Luath agus Neo-Lath
  • Eadar-aghaidh Conaltraidh: Àidseant UART

Nios V Processor Hardware System Design

To design the Nios V Processor hardware system, follow these steps:

  1. Create Nios V Processor system design using Platform Designer.
  2. Amalaich an siostam a-steach do phròiseact Quartus Prime.
  3. Design memory system including volatile and non-volatile memory.
  4. Cuir clocaichean an gnìomh agus ath-shuidhich na cleachdaidhean as fheàrr.
  5. Sònraich riochdairean bunaiteach agus UART airson obrachadh èifeachdach.

Nios V Processor Software System Design

Gus an siostam bathar-bog a dhealbhadh airson Pròiseasar Nios V:

  1. Lean sruth leasachaidh bathar-bog airson Pròiseasar Nios V.
  2. Create Board Support Package Project and Application Project.

Nios V Processor Configuration and Booting Solutions

Airson Pròiseasar Nios V a rèiteachadh agus a bhùtadh:

  1. Understand the introduction to configuration and booting solutions.
  2. Ceangail aplacaidean airson obrachadh gun fhiosta.

About the Nios® V Embedded Processor
1.1. Altera® FPGA agus Pròiseasairean Leabaithe Thairisview
Faodaidh innealan FPGA Altera loidsig a chur an gnìomh a bhios ag obair mar mhicro-phròiseasar iomlan agus aig an aon àm a’ toirt seachad mòran roghainnean.
Is e eadar-dhealachadh cudromach eadar meanbh-phròiseasairean fa leth agus Altera FPGA nach eil loidsig sam bith ann an aodach Altera FPGA nuair a thèid a thòiseachadh. Tha am pròiseasar Nios® V na phròiseasar seilbh inntleachdail bog (IP) stèidhichte air sònrachadh RISC-V. Mus ruith thu bathar-bog air siostam stèidhichte air pròiseasar Nios V, feumaidh tu an inneal Altera FPGA a rèiteachadh le dealbhadh bathar-cruaidh anns a bheil pròiseasar Nios V. Faodaidh tu am pròiseasar Nios V a chuir an àite sam bith air an Altera FPGA, a rèir riatanasan an dealbhaidh.


Gus an urrainn don t-siostam leabaithe agad stèidhichte air IP Altera® FPGA a bhith ag obair mar shiostam stèidhichte air meanbh-phròiseasar fa leth, bu chòir na leanas a bhith san t-siostam agad: · AJTAG eadar-aghaidh gus taic a thoirt do rèiteachadh, bathar-cruaidh is bathar-bog Altera FPGA
dì-bhugachadh · Inneal rèiteachaidh Altera FPGA cumhachd-suas
Ma tha na comasan seo aig an t-siostam agad, faodaidh tu tòiseachadh air an dealbhadh agad a leasachadh bho dhealbhadh bathar-cruaidh ro-dheuchainnichte a chaidh a luchdachadh a-steach don Altera FPGA. Le bhith a’ cleachdadh Altera FPGA, faodaidh tu an dealbhadh agad atharrachadh gu sgiobalta gus dèiligeadh ri duilgheadasan no gus gnìomhachd ùr a chur ris. Faodaidh tu na dealbhaidhean bathar-cruaidh ùra seo a dhearbhadh gu furasta le bhith ag ath-rèiteachadh an Altera FPGA a’ cleachdadh J an t-siostaim agad.TAG eadar-aghaidh.
Tha an J.TAG Tha an eadar-aghaidh a’ toirt taic do leasachadh bathar-cruaidh is bathar-bog. Faodaidh tu na gnìomhan a leanas a dhèanamh le bhith a’ cleachdadh an JTAG eadar-aghaidh: · Rèitich an Altera FPGA · Luchdaich sìos agus dì-bhugaich bathar-bog · Conaltradh leis an Altera FPGA tro eadar-aghaidh coltach ri UART (JTAG UART
crìoch-uidhe) · Bathar-cruaidh dì-bhugachaidh (leis an anailisiche loidigeach leabaithe Signal Tap) · Cuimhne flash prògramaichte
Às dèidh dhut an Altera FPGA a rèiteachadh le dealbhadh stèidhichte air pròiseasar Nios V, tha an sruth leasachaidh bathar-bog coltach ris an t-sruthadh airson dealbhadh meanbh-riaghladairean fa leth.


Fiosrachadh Co-cheangailte · AN 985: Oideachadh Pròiseasar Nios V
Stiùireadh tòiseachaidh luath mu bhith a’ cruthachadh siostam pròiseasar Nios V sìmplidh agus a’ ruith an aplacaid Hello World.
© Altera Corporation. Tha Altera, suaicheantas Altera, suaicheantas an ‘a’, agus comharran Altera eile nan comharran-malairt aig Altera Corporation. Tha còir aig Altera atharrachaidhean a dhèanamh air toraidhean is seirbheisean sam bith aig àm sam bith gun rabhadh. Chan eil Altera a’ gabhail uallach no buailteachd sam bith ag èirigh bho bhith a’ cur an sàs no a’ cleachdadh fiosrachadh, toradh no seirbheis sam bith a tha air a mhìneachadh an seo ach a-mhàin mar a chaidh aontachadh gu soilleir ann an sgrìobhadh le Altera. Thathar a’ comhairleachadh luchd-ceannach Altera an dreach as ùire de shònrachaidhean innealan fhaighinn mus cuir iad earbsa ann am fiosrachadh foillsichte sam bith agus mus cuir iad òrdughan a-steach airson toraidhean no seirbheisean. *Faodar tagradh a dhèanamh gur ann le daoine eile a tha ainmean is suaicheantasan eile.

1. Mu dheidhinn a’ Phròiseasar Leabaithe Nios® V 726952 | 2025.07.16
· Leabhar-iùil Iomraidh Pròiseasar Nios V A’ toirt seachad fiosrachadh mu chomharran-tomhais coileanaidh pròiseasar Nios V, ailtireachd a’ phròiseasair, am modail prògramaidh, agus an cur an gnìomh bunaiteach.
· Stiùireadh Cleachdaiche airson Innealan Iomallach Leabaithe IP · Leabhar-làimhe Leasaiche Bathar-bog Pròiseasar Nios V


A’ toirt cunntas air àrainneachd leasachaidh bathar-bog pròiseasar Nios V, na h-innealan a tha rim faighinn, agus am pròiseas airson bathar-bog a thogail a ruitheas air pròiseasar Nios V. · Stiùireadh Cleachdaiche Àrainneachd Leasachaidh Amalaichte (IDE) Ashling* RiscFree* airson Altera FPGAs A’ toirt cunntas air an àrainneachd leasachaidh amalaichte (IDE) RiscFree* airson Altera FPGAs HPS stèidhichte air Arm* agus pròiseasar cridhe Nios V. · Notaichean Sgaoilidh IP Altera FPGA Pròiseasar Nios V
1.2. Taic bathar-bog Quartus® Prime
Tha sruth togail pròiseasar Nios V eadar-dhealaichte airson bathar-bog Quartus® Prime Pro Edition agus bathar-bog Quartus Prime Standard Edition. Thoir sùil air AN 980: Taic Bathar-bog Quartus Prime airson Pròiseasar Nios V airson tuilleadh fiosrachaidh mun eadar-dhealachadh.
Fiosrachadh co-cheangailte AN 980: Pròiseasar Nios V Taic bathar-bog Quartus Prime
1.3. Ceadachd Pròiseasar Nios V
Tha iuchair cheadachais aig gach caochladh pròiseasar Nios V. Cho luath ‘s a gheibh thu an iuchair cheadachais, faodaidh tu an aon iuchair cheadachais a chleachdadh airson a h-uile pròiseact pròiseasar Nios V gus an tig an ceann-latha crìochnachaidh. Faodaidh tu ceadan IP Altera FPGA Pròiseasar Nios V fhaighinn gun chosgais sam bith.
Tha liosta iuchair ceadachais pròiseasar Nios V ri fhaighinn ann an Ionad Ceadachais Fèin-sheirbheis Altera FPGA. Briog air an taba Clàraich airson Measadh no Cead Saor, agus tagh na roghainnean co-fhreagarrach gus an t-iarrtas a dhèanamh.
Figear 1. Ionad Ceadachd Fèin-sheirbheis Altera FPGA

Leis na h-iuchraichean ceadachais, faodaidh tu:
Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 7

1. Mu dheidhinn a’ Phròiseasar Leabaithe Nios® V 726952 | 2025.07.16
· Cuir pròiseasar Nios V an gnìomh taobh a-staigh an t-siostaim agad. · Dèan atharrais air giùlan siostam pròiseasar Nios V. · Dearbhaich gnìomhachd an dealbhaidh, leithid meud agus astar. · Gin prògramadh innealan files. · Prògramaich inneal agus dearbhaich an dealbhadh ann am bathar-cruaidh.
Chan fheum thu cead gus bathar-bog a leasachadh anns an Ashling* RiscFree* IDE airson Altera FPGAs.
Fiosrachadh Co-cheangailte · Ionad Ceadachd Fèin-sheirbheis Altera FPGA
Airson tuilleadh fiosrachaidh mu bhith a’ faighinn iuchraichean ceadachais IP Altera FPGA airson Pròiseasar Nios V. · Stàladh is Ceadachas Bathar-bog Altera FPGA Airson tuilleadh fiosrachaidh mu bhith a’ ceadachadh bathar-bog Altera FPGA agus a’ stèidheachadh cead stèidhichte agus frithealaiche ceadachais lìonra.
1.4. Dealbhadh Siostam Leabaithe
Tha an fhigear a leanas a’ sealltainn sruth dealbhaidh siostam stèidhichte air pròiseasar Nios V a tha air a shìmplichte, a’ gabhail a-steach leasachadh bathar-cruaidh is bathar-bog.

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 8

Cuir fios air ais

1. Mu dheidhinn a’ Phròiseasar Leabaithe Nios® V 726952 | 2025.07.16

Figear 2.

Sruth Dealbhaidh Siostam Pròiseasar Nios V
Bun-bheachd an t-siostaim

Dèan mion-sgrùdadh air riatanasan an t-siostaim

Nios® V
Cridheachan Pròiseasar agus Co-phàirtean Àbhaisteach

Mìnich agus cruthaich siostam ann an
Dealbhadair àrd-ùrlar

Sruth Bathar-cruaidh: Amalaich agus cuir ri chèile Pròiseact Intel Quartus Prime

Sruth Bathar-bog: Leasaich agus Tog Bathar-bog Molaidh Nios V

Sruth Bathar-cruaidh: Luchdaich sìos Dealbhadh FPGA
chun Bhòrd Targaid

Sruth Bathar-bog: Deuchainn agus Debugachadh Bathar-bog Pròiseasar Nios V

A bheil am bathar-bog a’ coinneachadh ris na sònrachaidhean?
Tha
Bathar-cruaidh Chan eil A bheil e a’ coinneachadh ris na sònrachaidhean? Tha
Siostam coileanta

Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 9

726952 | 2025.07.16 Cuir fios air ais

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair

Figear 3.

Tha an diagram a leanas a’ sealltainn dealbhadh bathar-cruaidh àbhaisteach airson pròiseasar Nios V. Sruth Dealbhaidh Bathar-cruaidh Siostam Pròiseasar Nios V

Tòisich

Cridheachan Nios V agus Co-phàirtean Coitcheann

Cleachd Dealbhaiche Àrd-ùrlair gus Siostam Stèidhichte air Nios V a Dhealbhachadh
Cruthaich Dealbhadh Dealbhaiche Àrd-ùrlair

Amalaich Siostam Dealbhaiche Àrd-ùrlair le Pròiseact Intel Quartus Prime
Sònraich Àiteachan Prìne, Riatanasan Tìm, agus Cuingealachaidhean Dealbhaidh eile
Cruinnich bathar-cruaidh airson inneal targaid ann an Intel Quartus Prime

Deiseil airson a luchdachadh sìos
2.1. A’ cruthachadh Dealbhadh Siostam Pròiseasar Nios V le Dealbhaiche Àrd-ùrlair
Tha inneal amalachaidh siostam an Àrd-ùrlair Dealbhaiche anns a’ bhathar-bog Quartus Prime a bhios a’ sìmpleachadh obair mìneachadh is amalachadh cridhe IP pròiseasar Nios V agus IPan eile ann an dealbhadh siostam Altera FPGA. Bidh an Àrd-ùrlar Dealbhaiche gu fèin-ghluasadach a’ cruthachadh loidsig eadar-cheangail bhon cheangal àrd-ìre ainmichte. Bidh an fèin-ghluasad eadar-cheangail a’ cur às don obair a tha a’ toirt ùine mhòr a bhith a’ sònrachadh cheanglaichean HDL aig ìre an t-siostaim.
© Altera Corporation. Tha Altera, suaicheantas Altera, suaicheantas an ‘a’, agus comharran Altera eile nan comharran-malairt aig Altera Corporation. Tha còir aig Altera atharrachaidhean a dhèanamh air toraidhean is seirbheisean sam bith aig àm sam bith gun rabhadh. Chan eil Altera a’ gabhail uallach no buailteachd sam bith ag èirigh bho bhith a’ cur an sàs no a’ cleachdadh fiosrachadh, toradh no seirbheis sam bith a tha air a mhìneachadh an seo ach a-mhàin mar a chaidh aontachadh gu soilleir ann an sgrìobhadh le Altera. Thathar a’ comhairleachadh luchd-ceannach Altera an dreach as ùire de shònrachaidhean innealan fhaighinn mus cuir iad earbsa ann am fiosrachadh foillsichte sam bith agus mus cuir iad òrdughan a-steach airson toraidhean no seirbheisean. *Faodar tagradh a dhèanamh gur ann le daoine eile a tha ainmean is suaicheantasan eile.

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair
726952 | 2025.07.16 XNUMX

Às dèidh dhut riatanasan bathar-cruaidh an t-siostaim a sgrùdadh, cleachdaidh tu Quartus Prime gus cridhe pròiseasar Nios V, cuimhne, agus co-phàirtean eile a dh’ fheumas an siostam agad a shònrachadh. Bidh an Dealbhaiche Àrd-ùrlair a’ gineadh an loidsig eadar-cheangail gu fèin-ghluasadach gus na co-phàirtean a thoirt a-steach don t-siostam bathar-cruaidh.

2.1.1. A’ stèidheachadh IP FPGA Altera Pròiseasar Nios V

You can instantiate any of the processor IP cores in Platform Designer IP Catalog Processors and Peripherals Embedded Processors.

Tha cridhe IP gach pròiseasar a’ toirt taic do dhiofar roghainnean rèiteachaidh stèidhichte air an ailtireachd shònraichte aige. Faodaidh tu na rèiteachaidhean sin a mhìneachadh gus freagairt nas fheàrr air na feumalachdan dealbhaidh agad.

Clàr 1 .

Roghainnean rèiteachaidh thar diofar phrìomh atharrachaidhean

Roghainnean rèiteachaidh

Pròiseasar Nios V/c

Pròiseasar Nios V/m

Iarrtas Ath-shuidheachaidh Cleachdaidh Debug

Ribe, Eisgeachdan, agus Eadar-bhriseadh

Ailtireachd CPU

ECC

Tasglannan, Roinnean Iomallach agus TCMan

Stiùiridhean Gnàthaichte

Ceum glasaidh

Pròiseasar Nios V/g

2.1.1.1. A’ cruthachadh Rianadair Meanbh-riaghladair Beag Nios V/c Altera FPGA IP Figear 4. Rianadair Meanbh-riaghladair Beag Nios V/c Altera FPGA IP

Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 11

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair
726952 | 2025.07.16 XNUMX

2.1.1.1.1. Taba Ailtireachd CPU

Clàr 2 .

Tab Ailtireachd CPU

Feart

Tuairisgeul

Cuir Eadar-aghaidh Avalon® an gnìomh Cuiridh seo Eadar-aghaidh Avalon an gnìomh airson manaidsear stiùiridh agus manaidsear dàta. Mura h-eil e comasach, bidh an siostam a’ cleachdadh eadar-aghaidh AXI4-Lite.

luach CSR mhartid

· Roghainn IP neo-dhligheach. · Na cleachd luach CSR mhartid ann am pròiseasar Nios V/c.

2.1.1.1.2. Cleachd an taba Iarrtas Ath-shuidheachaidh

Clàr 3 .

Cleachd Paramadair an taba Ath-shuidheachadh Iarrtas

Cleachd an taba Iarrtas Ath-shuidheachaidh

Tuairisgeul

Cuir Eadar-aghaidh Iarrtas Ath-shuidheachaidh ris

· Cuir an roghainn seo an comas gus puirt ath-shuidheachaidh ionadail fhoillseachadh far am faod maighstir ionadail a chleachdadh gus pròiseasar Nios V ath-shuidheachadh gun bhuaidh a thoirt air co-phàirtean eile ann an siostam pròiseasar Nios V.
· Tha an eadar-aghaidh ath-shuidheachaidh air a dhèanamh suas de chomharra ath-shuidheachaidh cuir a-steach agus comharra dearbhaidh toraidh.
Faodaidh tu ath-shuidheachadh iarraidh air cridhe pròiseasar Nios V le bhith ag agairt an comharra resestreq.
· Feumaidh an comharra resestreq fuireach dearbhte gus an dearbhaich am pròiseasar comharra dearbhaidh. Mura fuirich an comharra dearbhte, faodaidh sin leantainn gu bheil am pròiseasar ann an staid neo-chinnteach.
· Bidh am pròiseasar Nios V a’ freagairt gu bheil an ath-shuidheachadh soirbheachail le bhith ag ràdh gu bheil an comharra dearbhaidh ann.
Às dèidh don phròiseasar ath-shuidheachadh gu soirbheachail, faodaidh dearbhadh a’ chomharra dearbhaidh tachairt grunn thursan bho àm gu àm gus an tèid dearbhadh a’ chomharra ath-shuidheachaidh a dhì-dhearbhadh.

2.1.1.1.3. Tabaichean Ribe, Eisgeachdan, agus Eadar-bhriseadh

Clàr 4 .

Paramadairean an taba Ribe, Eisgeachdan, agus Eadar-bhriseadh

Ribe, Eisgeachdan, agus Eadar-bhriseadh

Tuairisgeul

Ath-shuidhich an Àidseant

· A’ chuimhne anns a bheil an vectar ath-shuidheachaidh (seòladh ath-shuidheachaidh pròiseasar Nios V) far a bheil an còd ath-shuidheachaidh a’ fuireach.
· Faodaidh tu modúl cuimhne sam bith a tha ceangailte ri maighstir-stiùiridh pròiseasar Nios V agus a tha air a chumail suas le sruth-tòiseachaidh pròiseasar Nios V a thaghadh mar an àidseant ath-shuidheachaidh.

Ath-shuidheachadh Offset

· A’ sònrachadh an co-shìneadh den vectar ath-shuidheachaidh an coimeas ri seòladh bunaiteach an àidseant ath-shuidheachaidh a chaidh a thaghadh. · Bidh Dealbhaiche Àrd-ùrlair a’ toirt seachad luach bunaiteach gu fèin-ghluasadach airson a’ cho-shìneadh ath-shuidheachaidh.

Thoir an aire:

Tha roghainn Absolute aig an Platform Designer, a leigeas leat seòladh iomlan a shònrachadh ann an Reset Offset. Cleachd an roghainn seo nuair a tha a’ chuimhne a tha a’ stòradh an vectar ath-shuidheachaidh suidhichte taobh a-muigh siostam a’ phròiseasair agus fo-shiostaman.

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 12

Cuir fios air ais

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair
726952 | 2025.07.16 XNUMX

2.1.1.1.4. Taba ECC

Clàr 5 .

Taba ECC

ECC

Cuir an comas lorg mhearachdan agus aithris inbhe

Tuairisgeul
· Cuir an roghainn seo an gnìomh gus feart ECC a chur an sàs airson blocaichean RAM a-staigh pròiseasar Nios V. · Bidh feartan ECC a’ lorg mhearachdan suas ri 2-bit agus a’ freagairt a rèir an giùlain a leanas:
— Ma tha e na mhearachd 1-bit a ghabhas ceartachadh, leanaidh am pròiseasar air ag obair an dèidh a’ mhearachd a cheartachadh ann am pìob-loidhne a’ phròiseasair. Ach, chan eil an ceartachadh ri fhaicinn anns na cuimhneachain tùsail.
— Mura gabh am mearachd a cheartachadh, cumaidh am pròiseasar air ag obair gun a ceartachadh ann am pìob-loidhne a’ phròiseasair agus ann am cuimhneachain an stòir, agus dh’ fhaodadh sin toirt air a’ phròiseasar a dhol a-steach do staid neo-chinnteach.

2.1.1.2. A’ cruthachadh IP FPGA Nios V/m airson Microcontroller Altera Figear 5. IP FPGA Nios V/m airson Microcontroller Altera

Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 13

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair
726952 | 2025.07.16 XNUMX

2.1.1.2.1. Taba Dì-bhugachaidh

Clàr 6 .

Paramadairean an taba dì-bhugachaidh

Tab Debug

Tuairisgeul

Cuir an comas dì-bhugachadh
Cuir an comas ath-shuidheachadh bhon mhodal dì-bhugachaidh

· Cuir an roghainn seo an gnìomh gus an J a chur risTAG modúl ceangail targaid ris a’ phròiseasar Nios V. · An JTAG Leigidh am modúl ceangail targaid le ceangal ris a’ phròiseasar Nios V tron
JTAG prìnichean eadar-aghaidh an FPGA. · Tha an ceangal a’ toirt seachad na comasan bunaiteach a leanas:
— Tòisich agus stad am pròiseasar Nios V — Dèan sgrùdadh air agus deasaich clàran agus cuimhne. — Luchdaich sìos an aplacaid Nios V .elf file chun chuimhne pròiseasar aig àm ruith tro
luchdachadh sìos niosv. — Dèan dì-bhugachadh air an aplacaid a tha a’ ruith air pròiseasar Nios V · Ceangail port dm_agent ris a’ bhus stiùiridh is dàta aig a’ phròiseasar. Dèan cinnteach gu bheil an seòladh bunaiteach eadar an dà bhus mar an ceudna.
· Cuir an comas an roghainn seo gus puirt dbg_reset_out agus ndm_reset_in fhoillseachadh. · JTAG Bidh an dì-bhugadair no an àithne niosv-download -r a’ piobrachadh an dbg_reset_out, a
a’ leigeil leis a’ phròiseasar Nios V innealan siostaim ath-shuidheachadh a tha a’ ceangal ris a’ phort seo. · Feumaidh tu an eadar-aghaidh dbg_reset_out a cheangal ri ndm_reset_in an àite ath-shuidheachadh
eadar-aghaidh gus ath-shuidheachadh a bhrosnachadh gu cridhe a’ phròiseis agus modúl an timer. Chan fhaod thu eadar-aghaidh dbg_reset_out a cheangal ris an eadar-aghaidh ath-shuidheachaidh gus casg a chuir air giùlan neo-chinnteach.

2.1.1.2.2. Cleachd an taba Iarrtas Ath-shuidheachaidh

Clàr 7 .

Cleachd Paramadair an taba Ath-shuidheachadh Iarrtas

Cleachd an taba Iarrtas Ath-shuidheachaidh

Tuairisgeul

Cuir Eadar-aghaidh Iarrtas Ath-shuidheachaidh ris

· Cuir an roghainn seo an comas gus puirt ath-shuidheachaidh ionadail fhoillseachadh far am faod maighstir ionadail a chleachdadh gus pròiseasar Nios V ath-shuidheachadh gun bhuaidh a thoirt air co-phàirtean eile ann an siostam pròiseasar Nios V.
· Tha an eadar-aghaidh ath-shuidheachaidh air a dhèanamh suas de chomharra ath-shuidheachaidh cuir a-steach agus comharra dearbhaidh toraidh.
Faodaidh tu ath-shuidheachadh iarraidh air cridhe pròiseasar Nios V le bhith ag agairt an comharra resestreq.
· Feumaidh an comharra resestreq fuireach dearbhte gus an dearbhaich am pròiseasar comharra dearbhaidh. Mura fuirich an comharra dearbhte, faodaidh sin leantainn gu bheil am pròiseasar ann an staid neo-chinnteach.
Chan eil buaidh sam bith aig dearbhadh an t-soidhne resestreq ann am modh dì-bhugachaidh air staid a’ phròiseasar.
· Bidh am pròiseasar Nios V a’ freagairt gu bheil an ath-shuidheachadh soirbheachail le bhith ag ràdh gu bheil an comharra dearbhaidh ann.
Às dèidh don phròiseasar ath-shuidheachadh gu soirbheachail, faodaidh dearbhadh a’ chomharra dearbhaidh tachairt grunn thursan bho àm gu àm gus an tèid dearbhadh a’ chomharra ath-shuidheachaidh a dhì-dhearbhadh.

2.1.1.2.3. Tabaichean Ribe, Eisgeachdan, agus Eadar-bhriseadh

Clàr 8 .

Tabaichean Ribe, Eisgeachdan, agus Eadar-bhriseadh

Tabaichean Ribe, Eisgeachdan, agus Eadar-bhriseadh

Tuairisgeul

Ath-shuidhich an Àidseant

· A’ chuimhne anns a bheil an vectar ath-shuidheachaidh (seòladh ath-shuidheachaidh pròiseasar Nios V) far a bheil an còd ath-shuidheachaidh a’ fuireach.
· Faodaidh tu modúl cuimhne sam bith a tha ceangailte ri maighstir-stiùiridh pròiseasar Nios V agus a tha air a chumail suas le sruth-tòiseachaidh pròiseasar Nios V a thaghadh mar an àidseant ath-shuidheachaidh.

Ath-shuidhich Modh Eadar-bhriseadh Offset

· A’ sònrachadh an co-shìneadh den vectar ath-shuidheachaidh an coimeas ri seòladh bunaiteach an àidseant ath-shuidheachaidh a chaidh a thaghadh. · Bidh Dealbhaiche Àrd-ùrlair a’ toirt seachad luach bunaiteach gu fèin-ghluasadach airson a’ cho-shìneadh ath-shuidheachaidh.
Sònraich an seòrsa rianadair eadar-bhriseadh, ge bith an e dìreach no vectored a th’ ann. Nota: Chan eil am pròiseasar neo-phìoban Nios V/m a’ toirt taic do eadar-bhriseadh vectored.
Mar sin, seachain a bhith a’ cleachdadh modh eadar-bhriseadh Vectored nuair a tha am pròiseasar ann am modh Nonpipelined.

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 14

Cuir fios air ais

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair
726952 | 2025.07.16 XNUMX

Thoir an aire:

Tha roghainn Absolute aig an Platform Designer, a leigeas leat seòladh iomlan a shònrachadh ann an Reset Offset. Cleachd an roghainn seo nuair a tha a’ chuimhne a tha a’ stòradh an vectar ath-shuidheachaidh suidhichte taobh a-muigh siostam a’ phròiseasair agus fo-shiostaman.

2.1.1.2.4. Ailtireachd an CPU

Clàr 9 .

Paramadairean Tab Ailtireachd CPU

Ailtireachd CPU

Tuairisgeul

Cuir an comas pìobaireachd ann an CPU

· Cuir an roghainn seo an comas gus pròiseasar Nios V/m loidhne-phìoban a chruthachadh. — Tha IPC nas àirde aig cosgais raon loidsig nas àirde agus tricead Fmax nas ìsle.
· Cuir dheth an roghainn seo gus pròiseasar Nios V/m neo-phìoban a thòiseachadh. — Tha coileanadh cridhe coltach ris a’ phròiseasar Nios V/c. — A’ toirt taic do chomas mearachdan a lorg agus briseadh a-steach — Raon loidsig nas ìsle agus tricead Fmax nas àirde aig cosgais IPC nas ìsle.

Cuir Eadar-aghaidh Avalon an gnìomh

Cuiridh seo an comas Eadar-aghaidh Avalon airson manaidsear stiùiridh agus manaidsear dàta. Mura h-eil e comasach, bidh an siostam a’ cleachdadh eadar-aghaidh AXI4-Lite.

luach CSR mhartid

· Is e 0 luach clàr Hart ID (mhartid) aig a’ bhunait. · Sònraich luach eadar 0 agus 4094. · Co-chòrdail ri Altera FPGA Avalon Mutex Core HAL API.

Fiosrachadh co-cheangailte Stiùireadh Cleachdaiche IP Peripheral Leabaithe – Intel FPGA Avalon® Mutex Core

2.1.1.2.5. Taba ECC
Clàr 10. Taba ECC
ECC a’ comasachadh lorg mhearachdan agus aithris inbhe

Tuairisgeul
· Cuir an roghainn seo an gnìomh gus feart ECC a chur an sàs airson blocaichean RAM a-staigh pròiseasar Nios V. · Bidh feartan ECC a’ lorg mhearachdan suas ri 2-bit agus a’ freagairt a rèir an giùlain a leanas:
— Ma tha e na mhearachd 1-bit a ghabhas ceartachadh, leanaidh am pròiseasar air ag obair an dèidh a’ mhearachd a cheartachadh ann am pìob-loidhne a’ phròiseasair. Ach, chan eil an ceartachadh ri fhaicinn anns na cuimhneachain tùsail.
— Mura gabh am mearachd a cheartachadh, cumaidh am pròiseasar air ag obair gun a ceartachadh ann am pìob-loidhne a’ phròiseasair agus ann am cuimhneachain an stòir, agus dh’ fhaodadh sin toirt air a’ phròiseasar a dhol a-steach do staid neo-chinnteach.

Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 15

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair
726952 | 2025.07.16 XNUMX
2.1.1.3. A’ cruthachadh IP FPGA Altera airson Pròiseasar Coitcheann Nios V/g
Figear 6. Pròiseasar Coitcheann Nios V/g Altera FPGA IP – Pàirt 1

Figear 7.

Pròiseasar Adhbhar Coitcheann Nios V/g Altera FPGA IP – Pàirt 2 (Cuir dheth Comasachadh Smachdadair Eadar-bhriseadh Ìre Cridhe)

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 16

Cuir fios air ais

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair
726952 | 2025.07.16 XNUMX

Figear 8.

Pròiseasar Adhbhar Coitcheann Nios V/g Altera FPGA IP – Pàirt 2 (Cuir an comas an rianadair eadar-bhriseadh ìre cridhe)

Figear 9. Pròiseasar Coitcheann Nios V/g Altera FPGA IP – Pàirt 3

Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 17

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair
726952 | 2025.07.16 XNUMX
Figear 10. Pròiseasar Coitcheann Nios V/g Altera FPGA IP – Pàirt 4

2.1.1.3.1. Ailtireachd an CPU

Clàr 11. Paramadairean Ailtireachd CPU

Tab Ailtireachd CPU Cuir an comas Aonad Puing Fleòdraidh

Tuairisgeul Cuir an roghainn seo an comas gus an aonad puing-fleòdraidh (leudachadh (“F”) a chur ris a’ chridhe pròiseasar.

Cuir an comas Ro-innse Meuran

Cuir an comas ro-innse meòir statach (Air a ghabhail air ais agus Air adhart gun a ghabhail) airson stiùiridhean meòir.

luach CSR mhartid

· Is e 0 luach clàr Hart ID (mhartid) aig a’ bhunait. · Sònraich luach eadar 0 agus 4094. · Co-chòrdail ri Altera FPGA Avalon Mutex Core HAL API.

Cuir à comas stiùiridhean FSQRT & FDIV airson FPU

· Thoir air falbh obrachaidhean freumh ceàrnagach fleòdraidh (FSQRT) agus roinneadh fleòdraidh (FDIV) ann an FPU.
· Cuir atharrais bathar-bog an sàs air an dà stiùireadh rè ùine ruith.

Fiosrachadh co-cheangailte Stiùireadh Cleachdaiche IP Peripheral Leabaithe – Intel FPGA Avalon® Mutex Core

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 18

Cuir fios air ais

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair
726952 | 2025.07.16 XNUMX

2.1.1.3.2. Taba Dì-bhugachaidh

Clàr 12. Paramadairean an taba dì-bhugachaidh

Tab Debug

Tuairisgeul

Cuir an comas dì-bhugachadh
Cuir an comas ath-shuidheachadh bhon mhodal dì-bhugachaidh

· Cuir an roghainn seo an gnìomh gus an J a chur risTAG modúl ceangail targaid ris a’ phròiseasar Nios V. · An JTAG Leigidh am modúl ceangail targaid le ceangal ris a’ phròiseasar Nios V tron
JTAG prìnichean eadar-aghaidh an FPGA. · Tha an ceangal a’ toirt seachad na comasan bunaiteach a leanas:
— Tòisich agus stad am pròiseasar Nios V — Dèan sgrùdadh air agus deasaich clàran agus cuimhne. — Luchdaich sìos an aplacaid Nios V .elf file chun chuimhne pròiseasar aig àm ruith tro
luchdachadh sìos niosv. — Dèan dì-bhugachadh air an aplacaid a tha a’ ruith air pròiseasar Nios V · Ceangail port dm_agent ris a’ bhus stiùiridh is dàta aig a’ phròiseasar. Dèan cinnteach gu bheil an seòladh bunaiteach eadar an dà bhus mar an ceudna.
· Cuir an comas an roghainn seo gus puirt dbg_reset_out agus ndm_reset_in fhoillseachadh. · JTAG Bidh an dì-bhugadair no an àithne niosv-download -r a’ piobrachadh an dbg_reset_out, a
a’ leigeil leis a’ phròiseasar Nios V innealan siostaim ath-shuidheachadh a tha a’ ceangal ris a’ phort seo. · Feumaidh tu an eadar-aghaidh dbg_reset_out a cheangal ri ndm_reset_in an àite ath-shuidheachadh
eadar-aghaidh gus ath-shuidheachadh a bhrosnachadh gu cridhe a’ phròiseis agus modúl an timer. Chan fhaod thu eadar-aghaidh dbg_reset_out a cheangal ris an eadar-aghaidh ath-shuidheachaidh gus casg a chuir air giùlan neo-chinnteach.

2.1.1.3.3. Clàr-taice Ceum-ghlasaidh 13. Clàr-taice Ceum-ghlasaidh
Paramadairean Cuir an comas Ùine-ama bunaiteach Lockstep Cuir an comas Eadar-aghaidh Ath-shuidheachaidh Leudaichte

Tuairisgeul · Cuir an siostam Lockstep dà-chridheach an gnìomh. · Luach bunaiteach an ùine-ama prògramaichte nuair a dh’fhàgas tu an ath-shuidheachadh (eadar 0 agus 255). · Cuir an gnìomh an Eadar-aghaidh Ath-shuidheachaidh Leudaichte roghainneil airson Smachd Ath-shuidheachaidh Leudaichte. · Nuair a bhios e à comas, bidh am fRSmartComp a’ cur an gnìomh Smachd Ath-shuidheachaidh Bhunasach.

2.1.1.3.4. Cleachd an taba Iarrtas Ath-shuidheachaidh

Clàr 14. Cleachd Paramadair an taba Iarrtas Ath-shuidheachaidh

Cleachd an taba Iarrtas Ath-shuidheachaidh

Tuairisgeul

Cuir Eadar-aghaidh Iarrtas Ath-shuidheachaidh ris

· Cuir an roghainn seo an comas gus puirt ath-shuidheachaidh ionadail fhoillseachadh far am faod maighstir ionadail a chleachdadh gus pròiseasar Nios V ath-shuidheachadh gun bhuaidh a thoirt air co-phàirtean eile ann an siostam pròiseasar Nios V.
· Tha an eadar-aghaidh ath-shuidheachaidh air a dhèanamh suas de chomharra ath-shuidheachaidh cuir a-steach agus comharra dearbhaidh toraidh.
Faodaidh tu ath-shuidheachadh iarraidh air cridhe pròiseasar Nios V le bhith ag agairt an comharra resestreq.
· Feumaidh an comharra resestreq fuireach dearbhte gus an dearbhaich am pròiseasar comharra dearbhaidh. Mura fuirich an comharra dearbhte, faodaidh sin leantainn gu bheil am pròiseasar ann an staid neo-chinnteach.
Chan eil buaidh sam bith aig dearbhadh an t-soidhne resestreq ann am modh dì-bhugachaidh air staid a’ phròiseasar.
· Bidh am pròiseasar Nios V a’ freagairt gu bheil an ath-shuidheachadh soirbheachail le bhith ag ràdh gu bheil an comharra dearbhaidh ann.
Às dèidh don phròiseasar ath-shuidheachadh gu soirbheachail, faodaidh dearbhadh a’ chomharra dearbhaidh tachairt grunn thursan bho àm gu àm gus an tèid dearbhadh a’ chomharra ath-shuidheachaidh a dhì-dhearbhadh.

Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 19

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair
726952 | 2025.07.16 XNUMX

2.1.1.3.5. Tabaichean Ribe, Eisgeachdan, agus Eadar-bhriseadh

Clàr 15 .

Tabaichean Ribe, Eisgeachdan, agus Eadar-bhriseadh nuair a bhios an Rianadair Eadar-bhriseadh Ìre Core air a chuir dheth.

Tabaichean Ribe, Eisgeachdan, agus Eadar-bhriseadh
Ath-shuidhich an Àidseant

Tuairisgeul
· A’ chuimhne anns a bheil an vectar ath-shuidheachaidh (seòladh ath-shuidheachaidh pròiseasar Nios V) far a bheil an còd ath-shuidheachaidh a’ fuireach.
· Faodaidh tu modúl cuimhne sam bith a tha ceangailte ri maighstir-stiùiridh pròiseasar Nios V agus a tha air a chumail suas le sruth-tòiseachaidh pròiseasar Nios V a thaghadh mar an àidseant ath-shuidheachaidh.

Ath-shuidheachadh Offset

· A’ sònrachadh an co-shìneadh den vectar ath-shuidheachaidh an coimeas ri seòladh bunaiteach an àidseant ath-shuidheachaidh a chaidh a thaghadh. · Bidh Dealbhaiche Àrd-ùrlair a’ toirt seachad luach bunaiteach gu fèin-ghluasadach airson a’ cho-shìneadh ath-shuidheachaidh.

Cuir an comas Smachdadair Eadar-bhriseadh Ìre Cridhe (CLIC)

· Cuir an comas do CLIC taic a thoirt do bhriseadh ro-làimh agus suidheachadh brosnachaidh briseadh rèiteachaidh.
· Nuair a bhios e air a chomasachadh, faodaidh tu an àireamh de bhriseadh àrd-ùrlair a rèiteachadh, suidheachaidhean brosnachaidh a shuidheachadh, agus cuid de na briseadh ainmeachadh mar bhriseadh ro-làimh.

Clàr Sgàil Modh Eadar-bhriseadh Files

Sònraich na seòrsaichean eadar-bhriseadh mar Dhìreach, no Vectored. Cuir clàr sgàil an comas gus atharrachadh co-theacsa a lughdachadh nuair a bhios eadar-bhriseadh ann.

Clàr 16 .

Ribe, Eisgeachdan agus Eadar-bhriseadh nuair a thèid Comasachadh Smachdadair Eadar-bhriseadh Ìre Core a thionndadh air

Ribe, Eisgeachdan, agus Eadar-bhriseadh

Tuairisgeulan

Ath-shuidhich an Àidseant
Ath-shuidheachadh Offset
Cuir an comas Smachdadair Eadar-bhriseadh Ìre Cridhe (CLIC)

· A’ chuimhne anns a bheil an vectar ath-shuidheachaidh (seòladh ath-shuidheachaidh pròiseasar Nios V) far a bheil an còd ath-shuidheachaidh a’ fuireach.
· Faodaidh tu modúl cuimhne sam bith a tha ceangailte ri maighstir-stiùiridh pròiseasar Nios V agus a tha air a chumail suas le sruth-tòiseachaidh pròiseasar Nios V a thaghadh mar an àidseant ath-shuidheachaidh.
· A’ sònrachadh an co-shìneadh den vectar ath-shuidheachaidh an coimeas ri seòladh bunaiteach an àidseant ath-shuidheachaidh a chaidh a thaghadh. · Bidh Dealbhaiche Àrd-ùrlair a’ toirt seachad luach bunaiteach gu fèin-ghluasadach airson a’ cho-shìneadh ath-shuidheachaidh.
· Cuir CLIC an comas gus taic a thoirt do bhriseadh ro-làimh agus suidheachadh brosnachaidh briseadh rèiteachaidh. · Nuair a bhios e air a chomasachadh, faodaidh tu an àireamh de bhriseadh àrd-ùrlair a rèiteachadh, suidheachaidhean brosnachaidh a shuidheachadh,
agus ainmich cuid de na briseadh mar ro-làimh.

Modh Eadar-bhriseadh

· Sònraich na seòrsaichean eadar-bhriseadh mar Dìreach, Vectored, no CLIC.

Clàr Sgàil Files

· Cuir an comas clàr sgàil gus atharrachadh co-theacsa a lùghdachadh nuair a bhios eadar-theachd ann.
· A’ tabhann dà dhòigh-obrach:
— Àireamh ìrean briseadh CLIC
— Àireamh ìrean eadar-bhriseadh CLIC – 1: Tha an roghainn seo feumail nuair a tha thu ag iarraidh àireamh nan clàran file lethbhric gus an tèid iad a-steach do àireamh cheart de bhlocaichean M20K no M9K.
· Cuir an comas air pròiseasar Nios V clàr sgàil a chleachdadh filea lùghdaicheas os cionn atharrachadh co-theacsa nuair a bhios briseadh ann.
Airson tuilleadh fiosrachaidh mu chlàr sgàil files, thoir sùil air Leabhar-làimhe Iomraidh Pròiseasar Nios V.

Àireamh de thùsan briseadh àrd-ùrlair

· A’ sònrachadh an àireamh de bhriseadh àrd-ùrlair eadar 16 agus 2048.
Nota: Tha CLIC a’ toirt taic do suas ri 2064 cuir-a-steach eadar-bhriseadh, agus tha a’ chiad 16 cuir-a-steach eadar-bhriseadh ceangailte ris an rianadair eadar-bhriseadh bunaiteach cuideachd.

Co-thaobhadh Clàr Vector CLIC

· Air a dhearbhadh gu fèin-ghluasadach stèidhichte air an àireamh de thùsan briseadh àrd-ùrlair. · Ma chleachdas tu co-thaobhadh a tha nas ìsle na an luach a thathar a’ moladh, bidh an CLIC ag àrdachadh loidsig
iom-fhillteachd le bhith a’ cur ris inneal-cuideachaidh a bharrachd gus àireamhachadh vectarachaidh a dhèanamh. · Ma chleachdas tu co-thaobhadh a tha fo luach a thathar a’ moladh, bidh seo ag adhbhrachadh barrachd
iom-fhillteachd loidigeach anns an CLIC.
a’ leantainn…

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 20

Cuir fios air ais

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair
726952 | 2025.07.16 XNUMX

Ribe, Eisgeachdan, agus Eadar-bhriseadh
Àireamh de Ìrean Eadar-bhriseadh
Àireamh Phrìomhachasan Eadar-bhriseadh gach ìre
Poilearachd eadar-bhriseadh rèiteachaidh Eadar-bhriseadh air a bhrosnachadh le oir taice

Tuairisgeulan
· A’ sònrachadh an àireamh de ìrean eadar-bhriseadh le ìre a bharrachd 0 airson còd tagraidh. Faodaidh eadar-bhriseadh aig ìre nas àirde bacadh a chur air (ro-làimhseachadh) làimhsichear a tha a’ ruith airson eadar-bhriseadh aig ìre nas ìsle.
· Le ìrean eadar-bhriseadh neo-neoni mar na h-aon roghainnean airson eadar-bhriseadh, bidh còd an tagraidh an-còmhnaidh aig an ìre as ìsle 0. Nota: Tha rèiteachadh ìre is prìomhachas eadar-bhriseadh aig àm ruith air a dhèanamh ann an aon chlàr 8-bit. Mura h-eil an àireamh de ìrean eadar-bhriseadh 256, chan eil e comasach am prìomhachas eadar-bhriseadh a rèiteachadh aig àm ruith. Rud eile, is e 256 / (àireamh de ìrean eadar-bhriseadh – 1) an àireamh as motha de phrìomhachasan a ghabhas rèiteachadh.
· A’ sònrachadh an àireamh de phrìomhachasan eadar-bhriseadh, a bhios an CLIC a’ cleachdadh gus an òrdugh anns a bheilear a’ gairm luchd-làimhseachaidh eadar-bhriseadh neo-ro-làimh a dhearbhadh. Nota: Feumaidh ceangal luachan dà-chànanach na h-ìre eadar-bhriseadh taghte agus prìomhachas eadar-bhriseadh taghte a bhith nas lugha na 8 bit.
· Leigidh seo leat polarity eadar-bhriseadh a rèiteachadh rè ùine ruith. · Is e polarity deimhinneach am polarity bunaiteach.
· Leigidh seo leat suidheachadh brosnachaidh eadar-bhriseadh a rèiteachadh rè ùine ruith, i.e. brosnachadh àrd-ìre no brosnachadh oir dheimhinneach (nuair a tha poilearachd an eadar-bhriseadh deimhinneach ann am poilearachd eadar-bhriseadh rèiteachaidh).
· Is e eadar-bhriseadh air a bhrosnachadh aig ìre an suidheachadh brosnachaidh bunaiteach.

Thoir an aire:

Tha roghainn Absolute aig an Platform Designer, a leigeas leat seòladh iomlan a shònrachadh ann an Reset Offset. Cleachd an roghainn seo nuair a tha a’ chuimhne a tha a’ stòradh an vectar ath-shuidheachaidh suidhichte taobh a-muigh siostam a’ phròiseasair agus fo-shiostaman.

Fiosrachadh co-cheangailte Leabhar-iùil Pròiseasar Nios® V

2.1.1.3.6. Taba Rèiteachaidhean Cuimhne

Clàr 17. Paramadairean an taba Rèiteachaidh Cuimhne

Roinn-seòrsa

Taba Rèiteachaidh Cuimhne

Tuairisgeul

Tasglannan

Meud Tasglann Dàta

· A’ sònrachadh meud an tasgadan dàta. · Tha meudan dligheach bho 0 cileabytes (KB) gu 16 KB. · Cuir dheth an tasgadan dàta nuair a tha am meud 0 KB.

Meud Tasglann Stiùiridh

· A’ sònrachadh meud an tasgadan stiùiridh. · Tha meudan dligheach bho 0 KB gu 16 KB. · Cuir dheth an tasgadan stiùiridh nuair a tha am meud 0 KB.

Roinn Iomallach A agus B

Meud

· A’ sònrachadh meud na sgìre iomallach.
· Tha meudan dligheach bho 64 KB gu 2 gigabytes (GB), no Chan eil gin ann. Ma thaghas tu Chan eil gin ann, cuiridh sin dheth an roinn iomallach.

Seòladh bonn

· A’ sònrachadh seòladh bunaiteach na sgìre iomallach às dèidh dhut am meud a thaghadh.
· Bidh a h-uile seòladh san roinn iomallach a’ toirt a-mach ruigsinneachd dàta nach gabh a tasgadan.
· Feumaidh seòladh bunaiteach na sgìre iomaill a bhith air a cho-thaobhadh ri meud na sgìre iomaill.

Cuimhneachain ceangailte gu teann

Meud

· A’ sònrachadh meud na cuimhne ceangailte gu teann. — Tha meudan dligheach bho 0 MB gu 512 MB.

Tòiseachadh Seòlaidh Bunait File

· A’ sònrachadh seòladh bunaiteach cuimhne ceangailte gu teann. · A’ sònrachadh an tòiseachaidh file airson cuimhne ceangailte gu teann.

Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 21

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair
726952 | 2025.07.16 XNUMX

Thoir an aire:

Ann an siostam pròiseasar Nios V le tasgadan air a chomasachadh, feumaidh tu innealan-iomaill an t-siostaim a chur taobh a-staigh roinn iomaill. Faodaidh tu roinnean iomaill a chleachdadh gus malairt nach gabh a thasgadachadh a mhìneachadh airson innealan-iomaill leithid UART, PIO, DMA, agus feadhainn eile.

2.1.1.3.7. Taba ECC

Clàr 18. Taba ECC
ECC a’ comasachadh lorg mhearachdan agus aithris inbhe
Cuir an comas ceartachadh aon-bhìdeag

Tuairisgeul
· Cuir an roghainn seo an gnìomh gus feart ECC a chur an sàs airson blocaichean RAM a-staigh pròiseasar Nios V. · Bidh feartan ECC a’ lorg mhearachdan suas ri 2-bit agus a’ freagairt a rèir an giùlain a leanas:
— Ma tha e na mhearachd aon-bhìdeach a ghabhas ceartachadh agus ma tha Cuir an comas Ceartachadh Aon-bhìdeach dheth, leanaidh am pròiseasar air ag obair às dèidh dha a’ mhearachd a cheartachadh ann am pìob-loidhne a’ phròiseasair. Ach chan eil an ceartachadh ri fhaicinn anns na cuimhneachain tùsail.
— Ma tha e na mhearachd aon-bhìd a ghabhas ceartachadh agus ma tha Cuir an comas Ceartachadh Aon-bhìd air a thionndadh air, cumaidh am pròiseasar air ag obair às dèidh dha a’ mhearachd a cheartachadh ann am pìob-loidhne a’ phròiseasair agus na cuimhneachain tùsail.
— Mura h-e mearachd a ghabhas ceartachadh a th’ ann, cuiridh am pròiseasar stad air obrachadh.
Cuir an comas ceartachadh aon-bhìdeag air blocaichean cuimhne leabaithe sa chridhe.

2.1.1.3.8. Taba Stiùiridhean Gnàthaichte

Thoir an aire:

Chan eil an taba seo ri fhaighinn ach airson cridhe pròiseasar Nios V/g.

Stiùireadh Gnàthaichte Nios V Clàr Eadar-aghaidh Bathar-cruaidh Stiùireadh Gnàthaichte
Clàr Macro Bathar-bog Stiùiridh Gnàthaichte Nios V

Tuairisgeul
Bidh pròiseasar Nios V a’ cleachdadh a’ chlàir seo gus na h-eadar-aghaidhean manaidsear stiùiridh gnàthaichte aige a mhìneachadh.
· Tha eadar-aghaidhean manaidsear stiùiridh gnàthaichte air an còdachadh gu sònraichte le Opcode (CUSTOM0-3) agus 3 bit de funct7[6:4].
· Faodaidh tu suas ri 32 eadar-aghaidhean manaidsear stiùiridh gnàthaichte fa leth a mhìneachadh.
Bidh pròiseasar Nios V a’ cleachdadh a’ chlàir seo gus còdachadh bathar-bog stiùiridh gnàthaichte a mhìneachadh airson eadar-aghaidhean manaidsear stiùiridh gnàthaichte.
Airson gach còdachadh bathar-bog stiùiridh gnàthaichte a tha air a mhìneachadh, feumaidh an Opcode (CUSTOM0-3) agus 3 bìdean de chòdachadh funct7[6:4] a bhith co-cheangailte ri còdachadh eadar-aghaidh manaidsear stiùiridh gnàthaichte a tha air a mhìneachadh ann an Clàr Eadar-aghaidh Bathar-cruaidh Stiùiridh Gnàthaichte.
’S urrainn dhut funct7[6:4], funct7[3:0], agus funct3[2:0] a chleachdadh gus còdachadh a bharrachd a mhìneachadh airson stiùireadh gnàthaichte sònraichte, no air a shònrachadh mar Xan a thèid a thoirt a-steach mar argamaidean stiùiridh a bharrachd.
· Bidh pròiseasar Nios V a’ toirt seachad còdachadh bathar-bog stiùiridh gnàthaichte mìnichte mar C-macros a chaidh a chruthachadh ann an system.h, agus a’ leantainn cruth stiùiridh RISC-V seòrsa-R.
· Faodar cuimhneachain a chleachdadh gus ainmean gnàthaichte a mhìneachadh airson: — Na C-Macros a chaidh a chruthachadh ann an system.h.
— Na mnemonics dì-bhugachaidh GDB a chaidh a chruthachadh ann an custom_instruction_debug.xml.

Fiosrachadh Co-cheangailte
AN 977: Stiùireadh Gnàthaichte airson Pròiseasar Nios V Airson tuilleadh fiosrachaidh mu stiùiridhean gnàthaichte a leigeas leat am pròiseasar Nios® V a ghnàthachadh gus coinneachadh ri feumalachdan tagraidh sònraichte.

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 22

Cuir fios air ais

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair 726952 | 2025.07.16
2.1.2. A’ mìneachadh dealbhadh phàirtean an t-siostaim
Cleachd an Dealbhaiche Àrd-ùrlair gus feartan bathar-cruaidh siostam pròiseasar Nios V a mhìneachadh agus cuir ris na co-phàirtean a tha thu ag iarraidh. Tha an diagram a leanas a’ sealltainn dealbhadh siostam pròiseasar Nios V bunaiteach leis na co-phàirtean a leanas: · Cridhe pròiseasar Nios V · Cuimhne Air-Sliseag · JTAG UART · Uaireadair Eadar-ama (roghainneil)(1)
Nuair a thèid Cuimhne On-Chip ùr a chur ri siostam Platform Designer, dèan Sync System Infos gus na co-phàirtean cuimhne a chaidh a chur ris a nochdadh san ath-shuidheachadh. Air neo, faodaidh tu Auto Sync a chomasachadh ann an Platform Designer gus na h-atharrachaidhean co-phàirteach as ùire a nochdadh gu fèin-ghluasadach.
Figear 11. Exampceangal pròiseasar Nios V le innealan iomaill eile ann an Dealbhaiche Àrd-ùrlair

(1) Tha an roghainn agad feartan Timer Inmheach Nios V a chleachdadh gus an Timer Eadar-ama taobh a-muigh a chur na àite ann an Dealbhaiche Àrd-ùrlair.

Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 23

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair
726952 | 2025.07.16 XNUMX
Feumaidh tu cuideachd prìnichean obrachaidh a mhìneachadh airson às-mhalairt mar dhraibhean anns an t-siostam Dealbhaiche Àrd-ùrlair agad. Mar eisimpleir.ample, tha liosta prìne obrachaidh siostam FPGA ceart air a mhìneachadh mar a leanas ach gun a bhith cuibhrichte gu:
· Cloc
· Ath-shuidhich
· Comharran I/O
2.1.3. A’ sònrachadh seòlaidhean bunaiteach agus prìomhachasan iarrtasan eadar-bhriseadh
Gus sònrachadh mar a bhios na co-phàirtean a chaidh a chur ris an dealbhadh ag eadar-obrachadh gus siostam a chruthachadh, feumaidh tu seòlaidhean bunaiteach a shònrachadh airson gach co-phàirt àidseant agus prìomhachasan iarrtasan eadar-bhriseadh (IRQ) a shònrachadh airson an J.TAG UART agus an timer eadar-ama. Tha an Dealbhaiche Àrd-ùrlair a’ toirt seachad àithne – Sònraich Seòlaidhean Bunaiteach – a shònraicheas seòlaidhean bunaiteach ceart gu fèin-ghluasadach do gach pàirt ann an siostam. Ach, faodaidh tu na seòlaidhean bunaiteach atharrachadh a rèir do fheumalachdan.
Seo beagan stiùiridhean airson seòlaidhean bunaiteach a shònrachadh:
Tha raon seòlaidh 32-bit aig cridhe pròiseasar Nios V. Gus faighinn gu co-phàirtean an àidseant, feumaidh an seòladh bunaiteach aca a bhith eadar 0x00000000 agus 0xFFFFFFFF.
Bidh prògraman Nios V a’ cleachdadh cunbhalachdan samhlachail gus iomradh a thoirt air seòlaidhean. Chan fheum thu luachan seòlaidh a thaghadh a tha furasta an cuimhneachadh.
· Bidh luachan seòlaidh a tha a’ dèanamh eadar-dhealachadh eadar co-phàirtean le dìreach aon bhit de dh’eadar-dhealachadh seòlaidh a’ toirt a-mach bathar-cruaidh nas èifeachdaiche. Chan fheum thu a h-uile seòladh bunaiteach a dhlùthadh a-steach don raon seòlaidh as lugha a tha comasach oir faodaidh dlùthadh bathar-cruaidh nach eil cho èifeachdach a chruthachadh.
· Chan eil Dealbhaiche Àrd-ùrlair a’ feuchainn ri co-phàirtean cuimhne fa leth a cho-thaobhadh ann an raon cuimhne leantainneach. Mar eisimpleirample, ma tha thu ag iarraidh iomadh co-phàirt de chuimhne air-chip a ghabhas seòladh mar aon raon cuimhne leantainneach, feumaidh tu seòlaidhean bunaiteach a shònrachadh gu soilleir.
Bidh Dealbhaiche Àrd-ùrlair cuideachd a’ toirt seachad àithne fèin-ghluasaid – Sònraich Àireamhan Eadar-bhriseadh a cheanglas comharran IRQ gus toraidhean bathar-cruaidh dligheach a thoirt gu buil. Ach, feumaidh tuigse air giùlan freagairt an t-siostaim san fharsaingeachd a bhith ann gus IRQan a shònrachadh gu h-èifeachdach. Chan urrainn dha Dealbhaiche Àrd-ùrlair tomhasan fiosraichte a dhèanamh mun t-sònrachadh IRQ as fheàrr.
Tha am prìomhachas as àirde aig an luach IRQ as ìsle. ​​Ann an siostam air leth freagarrach, tha Altera a’ moladh gum bi am prìomhachas IRQ as àirde aig a’ phàirt den timer, i.e., an luach as ìsle, gus cruinneas tic cloc an t-siostaim a chumail suas.
Ann an cuid de chùisean, is dòcha gun toir thu prìomhachas nas àirde do uidheamachd iomaill fìor-ùine (leithid riaghladairean bhidio), a dh’ fheumas ìre eadar-bhriseadh nas àirde na co-phàirtean timer.
Fiosrachadh Co-cheangailte
Stiùireadh Cleachdaiche Quartus Prime Pro Edition: Barrachd fiosrachaidh mu bhith a’ cruthachadh Siostam le Dealbhaiche Àrd-ùrlair.

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 24

Cuir fios air ais

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair 726952 | 2025.07.16
2.2. A’ toirt a-steach Siostam Dealbhaiche Àrd-ùrlair a-steach do Phròiseact Quartus Prime
Às dèidh dhut dealbhadh siostam Nios V a chruthachadh ann an Dealbhaiche Àrd-ùrlair, dèan na gnìomhan a leanas gus modúl siostam Nios V a thoirt a-steach do phròiseact dealbhaidh FPGA Quartus Prime. · Cruthaich modúl siostam Nios V sa phròiseact Quartus Prime · Ceangail comharran bho mhodúl siostam Nios V ri comharran eile ann an loidsig FPGA · Sònraich àite nam prìnichean corporra · Cuir bacadh air dealbhadh FPGA
2.2.1. A’ stèidheachadh Modúl Siostam Pròiseasar Nios V ann am Pròiseact Quartus Prime
Bidh Dealbhaiche Àrd-ùrlair a’ gineadh eintiteas dealbhaidh modúl siostaim as urrainn dhut a chruthachadh ann an Quartus Prime. Tha mar a chruthaicheas tu am modúl siostaim an urra ri dòigh inntrigidh an dealbhaidh airson pròiseact Quartus Prime gu lèir. Mar eisimpleirampma bha thu a’ cleachdadh Verilog HDL airson inntrigeadh dealbhaidh, cruthaich modúl an t-siostaim stèidhichte air Verilog. Ma tha thu airson an dòigh diagram bloc a chleachdadh airson inntrigeadh dealbhaidh, cruthaich samhla modúl an t-siostaim .bdf. file.
2.2.2. A’ ceangal chomharran agus a’ sònrachadh àiteachan prìne corporra
Gus an dealbhadh Altera FPGA agad a cheangal ris an dealbhadh ìre-bùird agad, dèan na gnìomhan a leanas: · Comharraich an ìre as àirde file airson do dhealbhadh agus comharran gus ceangal ri Altera taobh a-muigh
Prìnichean inneal FPGA. · Tuig dè na prìnichean a cheanglas tu tron ​​stiùireadh cleachdaiche dealbhaidh ìre-bùird agad no
sgeamaichean. · Sònraich comharran anns an dealbhadh ìre as àirde gu puirt air an inneal Altera FPGA agad le prìne
innealan obrach.
Faodaidh an siostam Dealbhaiche Àrd-ùrlair agad a bhith mar an dealbhadh aig ìre as àirde. Ach, faodaidh an Altera FPGA loidsig a bharrachd a thoirt a-steach stèidhichte air na feumalachdan agad agus mar sin a’ toirt a-steach dealbhadh ìre as àirde gnàthaichte. fileAn ìre as àirde file a’ ceangal comharran modúl siostam pròiseasar Nios V ri loidsig dealbhaidh Altera FPGA eile.
Fiosrachadh co-cheangailte Stiùireadh Cleachdaiche Quartus Prime Pro Edition: Cuingealachaidhean Dealbhaidh
2.2.3. A’ cuingealachadh dealbhadh FPGA Altera
Tha dealbhadh siostam Altera FPGA ceart a’ toirt a-steach cuingealachaidhean dealbhaidh gus dèanamh cinnteach gu bheil an dealbhadh a’ coinneachadh ri riatanasan dùnadh ùine agus cuingealachaidhean loidsig eile. Feumaidh tu do dhealbhadh Altera FPGA a chuingealachadh gus coinneachadh ris na riatanasan sin gu soilleir a’ cleachdadh innealan a tha air an toirt seachad ann am bathar-bog Quartus Prime no solaraichean EDA treas-phàrtaidh. Bidh am bathar-bog Quartus Prime a’ cleachdadh nan cuingealachaidhean a tha air an toirt seachad aig ìre an t-siostaim gus na toraidhean suidheachaidh as fheàrr fhaighinn.

Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 25

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair
726952 | 2025.07.16 XNUMX
Fiosrachadh Co-cheangailte · Stiùireadh Cleachdaiche Quartus Prime Pro Edition: Cuingealachaidhean Dealbhaidh · Com-pàirtichean EDA treas-phàrtaidh · Stiùireadh Cleachdaiche Quartus Prime Pro Edition: Anailisiche Tìm
2.3. Dealbhadh Siostam Cuimhne Pròiseasar Nios V
Tha an earrann seo a’ toirt cunntas air na cleachdaidhean as fheàrr airson innealan cuimhne a thaghadh ann an siostam leabaithe Platform Designer le pròiseasar Nios V agus an coileanadh as fheàrr a choileanadh. Tha pàirt chudromach aig innealan cuimhne ann a bhith a’ leasachadh coileanadh iomlan siostam leabaithe. Bidh cuimhne siostam leabaithe a’ stòradh stiùiridhean agus dàta a’ phrògraim.
2.3.1. Cuimhne Luath
Is e prìomh eadar-dhealachadh ann an seòrsa cuimhne luaineachd. Chan eil cuimhne luaineach a’ cumail a susbaint ach fhad ‘s a bhios tu a’ toirt cumhachd don inneal cuimhne. Cho luath ‘s a bheir thu air falbh an cumhachd, caillidh a’ chuimhne a susbaint.
ExampIs e RAM, tasgadan, agus clàran na seòrsaichean cuimhne luaineach. Is iad sin seòrsaichean cuimhne luath a bhios ag àrdachadh coileanadh ruith. Tha Altera a’ moladh gun luchdaich thu agus gun cuir thu stiùiridhean pròiseasar Nios V an gnìomh ann an RAM agus gun paidhir thu cridhe IP Nios V le IP Cuimhne On-Chip no IP Eadar-aghaidh Cuimhne Taobh a-muigh airson an coileanadh as fheàrr.
Gus coileanadh a leasachadh, faodaidh tu co-phàirtean atharrachaidh Platform Designer a bharrachd a thoirt air falbh le bhith a’ maidseadh seòrsa no leud eadar-aghaidh manaidsear dàta pròiseasar Nios V le RAM boot. Mar eisimpleir.ample, faodaidh tu On-Chip Memory II a rèiteachadh le eadar-aghaidh AXI-32 4-bit, a tha a’ freagairt ri eadar-aghaidh manaidsear dàta Nios V.
Fiosrachadh Co-cheangailte · Ionad Taic IP Eadar-aghaidhean Cuimhne Taobh a-muigh · Cuimhne On-Chip (RAM no ROM) Altera FPGA IP · Cuimhne On-Chip II (RAM no ROM) Altera FPGA IP · Iarrtas Pròiseasar Nios V Cuir an gnìomh san àite bho OCRAM air duilleag 54
2.3.1.1. Rèiteachadh Cuimhne Air-Sliseag RAM no ROM
Faodaidh tu IPan Cuimhne On-Chip Altera FPGA a rèiteachadh mar RAM no ROM. · Tha comas leughaidh is sgrìobhaidh aig RAM agus tha nàdar luaineach aige. Ma tha thu
Nuair a bhios tu a’ tòiseachadh pròiseasar Nios V bho RAM On-Chip, feumaidh tu dèanamh cinnteach gu bheil susbaint a’ bhòtaidh air a ghleidheadh ​​agus nach eil e air a thruailleadh ma thèid ath-shuidheachadh a dhèanamh air rè ùine ruith. · Ma tha pròiseasar Nios V a’ tòiseachadh bho ROM, chan urrainn do mhearachd bathar-bog sam bith air pròiseasar Nios V susbaint na Cuimhne On-Chip a sgrìobhadh thairis air mearachd. Mar sin, a’ lughdachadh cunnart truailleadh bathar-bog a’ bhòtaidh.
Fiosrachadh Co-cheangailte · Cuimhne Air-Sliseag (RAM no ROM) Altera FPGA IP · Cuimhne Air-Sliseag II (RAM no ROM) Altera FPGA IP · Iarrtas Pròiseasar Nios V Cuir an gnìomh san àite bho OCRAM air duilleag 54

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 26

Cuir fios air ais

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair 726952 | 2025.07.16
2.3.1.2. Tasglannan
Bithear a’ cleachdadh cuimhneachain air-chip gu cumanta gus gnìomhachd an tasgadan a chur an gnìomh air sgàth cho beag ‘s a tha an dàil. Bidh am pròiseasar Nios V a’ cleachdadh cuimhne air-chip airson a thasgaidean stiùiridh is dàta. Mar as trice chan eil comas cuibhrichte cuimhne air-chip na dhuilgheadas do thasgaidean oir mar as trice bidh iad beag.
Bithear a’ cleachdadh tasgadan gu cumanta fo na cumhaichean a leanas:
· Tha cuimhne àbhaisteach suidhichte far a’ chip agus tha ùine ruigsinneachd nas fhaide aice na cuimhne air a’ chip.
· Faodar na h-earrannan den chòd bathar-bog a tha deatamach a thaobh coileanadh a chur a-steach don tasgadan stiùiridh, a’ leasachadh coileanadh an t-siostaim.
· Faodar an earrann den dàta a tha deatamach a thaobh coileanadh, agus a thathas a’ cleachdadh as trice, a chur anns an tasgadan dàta, a’ leasachadh coileanadh an t-siostaim.
Le bhith a’ comasachadh tasgadan ann am pròiseasar Nios V, cruthaichear rangachd cuimhne, a lughdaicheas an ùine ruigsinneachd cuimhne.
2.3.1.2.1. Roinn iomallach
Chan fhaodar IP iomaill leabaithe sam bith, leithid UART, I2C, agus SPI, a stòradh ann an tasgadan. Thathas a’ moladh tasgadan gu mòr airson cuimhneachain taobh a-muigh a tha air an tug ùine ruigsinneachd fhada buaidh, agus faodar cuimhneachain a-staigh air a’ chip a dhùnadh a-mach air sgàth an ùine ruigsinneachd ghoirid aca. Chan fhaod thu IP iomaill leabaithe sam bith, leithid UART, I2C, agus SPI, a stòradh ann an tasgadan, ach a-mhàin cuimhneachain. Tha seo cudromach leis nach eil tachartasan bho innealan taobh a-muigh, leithid innealan riochdairean ag ùrachadh nan IP bog, air an glacadh leis an tasgadan pròiseasar, agus chan fhaigh am pròiseasar iad an uair sin. Mar thoradh air an sin, faodaidh na tachartasan sin a dhol gun mhothachadh gus an nigh thu an tasgadan, agus faodaidh seo leantainn gu giùlan gun dùil anns an t-siostam agad. Mar gheàrr-chunntas, chan eil an roinn mapaichte cuimhne de IP iomaill leabaithe comasach air tasgadan a stòradh agus feumaidh e fuireach taobh a-staigh roinnean iomaill a’ phròiseasar.
Gus sgìre iomallach a shuidheachadh, lean na ceumannan seo:
1. Fosgail Mapa Seòlaidhean an t-siostaim anns an Dealbhaiche Àrd-ùrlair.
2. Seòl gu mapa seòlaidhean Manaidsear Stiùiridh is Manaidsear Dàta a’ phròiseasair.
3. Comharraich na h-innealan iomaill agus na cuimhneachain anns an t-siostam agad.
Figear 12. Example Mapa Seòlaidh

Nota: Tha na saighdean gorma a’ comharrachadh nan cuimhneachain. 4. Cuir na h-innealan iomaill ann am buidhnean:
a. Cuimhne mar rud a ghabhas a stòradh b. Innealan-iomaill mar rud nach gabh a stòradh

Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 27

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair
726952 | 2025.07.16 XNUMX

Clàr 19. Roinnean a ghabhas a stòradh agus nach gabh a stòradh

Fo-cheannard

Mapa seòlaidh

Inbhe

Roinn Iomallach

Meud

Seòladh bonn

meme_iarrtas_cleachdaiche.s1

0x0 ~ 0x3ffff

Cacheable

Chan eil

Chan eil

cpu.dm_agent bootcopier_rom.s1

0x40000 ~ 0x4ffff 0x50000 ~ 0x517ff

Gun tasgadan

65536 bytes Chan eil ri fhaighinn

0x40000 N/A

bootcopier_ram.s1 cpu.timer_sw_agent mailbox.avmm

0x52000 ~ 0x537ff 0x54000 ~ 0x5403f 0x54040 ~ 0x5407f

Tasgaichte Gun tasgadan Gun tasgadan

144 bytes (is e 65536 bytes an ìre as lugha)

0x54000

sysid_qsys_0.smachd_tràill

0x54080 ~ 0x54087

Gun tasgadan

uart.avalon_jtag_tràille

0x54088 ~ 0x5408f

Gun tasgadan

5. Co-thaobhadh na roinnean iomaill leis na meudan sònraichte aca:
· Airson example, ma tha am meud 65536 bytes, tha e co-ionann ri 0x10000 bytes. Mar sin, feumaidh an seòladh bunaiteach ceadaichte a bhith na iomadachadh de 0x10000.
· Bidh an CPU.dm_agent a’ cleachdadh seòladh bunaiteach de 0x40000, is e sin iomadachadh de 0x10000. Mar thoradh air an sin, tha Roinn Iomallach A, le meud de 65536 bytes agus seòladh bunaiteach de 0x40000, a’ coinneachadh ris na riatanasan.
Chan eil seòladh bunaiteach cruinneachadh nan roinnean nach gabh a stòradh aig 0x54000 na iomadachadh de 0x10000. Feumaidh tu an ath-shònrachadh gu 0x60000 no iomadachadh eile de 0x10000. Mar sin, tha Roinn Iomallach B, aig a bheil meud de 65536 bytes agus seòladh bunaiteach de 0x60000, a’ coinneachadh ris na slatan-tomhais.

Clàr 20. Roinn a ghabhas a stòradh agus nach gabh a stòradh le ath-shònrachadh

Fo-cheannard

Mapa seòlaidh

Inbhe

Roinn Iomallach

Meud

Seòladh bonn

meme_iarrtas_cleachdaiche.s1

0x0 ~ 0x3ffff

Cacheable

Chan eil

Chan eil

cpu.dm_agent

0x40000 ~ 0x4ffff

65536 bytes nach gabh a stòradh

0x40000

bootcopier_rom.s1

0x50000 ~ 0x517ff

Cacheable

Chan eil

Chan eil

bootcopier_ram.s1 cpu.timer_sw_agent mailbox.avmm sysid_qsys_0.control_slave

0x52000 ~ 0x537ff 0x60000 ~ 0x6003f 0x60040 ~ 0x6007f 0x60080 ~ 0x60087

Tasgaichte Gun tasgadan Gun tasgadan Gun tasgadan

144 bytes (is e 65536 bytes an ìre as lugha)

0x60000

uart.avalon_jtag_tràille

0x60088 ~ 0x6008f

Gun tasgadan

2.3.1.3. Cuimhne Ceangailte gu Teann
Tha cuimhneachain ceangailte gu teann (TCMan) air an cur an gnìomh le bhith a’ cleachdadh cuimhne air-chip oir tha an dàil ìosal aca gan dèanamh freagarrach airson na h-obrach. Tha TCMan nan cuimhneachain a tha air am mapadh san àite seòlaidh àbhaisteach ach tha eadar-aghaidh sònraichte aca ris a’ mhicro-phròiseasar agus tha feartan àrd-choileanaidh, dàil ìosal cuimhne tasgadan aca. Tha TCM cuideachd a’ toirt seachad eadar-aghaidh fo-òrdanaichte airson an aoigh taobh a-muigh. Tha an aon ìre cead aig a’ phròiseasar agus an aoigh taobh a-muigh gus an TCM a làimhseachadh.

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 28

Cuir fios air ais

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair
726952 | 2025.07.16 XNUMX

Thoir an aire:

Nuair a bhios am port fo-òrdanaichte TCM ceangailte ri aoigh taobh a-muigh, dh’ fhaodadh e a bhith air a thaisbeanadh le seòladh bunaiteach eadar-dhealaichte bhon t-seòladh bunaiteach a chaidh a shònrachadh ann an cridhe a’ phròiseisear. Tha Altera a’ moladh an dà sheòladh a cho-thaobhadh ris an aon luach.

2.3.1.4. Eadar-aghaidh Cuimhne Taobh a-muigh (EMIF)
Bidh EMIF (Eadar-aghaidh Cuimhne Taobh a-muigh) ag obair san aon dòigh ri SRAM (Cuimhne Ruigsinneachd air Thuaiream Statach), ach tha e fiùghantach agus feumar ùrachadh bho àm gu àm gus a shusbaint a chumail suas. Tha na ceallan cuimhne fiùghantach ann an EMIF mòran nas lugha na na ceallan cuimhne statach ann an SRAM, agus mar thoradh air sin tha innealan cuimhne nas comasaiche agus nas saoire.
A bharrachd air an riatanas ùrachaidh, tha riatanasan eadar-aghaidh sònraichte aig EMIF a dh’ fheumas bathar-cruaidh rianadair sònraichte gu tric. Eu-coltach ri SRAM, aig a bheil seata stèidhichte de loidhnichean seòlaidh, bidh EMIF ag eagrachadh an àite cuimhne aige ann am bancaichean, sreathan, agus colbhan. Bidh atharrachadh eadar bancaichean agus sreathan a’ toirt a-steach beagan cus obrach, agus mar sin feumaidh tu ruigsinneachd cuimhne òrdachadh gu faiceallach gus EMIF a chleachdadh gu h-èifeachdach. Bidh EMIF cuideachd ag iomadachadh seòlaidhean sreath is colbh thairis air na h-aon loidhnichean seòlaidh, a’ lughdachadh an àireamh de phrìnichean a tha a dhìth airson meud EMIF sònraichte.
Bidh dreachan nas luaithe de EMIF, leithid DDR, DDR2, DDR3, DDR4, agus DDR5, a’ cur riatanasan teann air ionracas comharran a dh’ fheumas luchd-dealbhaidh PCB beachdachadh orra.
Tha innealan EMIF am measg nan seòrsaichean RAM as èifeachdaiche a thaobh cosgais agus comas àrd a tha rim faighinn, agus mar sin tha iad nan roghainn mòr-chòrdte. Is e prìomh phàirt de eadar-aghaidh EMIF an EMIF IP, a bhios a’ riaghladh ghnìomhan co-cheangailte ri iomadachadh seòlaidhean, ùrachadh, agus atharrachadh eadar sreathan agus bancaichean. Leigidh an dealbhadh seo leis a’ chòrr den t-siostam faighinn gu EMIF gun a bhith feumach air tuigse fhaighinn air an ailtireachd a-staigh aige.

Fiosrachadh co-cheangailte Eadar-aghaidhean cuimhne taobh a-muigh Ionad taic IP

2.3.1.4.1. Seòladh Leudachaidh IP
Leigidh IP an Leudaichear Rèis Seòlaidh Altera FPGA le eadar-aghaidhean aoigheachd le mapa cuimhne faighinn gu mapa seòlaidh nas motha no nas lugha na tha leud nan comharran seòlaidh aca a’ ceadachadh. Bidh IP an Leudaichear Rèis Seòlaidh a’ roinn an àite seòlaidh ann an iomadh uinneag fa leth gus am faigh an aoigheachd cothrom air a’ phàirt iomchaidh den chuimhne tron ​​uinneig.
Chan eil an Seòladh Leudachaidh a’ cuingealachadh leud an aoigh is an riochdaire gu rèiteachadh 32-bit agus 64-bit. Faodaidh tu an Seòladh Leudachaidh a chleachdadh le uinneagan seòlaidh 1-64 bit.

Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 29

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair
726952 | 2025.07.16 XNUMX

Figear 13. Leudaichear Rèis Seòlaidh Altera FPGA IP
Seòladh Facal an Àidseant

Leudaichear Span Seòlaidh

A

Clàr mapaidh
Port Smachd A

Clàr Smachd 0 Clàr Smachd Z-1

Seòladh an Aoigh Leudaichte H

Fiosrachadh Co-cheangailte
Stiùireadh Cleachdaiche Quartus® Prime Pro Edition: Dealbhaiche Àrd-ùrlair Thoir sùil air a’ chuspair Leudaiche Rèis Seòlaidh Intel® FPGA IP airson tuilleadh fiosrachaidh.

2.3.1.4.2. A’ cleachdadh IP Leudaichear Span Seòlaidh le Pròiseasar Nios V
Faodaidh am pròiseasar Nios V 32-bit dèiligeadh ri suas ri 4 GB de raon seòlaidh. Ma tha barrachd air 4GB de chuimhne anns an EMIF, tha e a’ dol thairis air an raon seòlaidh as àirde a tha a’ faighinn taic, a’ fàgail siostam an Dealbhaiche Àrd-ùrlair mearachdach. Tha feum air IP Leudaichear Raon Seòlaidh gus an duilgheadas seo fhuasgladh le bhith a’ roinn aon àite seòlaidh EMIF ann an iomadh uinneag nas lugha.
Tha Altera a’ moladh gun beachdaich thu air na paramadairean a leanas.

Clàr 21. Paramadairean Leudachaidh Rèis Seòlaidh

Paramadair

Suidhichidhean air am moladh

Leud an t-slighe-dàta
Leud Seòlaidh Maighstir-Bait Leudaichte

Tagh 32-bit, a tha co-cheangailte ris a’ phròiseasar 32-bit. Tha seo an urra ri meud cuimhne EMIF.

Leud Seòladh Facal Tràill Leud Cunntadh-spreadhaidh

Tagh 2 GB no nas lugha. Tha an raon seòlaidh a tha air fhàgail de phròiseasar Nios V glèidhte airson IPan bog leabaithe eile.
Tòisich le 1 agus mean air mhean àrdaich an luach seo gus coileanadh a leasachadh.

Àireamh fo-uinneagan

Tagh 1 fo-uinneag ma tha thu a’ ceangal EMIF ris a’ phròiseasar Nios V mar chuimhne stiùiridh is dàta, no an dà chuid. Tha e cunnartach atharrachadh eadar iomadh fo-uinneag fhad ‘s a tha pròiseasar Nios V a’ ruith bho EMIF.

Cuir Port Smachd Tràill an Gnìomh

Cuir dheth am port smachd tràill ma tha thu a’ ceangal EMIF ris a’ phròiseasar Nios V mar stiùireadh agus/no cuimhne dàta. Na h-aon dhraghan ri Àireamh nan fo-uinneagan.

Leughaidhean ri thighinn as àirde

Tòisich le 1 agus mean air mhean àrdaich an luach seo gus coileanadh a leasachadh.

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 30

Cuir fios air ais

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair 726952 | 2025.07.16
Figear 14. A’ ceangal an Stiùiridh agus am Manaidsear Dàta ris an Leudaichear Span Seòlaidh

Figear 15. Mapadh Seòlaidhean

Thoir an aire gum faod an Address Span Extender faighinn chun àite cuimhne 8GB gu lèir den EMIF. Ach, tron ​​Address Span Extender, chan urrainn don phròiseasar Nios V faighinn chun a’ chiad àite cuimhne 1GB den EMIF a-mhàin.

Figear 16. Diagram Bloc Sìmplidh

Siostam dealbhaiche àrd-ùrlar

3 GB a tha air fhàgail

Seòladh pròiseasar Nios V

Tha an span airson leabaithe

NNioios sVV PProrocecsesosor r
M

IPan bog san aon shiostam.
Uinneag 1 GB

Seòladh Span

S

Extender

M

A’ chiad 1 GB a-mhàin

de chuimhne EMIF ceangailte ri Nios V

EMIF

giullachair.

8 GB
S

Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 31

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair
726952 | 2025.07.16 XNUMX
2.3.1.4.3. A’ mìneachadh inneal cuimhne ceangail leudachaidh spàs seòlaidh 1. Mìnich an leudaiche spàs seòlaidh (EMIF) mar an vectar ath-shuidheachaidh. Air neo, faodaidh tu vectar ath-shuidheachaidh pròiseasar Nios V a shònrachadh do chuimhneachain eile, leithid OCRAM no innealan flash.
Figear 17. Iomadh Roghainn mar Ath-shuidheachadh Vector
Ach, chan urrainn don Deasaiche Pasgan Taic Bùird (BSP) an Leudaichear Span Seòlaidh (EMIF) a chlàradh gu fèin-ghluasadach mar chuimhne dligheach. A rèir an roghainn a rinn thu, chì thu dà shuidheachadh eadar-dhealaichte mar a chithear anns na figearan a leanas. Figear 18. Mearachd BSP nuair a thathar a’ mìneachadh Leudaichear Span Seòlaidh (EMIF) mar Vectar Ath-shuidheachaidh

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 32

Cuir fios air ais

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair 726952 | 2025.07.16
Figear 19. EMIF a dhìth nuair a thathar a’ mìneachadh chuimhneachain eile mar vectar ath-shuidheachaidh

2. Feumaidh tu an Seòladh Leudaichear Span (EMIF) a chur ris le làimh a’ cleachdadh Add Memory Device, Add Linker Memory Region, agus Add Linker Section Mappings anns an taba BSP Linker Script.
3. Lean na ceumannan seo:
a. Obraich a-mach raon seòlaidh an Leudaichear Raon Seòlaidh a’ cleachdadh Mapa na Cuimhne (An exampTha an dealbh a leanas a’ cleachdadh raon Leudaichear Span Seòlaidh bho 0x0 gu 0x3fff_ffff).
Figear 20. Mapa Cuimhne

b. Briog air Cuir Inneal Cuimhne ris, agus lìon a-steach a rèir an fhiosrachaidh ann am Mapa Cuimhne an dealbhaidh agad: i. Ainm an Inneil: emif_ddr4. Nota: Dèan cinnteach gun dèan thu lethbhreac den aon ainm bhon Mhapa Cuimhne. ii. Seòladh Bunaiteach: 0x0 iii. Meud: 0x40000000
c. Briog air Cuir ris gus roinn cuimhne ceangail ùr a chur ris:

Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 33

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair
726952 | 2025.07.16 XNUMX

Clàr 22. A’ cur Roinn Cuimhne Ceanglaiche ris

Ceumannan

Ath-shuidhich Vector

emif_ddr4

Cuimhneachain eile

1

Cuir Roinn Cuimhne Ceanglaiche ùr ris ris an canar ath-shuidheachadh. Cuir Roinn Cuimhne Ceanglaiche ùr ris airson an

· Ainm Roinne: ath-shuidheachadh

emif_ddr4.

· Meud na Roinne: 0x20

· Ainm Roinne: emif_ddr4

· Inneal Cuimhne: emif_ddr4

· Meud na Roinne: 0x40000000

· Co-fhreagarrachd Cuimhne: 0x0

· Inneal Cuimhne: emif_ddr4

· Co-fhreagarrachd Cuimhne: 0x0

2

Cuir Roinn Cuimhne Ceanglaiche ùr ris airson an

emif_ddr4 a tha air fhàgail.

· Ainm Roinne: emif_ddr4

· Meud na Roinne: 0x3fffffe0

· Inneal Cuimhne: emif_ddr4

· Co-fhreagarrachd Cuimhne: 0x20

Figear 21. Roinn Ceangail nuair a thathar a’ mìneachadh Leudaichear Span Seòlaidh (EMIF) mar Vectar Ath-shuidheachaidh

Figear 22. Roinn Ceangail nuair a thathar a’ mìneachadh chuimhneachain eile mar vectar ath-shuidheachaidh
d. Cho luath ‘s a thèid an emif_ddr4 a chur ris a’ BSP, faodaidh tu a thaghadh airson Earrann Ceangail sam bith.
Figear 23. Leudaichear Span Seòlaidh (EMIF) air a chur ris gu soirbheachail

e. Leig seachad an rabhadh mu dheidhinn Chan eil an inneal cuimhne emif_ddr4 ri fhaicinn ann an dealbhadh SOPC.
f. Lean air adhart gus BSP a chruthachadh.
Fiosrachadh co-cheangailte Ro-ràdh do dhòighean-obrach tòiseachaidh pròiseasar Nios V air duilleag 51

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 34

Cuir fios air ais

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair 726952 | 2025.07.16
2.3.2. Cuimhne Neo-luaineach
Cumaidh cuimhne neo-luaineach a susbaint nuair a thèid an cumhachd dheth, ga dhèanamh na dheagh roghainn airson fiosrachadh a stòradh a dh’ fheumas an siostam fhaighinn air ais às deidh cearcall cumhachd an t-siostaim. Mar as trice bidh cuimhne neo-luaineach a’ stòradh còd tòiseachaidh a’ phròiseasair, roghainnean tagraidh maireannach, agus dàta rèiteachaidh Altera FPGA. Ged a tha buannachd aig cuimhne neo-luaineach...tagA thaobh a bhith a’ gleidheadh ​​an dàta aige nuair a bheir thu air falbh an cumhachd, tha e tòrr nas slaodaiche an taca ri cuimhne luaineach, agus gu tric bidh modhan sgrìobhaidh is cuir às nas iom-fhillte aige. Mar as trice chan eil gealladh ann gum faodar cuimhne neo-luaineach a dhubhadh às ach àireamh shònraichte de thursan, agus às deidh sin dh’ fhaodadh e fàiligeadh.
ExampTha seòrsachan cuimhne neo-luaineach a’ gabhail a-steach gach seòrsa flash, EPROM, agus EEPROM. Tha Altera a’ moladh gun stòraich thu bitstreams Altera FPGA agus ìomhaighean prògram Nios V ann an cuimhne neo-luaineach, agus flash sreathach a chleachdadh mar an inneal tòiseachaidh airson pròiseasairean Nios V.
Fiosrachadh Co-cheangailte
· Eadar-aghaidh Flash Sreathach Coitcheann airson Altera FPGA IP - Stiùireadh Cleachdaiche
· Stiùireadh Cleachdaiche IP Altera FPGA airson Cliant Bogsa-puist · Stiùireadh Cleachdaiche Cuimhne Flash Cleachdaiche MAX® 10: Prìomh IP Altera FPGA Flash On-Chip
2.4. Cleachdaidhean as Fheàrr airson Clocaichean is Ath-shuidheachadh
Tha e cudromach tuigse fhaighinn air mar a bhios raon cloca is ath-shuidheachaidh pròiseasar Nios V ag eadar-obrachadh le gach inneal-iomaill ris a bheil e a’ ceangal. Bidh siostam sìmplidh pròiseasar Nios V a’ tòiseachadh le raon cloca singilte, agus faodaidh e fàs iom-fhillte le siostam raon ioma-chloc nuair a bhios raon cloca luath a’ bualadh ri raon cloca slaodach. Feumaidh tu aire a thoirt agus tuigsinn mar a bhios na diofar raointean sin ag ath-shuidheachadh agus dèanamh cinnteach nach eil duilgheadasan beaga ann.
Airson deagh chleachdadh, tha Altera a’ moladh pròiseasar Nios V agus cuimhne tòiseachaidh a chur san aon raon cloca. Na leig às pròiseasar Nios V bho ath-shuidheachadh ann an raon cloca luath nuair a bhios e a’ tòiseachadh bho chuimhne a tha a’ fuireach ann an raon cloca glè shlaodach, a dh’ fhaodadh mearachd togail stiùiridh adhbhrachadh. Is dòcha gum feum thu beagan sreath làimhe a bharrachd air na tha Dealbhaiche Àrd-ùrlair a’ toirt seachad gu bunaiteach, agus dealbhaich topo-eòlas fuasglaidh ath-shuidheachaidh a rèir sin stèidhichte air do chùis cleachdaidh. Ma tha thu airson an siostam agad ath-shuidheachadh às deidh dha tòiseachadh agus ruith airson greis, cuir na h-aon bheachdachaidhean an sàs ann an sreath ath-shuidheachadh an t-siostaim agus riatanas tòiseachaidh às deidh ath-shuidheachadh.
2.4.1. Siostam JTAG Cloc
Tha sònrachadh nan cuingeadan cloca anns a h-uile siostam pròiseasar Nios V na bheachdachadh cudromach ann an dealbhadh an t-siostaim agus tha e riatanach airson ceartas agus giùlan cinnteach. Bidh an Quartus Prime Timing Analyzer a’ dèanamh mion-sgrùdadh tìm statach gus dearbhadh a dhèanamh air coileanadh tìm a h-uile loidsig anns an dealbhadh agad a’ cleachdadh modh-obrach cuingeadan, mion-sgrùdaidh agus aithris àbhaisteach gnìomhachais.
Example 1. Cloc bunaiteach 100 MHz le cearcall-obrach 50/50 agus 16 MHz JTAG Cloc
#**************************************************************** # Cruthaich Cloc 100MHz #**************************************************************** create_clock -name {clk} -period 10 [get_ports {clk}] #************************ Cruthaich 16MHz JTAG Cloc #************************

Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 35

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair
726952 | 2025.07.16 XNUMX
create_clock -name {altera_reserved_tck} -period 62.500 [get_ports {altera_reserved_tck}] set_clock_groups -asynchronous -group [get_clocks {altera_reserved_tck}] Fiosrachadh Co-cheangailte Leabhar-còcaireachd Quartus Prime Timing Analyzer
2.4.2. Eadar-aghaidh Iarrtas Ath-shuidheachaidh
Tha goireas iarrtas ath-shuidheachaidh roghainneil aig pròiseasar Nios V. Tha an goireas iarrtas ath-shuidheachaidh air a dhèanamh suas de chomharran reset_req agus reset_req_ack.
Gus an t-iarrtas ath-shuidheachaidh a chomasachadh ann an Dealbhaiche Àrd-ùrlair: 1. Fosgail Deasaiche Paramadair IP Pròiseasar Nios V. 2. Air an t-suidheachadh Cleachd Iarrtas Ath-shuidheachaidh, tionndaidh air Cuir Eadar-aghaidh Iarrtas Ath-shuidheachaidh ris.
roghainn.
Figear 24. Cuir an comas iarrtas ath-shuidheachaidh pròiseasar Nios V
Bidh an comharra reset_req ag obair mar bhriseadh. Nuair a dh’iarras tu an reset_req, tha thu ag iarraidh ath-shuidheachadh chun chridhe. Bidh an cridhe a’ feitheamh ri gnìomh bus sam bith a tha ri thighinn gus an obrachadh aige a chrìochnachadh. Mar eisimpleirampma tha malairt ruigsinneachd cuimhne ri thighinn, bidh an cridhe a’ feitheamh ri freagairt iomlan. San aon dòigh, gabhaidh an cridhe ri freagairt stiùiridh sam bith a tha ri thighinn ach chan eil e a’ cur a-mach iarrtas stiùiridh às deidh dha an comharra reset_req fhaighinn.
Tha an obair ath-shuidheachaidh a’ gabhail a-steach an t-sruthadh a leanas: 1. Crìochnaich na h-obrachaidhean uile a tha ri thighinn 2. Sruthlaich am pìob-loidhne a-staigh 3. Suidhich Cunntair a’ Phrògraim chun vectar ath-shuidheachaidh 4. Ath-shuidhich a’ chridhe Bheir an obair ath-shuidheachaidh gu lèir beagan chuairtean cloca. Feumaidh an reset_req fuireach dearbhte gus an tèid reset_req_ack a dhearbhadh a’ comharrachadh gu bheil obair ath-shuidheachaidh a’ chridhe air a chrìochnachadh gu soirbheachail. Mura dèanar seo, bidh staid a’ chridhe neo-chinnteach.

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 36

Cuir fios air ais

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair 726952 | 2025.07.16
2.4.2.1. Cùisean Cleachdaidh àbhaisteach
· Faodaidh tu an comharra reset_req a chleachdadh bho chumhachd-air gus casg a chuir air cridhe pròiseasar Nios V bho bhith a’ tòiseachadh air coileanadh prògram bhon vectar ath-shuidheachaidh aige gus an tòisich aoighean FPGA eile san t-siostam cuimhne tòiseachaidh pròiseasar Nios V. Anns a’ chùis seo, faodaidh an fho-shiostam gu lèir ath-shuidheachadh bathar-cruaidh glan fhaighinn. Tha pròiseasar Nios V air a chumail gu bràth ann an staid iarrtas ath-shuidheachaidh gus an tòisich aoighean FPGA eile cuimhne tòiseachaidh a’ phròiseasar.
Ann an siostam far am feum thu cridhe pròiseasar Nios V ath-shuidheachadh gun a bhith a’ cur dragh air a’ chòrr den t-siostam, faodaidh tu an comharra reset_req a chleachdadh gus stad a chuir air obrachadh làithreach a’ chridhe gu glan agus am pròiseasar ath-thòiseachadh bhon vectar ath-shuidheachaidh aon uair ‘s gun leig an siostam a-mach an comharra reset_req_ack.
· Faodaidh aoigh bhon taobh a-muigh an eadar-aghaidh iarrtas ath-shuidheachaidh a chleachdadh gus cur an gnìomh nan gnìomhan a leanas a dhèanamh nas fhasa:
— Cuir stad air prògram pròiseasar Nios V an-dràsta.
— Luchdaich prògram ùr a-steach do chuimhne tòiseachaidh pròiseasar Nios V.
— Leig leis a’ phròiseasar tòiseachadh air a’ phrògram ùr a ruith.
Tha Altera a’ moladh gun cuir thu an gnìomh dòigh-obrach crìoch-ama gus sùil a chumail air staid a’ chomharra reset_req_ack. Ma thuiteas cridhe pròiseasar Nios V ann an staid feitheamh gun chrìoch agus ma stadas e airson adhbhar neo-aithnichte, chan urrainn dha reset_req_ack a bhith air a dhearbhadh gu bràth. Leigidh an dòigh-obrach crìoch-ama leat:
· Mìnich ùine-ama ath-bheothachaidh agus dèan ath-bheothachadh siostaim le ath-shuidheachadh aig ìre an t-siostaim.
· Dèan ath-shuidheachadh ìre bathar-cruaidh.
2.4.3. Ath-shuidhich IP an Fhoillseachaidh
Bidh innealan stèidhichte air SDM Altera a’ cleachdadh ailtireachd co-shìnte, stèidhichte air roinnean, a bhios a’ sgaoileadh loidsig an aodaich-chridhe thar iomadh roinn. Tha Altera a’ moladh gun cleachd thu IP FPGA Ath-shuidheachadh Release Altera mar aon de na ciad chuir-a-steach don chuairt ath-shuidheachaidh. Tha innealan stèidhichte air SDM Intel® a’ toirt a-steach innealan Stratix® 10, agus AgilexTM. Chan eil buaidh aig an riatanas seo air innealan stèidhichte air blocaichean smachd.
Fiosrachadh Co-cheangailte
AN 891: A’ cleachdadh IP FPGA Ath-shuidheachadh Release Altera
2.5. A’ sònrachadh Àidseant Bunaiteach
Leigidh Dealbhaiche Àrd-ùrlair leat àidseant bunaiteach a shònrachadh a bhios ag obair mar an àidseant bunaiteach airson freagairt mearachd. Bidh an t-àidseant bunaiteach a shònraicheas tu a’ toirt seachad seirbheis freagairt mearachd do luchd-aoigheachd a dh’fheuchas ri ruigsinneachd neo-dhì-chòdaichte fhaighinn a-steach don mhapa seòlaidh.
Bidh na suidheachaidhean a leanas a’ piobrachadh tachartas nach deach a dhì-chòdachadh:
· Briseadh staid tèarainteachd gnothaichean bus
· Cothrom malairt air roinn cuimhne neo-mhìnichte
· Tachartas eisgeachd agus mar sin air adhart.

Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 37

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair
726952 | 2025.07.16 XNUMX

Bu chòir riochdaire bunaiteach a shònrachadh gus dèiligeadh ri tachartasan mar sin, far a bheil gnothach neo-mhìnichte air ath-stiùireadh chun riochdaire bunaiteach agus an uairsin a’ freagairt ri pròiseasar Nios V le freagairt mearachd.
Fiosrachadh Co-cheangailte
· Stiùireadh Cleachdaiche Quartus Prime Pro Edition: Dealbhaiche Àrd-ùrlair. Ainmeachadh Àidseant Bunaiteach
· Stiùireadh Cleachdaiche Quartus Prime Pro Edition: Dealbhaiche Àrd-ùrlair. Freagairt Mearachd Tràill Altera FPGA IP
· Github – Co-phàirtean Ath-shuidheachaidh a bharrachd airson Qsys

2.6. A’ sònrachadh Àidseant UART airson Clò-bhualadh
Tha clò-bhualadh feumail airson mearachdan a lorg anns an aplacaid bathar-bog, a bharrachd air airson sùil a chumail air inbhe an t-siostaim agad. Tha Altera a’ moladh fiosrachadh bunaiteach a chlò-bhualadh leithid teachdaireachd tòiseachaidh, teachdaireachd mearachd, agus adhartas cur an gnìomh an aplacaid bathar-bog.
Seachain a bhith a’ cleachdadh gnìomh leabharlainn printf() anns na suidheachaidhean a leanas: · Bidh leabharlann printf() ag adhbhrachadh gun stad an aplacaid mura h-eil aoigh sam bith a’ leughadh an toraidh.
Tha seo buntainneach don JTAG UART a-mhàin. · Bidh an leabharlann printf() ag ithe tòrr cuimhne prògraim.

2.6.1. Casg a chur air stàilean leis an JTAG UART

Clàr 23. Eadar-dhealachaidhean eadar UART Traidiseanta agus JTAG UART

Seòrsa UART UART Traidiseanta

Tuairisgeul
A’ cur dàta sreathach ge bith a bheil aoigh taobh a-muigh ag èisteachd no nach eil. Mura leugh aoigh sam bith an dàta sreathach, thèid an dàta a chall.

JTAG UART

Sgrìobhaidh e an dàta a chaidh a thar-chur gu bufair toraidh agus bidh e an urra ri aoigh taobh a-muigh gus leughadh bhon bhufair gus a fhalamhachadh.

Tha an J.TAG Bidh draibhear UART a’ feitheamh nuair a bhios am bufair toraidh làn. An JTAG Bidh draibhear UART a’ feitheamh ri aoigh taobh a-muigh leughadh bhon bhufair toraidh mus sgrìobh e barrachd dàta tar-chuir. Tha am pròiseas seo a’ cur casg air call dàta tar-chuir.
Ach, nuair nach eil feum air dì-bhugachadh siostaim, leithid rè cinneasachadh, thèid siostaman leabaithe a chleachdadh às aonais PC aoigheachd ceangailte ri JTAG UART. Ma thagh an siostam an JTAG UART mar an àidseant UART, dh’ fhaodadh e stad a chuir air an t-siostam leis nach eil aoigh taobh a-muigh ceangailte.
Gus casg a chur air stad le JTAG UART, cuir na roghainnean a leanas an sàs:

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 38

Cuir fios air ais

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair
726952 | 2025.07.16 XNUMX

Clàr 24. Casg air stad le JTAG UART

Roghainnean
Chan eil eadar-aghaidh agus draibhear UART an làthair
Cleachd eadar-aghaidh agus draibhear UART eile
Glèidh JTAG Eadar-aghaidh UART (gun draibhear)

Rè Leasachadh Bathar-cruaidh (ann an Dealbhaiche Àrd-ùrlair)

Rè Leasachadh Bathar-bog (ann an Deasaiche Pasgan Taic a’ Bhùird)

Thoir air falbh JTAG UART bhon t-siostam

Rèitich hal.stdin, hal.stdout agus hal.stderr mar None.

Cuir J na àiteTAG UART le rèiteachadh bog eile hal.stdin, hal.stdout agus hal.stderr

IP UART

le IP UART bog eile.

Glèidh JTAG UART anns an t-siostam

· Cuir hal.stdin, hal.stdout agus hal.stderr air dòigh mar None ann an Deasaiche Pasgan Taic a’ Bhùird.
· Cuir dheth JTAG Draibhear UART anns an taba Draibhear BSP.

2.7. JTAG Comharran
Bidh modúl dì-bhugachaidh pròiseasar Nios V a’ cleachdadh an JTAG eadar-aghaidh airson luchdachadh sìos bathar-bog ELF agus dì-bhugachadh bathar-bog. Nuair a dhì-bhugas tu do dhealbhadh leis an JTAG eadar-aghaidh, an JTAG Tha comharran TCK, TMS, TDI, agus TDO air an cur an gnìomh mar phàirt den dealbhadh. A’ sònrachadh an JTAG Tha cuingealachaidhean comharran anns a h-uile siostam pròiseasar Nios V na bheachdachadh cudromach ann an dealbhadh siostaim agus tha e riatanach airson ceartas agus giùlan cinnteach.
Tha Altera a’ moladh gum bi tricead cloc siostam dealbhaidh sam bith co-dhiù ceithir uiread J.TAG tricead a’ chloca gus dèanamh cinnteach gu bheil cridhe an ionnstramaid air a’ chip (OCI) ag obair gu ceart.
Fiosrachadh co-cheangailte · Leabhar-còcaireachd Quartus® Prime Timing Analyzer: JTAG Comharran
Airson tuilleadh fiosrachaidh mu JTAG stiùiridhean cuingealachaidhean ùine. · KDB: Carson a dh’ fhailicheas luchdachadh sìos niosv le pròiseasar Nios® V/m nach eil air a phìob-loidhne aig
JTAG tricead 24MHz no 16Mhz?
2.8. A’ leasachadh coileanadh siostam dealbhaiche àrd-ùrlair
Tha Dealbhaiche Àrd-ùrlair a’ toirt seachad innealan airson coileanadh an eadar-cheangail siostaim a bharrachadh airson dealbhaidhean FPGA Altera.

Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 39

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair
726952 | 2025.07.16 XNUMX
Figear 25. Eisimpleir Leasachaidhamples

Tha an t-exampTha an dealbh a chithear san dealbh a’ sealltainn nan ceumannan a leanas:
1. Cuir Drochaid Pìoban ris gus slighean èiginneach a lughdachadh le bhith ga chur: a. Eadar Manaidsear an Stiùiridh agus na riochdairean aige b. Eadar Manaidsear an Dàta agus na riochdairean aige
2. Cuir RAM air-chip le dà phort an sàs, le gach port coisrigte don Mhanaidsear Stiùiridh agus don Mhanaidsear Dàta fa leth.

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 40

Cuir fios air ais

2. Dealbhadh Siostam Bathar-cruaidh Pròiseasar Nios V le Bathar-bog Quartus Prime agus Dealbhaiche Àrd-ùrlair 726952 | 2025.07.16
Thoir sùil air na ceanglaichean co-cheangailte gu h-ìosal, a tha a’ taisbeanadh dhòighean airson na h-innealan a tha rim faighinn agus na co-rèiteachaidhean a tha an lùib gach buileachaidh a chleachdadh gu feum.
Fiosrachadh co-cheangailte · Stiùireadh Cleachdaiche Quartus® Prime Pro Edition: Dealbhaiche Àrd-ùrlair
Thoir sùil air a’ chuspair A’ Leasachadh Coileanadh Siostam Dealbhaiche Àrd-ùrlair airson tuilleadh fiosrachaidh. · Stiùireadh Cleachdaiche Quartus® Prime Standard Edition: Dealbhaiche Àrd-ùrlair Thoir sùil air a’ chuspair A’ Leasachadh Coileanadh Siostam Dealbhaiche Àrd-ùrlair airson tuilleadh fiosrachaidh.

Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 41

726952 | 2025.07.16 Cuir fios air ais

3. Dealbhadh Siostam Bathar-bog Pròiseasar Nios V
Tha an caibideil seo a’ toirt cunntas air sruth leasachaidh bathar-bog pròiseasar Nios V agus na h-innealan bathar-bog as urrainn dhut a chleachdadh ann a bhith a’ leasachadh an t-siostam dealbhaidh leabaithe agad. Tha an susbaint na shealladh farsaing.view mus deach siostam bathar-bog pròiseasar Nios V a leasachadh.
Figear 26. Sruth Dealbhaidh Bathar-bog
Tòisich

Cruthaich am BSP anns an Dealbhaiche Àrd-ùrlair a’ cleachdadh an Deasaiche BSP

Cruthaich am BSP a’ cleachdadh an Nios V Command Shell
Cruthaich an Togail CMake Iarrtais File A’ cleachdadh Slige Àithne Nios V

Thoir an aire:

Thoir a-steach am BSP agus an Togail CMake Iarrtais File
Tog an aplacaid pròiseasar Nios V a’ cleachdadh an
IDE RiscFree airson Intel FPGA

Tog an aplacaid Nios V Processor le bhith a’ cleachdadh gin
deasaiche còd tùsail loidhne-àithne, CMake, agus Make
àitheantan
Crìochnaich

Tha Altera a’ moladh gun cleachd thu pasgan leasachaidh Altera FPGA no bòrd prototàip gnàthaichte airson leasachadh bathar-bog agus dì-bhugachadh. Chan eil mòran de na h-innealan iomaill agus feartan ìre an t-siostaim rim faighinn ach nuair a bhios am bathar-bog agad ag obair air bòrd fhèin.

© Altera Corporation. Tha Altera, suaicheantas Altera, suaicheantas an ‘a’, agus comharran Altera eile nan comharran-malairt aig Altera Corporation. Tha còir aig Altera atharrachaidhean a dhèanamh air toraidhean is seirbheisean sam bith aig àm sam bith gun rabhadh. Chan eil Altera a’ gabhail uallach no buailteachd sam bith ag èirigh bho bhith a’ cur an sàs no a’ cleachdadh fiosrachadh, toradh no seirbheis sam bith a tha air a mhìneachadh an seo ach a-mhàin mar a chaidh aontachadh gu soilleir ann an sgrìobhadh le Altera. Thathar a’ comhairleachadh luchd-ceannach Altera an dreach as ùire de shònrachaidhean innealan fhaighinn mus cuir iad earbsa ann am fiosrachadh foillsichte sam bith agus mus cuir iad òrdughan a-steach airson toraidhean no seirbheisean. *Faodar tagradh a dhèanamh gur ann le daoine eile a tha ainmean is suaicheantasan eile.

3. Dealbhadh Siostam Bathar-bog Pròiseasar Nios V 726952 | 2025.07.16
3.1. Sruth Leasachaidh Bathar-bog Pròiseasar Nios V
3.1.1. Pròiseact Pasgan Taic a’ Bhùird
’S e leabharlann shònraichte a th’ ann am pròiseact Pasgan Taic Bùird Nios V (BSP) anns a bheil còd taic sònraichte don t-siostam. Bidh BSP a’ toirt seachad àrainneachd ruith-ùine bathar-bog a tha air a ghnàthachadh airson aon phròiseasar ann an siostam bathar-cruaidh pròiseasar Nios V.
Tha am bathar-bog Quartus Prime a’ toirt seachad innealan Deasaiche Pasgan Taic Bùird Nios V agus innealan goireis niosv-bsp gus roghainnean atharrachadh a bhios a’ cumail smachd air giùlan a’ BSP.
Tha na h-eileamaidean a leanas ann am BSP: · Sreath tarraing-às bathar-cruaidh · Draibhearan innealan · Pacaidean bathar-bog roghainneil · Siostam obrachaidh fìor-ùine roghainneil
3.1.2. Pròiseact Iarrtais
Tha na feartan a leanas aig pròiseact tagraidh Nios VC/C++: · Air a dhèanamh suas de chruinneachadh de chòd tùsail agus CMakeLists.txt.
— Bidh an CMakeLists.txt a’ cruinneachadh a’ chòd tùsail agus ga cheangal ri BSP agus aon no barrachd leabharlannan roghainneil, gus aon .elf a chruthachadh. file
· Aon de na stòran fileTha s a’ gabhail a-steach gnìomh main(). · A’ gabhail a-steach còd a bhios a’ gairm ghnìomhan ann an leabharlannan agus BSPan.
Tha Altera a’ toirt seachad inneal goireis niosv-app ann an innealan goireis bathar-bog Quartus Prime gus an Application CMakeLists.txt a chruthachadh, agus RiscFree IDE airson Altera FPGAs gus an còd tùsail atharrachadh ann an àrainneachd stèidhichte air Eclipse.
3.2. Innealan Leasachaidh Leabaithe Altera FPGA
Tha am pròiseasar Nios V a’ toirt taic do na h-innealan a leanas airson leasachadh bathar-bog: · Eadar-aghaidh Cleachdaiche Grafaigeach (GUI) – Innealan leasachaidh grafaigeach a tha rim faighinn ann an
Siostaman Obrachaidh (OS) Windows* agus Linux*. — Deasaiche Pasgan Taic Bùird Nios V (Deasaiche BSP Nios V) — Ashling RiscFree IDE airson Altera FPGAn · Innealan Loidhne-àithne (CLI) – Innealan leasachaidh a thèid a thòiseachadh bhon Nios V Command Shell. Tha gach inneal a’ toirt seachad a sgrìobhainnean fhèin ann an cruth cobhair a tha ruigsinneach bhon loidhne-àithne. Fosgail an Nios V Command Shell agus taip an àithne a leanas: –cuideachadh le view clàr-taice na Cobhair. — Innealan Goireasan Nios V — File Innealan Tionndaidh Chruth — Innealan Goireasan Eile

Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 43

3. Dealbhadh Siostam Bathar-bog Pròiseasar Nios V 726952 | 2025.07.16

Clàr 25. Geàrr-chunntas air Gnìomhan Innealan GUI agus Innealan Loidhne-àithne

Tasc

Inneal GUI

Inneal loidhne-àithne

A’ cruthachadh BSP

Deasaiche Nios V BSP

· Ann am bathar-bog Quartus Prime Pro Edition: niosv-bsp -c -s=<.qsys file> -t= [ROGHANNEAN] roghainnean.bsp
· Ann am bathar-bog Quartus Prime Standard Edition: niosv-bsp -c -s=<.sopcinfo file> -t= [ROGHANNEAN] roghainnean.bsp

A’ gineadh BSP le bhith a’ cleachdadh .bsp a tha ann mar-thà file
Ag ùrachadh BSP

Deasaiche Nios V BSP Deasaiche Nios V BSP

niosv-bsp -g [ROGHAINNEAN] roghainnean.bsp niosv-bsp -u [ROGHAINNEAN] roghainnean.bsp

A’ sgrùdadh BSP

Deasaiche Nios V BSP

niosv-bsp -q -E= [ROGHANNEAN] roghainnean.bsp

A’ cruthachadh tagraidh

aplacaid niosv -a= -b= -s= fileeòlaire s> [ROGHANNEAN]

A’ cruthachadh leabharlann luchd-cleachdaidh

aplacaid niosv -l= -s= fileeòlaire s> -p= [ROGHANNEAN]

Aplacaid atharrachadh Aplacaid atharrachadh Aplacaid a thogail

IDE RiscFree airson Altera FPGAs
IDE RiscFree airson Altera FPGAs
IDE RiscFree airson Altera FPGAs

Deasaiche stòr loidhne-àithne sam bith
Deasaiche stòr loidhne-àithne sam bith
· dèan · dèan

A’ togail leabharlann luchd-cleachdaidh

IDE RiscFree airson Altera FPGAs

· dèan · dèan

A luchdachadh a-nuas aplacaid ELF
A’ tionndadh an .elf file

IDE RiscFree airson Altera FPGAs

luchdachadh sìos niosv
· elf2flash · elf2hex

Fiosrachadh Co-cheangailte
Stiùireadh Cleachdaiche Àrainneachd Leasachaidh Amalaichte RiscFree (IDE) Ashling airson Altera FPGAs

3.2.1. Deasaiche Pasgan Taic Bòrd Pròiseasar Nios V
’S urrainn dhut Deasaiche BSP pròiseasar Nios V a chleachdadh gus na gnìomhan a leanas a dhèanamh: · Pròiseact BSP pròiseasar Nios V a chruthachadh no atharrachadh · Roghainnean, roinnean ceangail, agus mapaichean earrannan a dheasachadh · Pacaidean bathar-bog agus draibhearan innealan a thaghadh.
Tha comasan an Deasaiche BSP a’ gabhail a-steach comasan nan goireasan niosv-bsp. Faodar pròiseact sam bith a chaidh a chruthachadh ann an Deasaiche BSP a chruthachadh le bhith a’ cleachdadh nan goireasan loidhne-àithne cuideachd.

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 44

Cuir fios air ais

3. Dealbhadh Siostam Bathar-bog Pròiseasar Nios V 726952 | 2025.07.16

Thoir an aire:

Airson bathar-bog Quartus Prime Standard Edition, thoir sùil air AN 980: Taic Bathar-bog Quartus Prime airson Pròiseasar Nios V airson nan ceumannan gus GUI Deasaiche BSP a ghairm.

Gus Deasaiche BSP a chur air bhog, lean na ceumannan seo: 1. Fosgail Dealbhaiche Àrd-ùrlair, agus seòl chun File clàr-taice.
a. Gus suidheachadh BSP a tha ann mar-thà fhosgladh file, briog air Fosgail… b. Gus BSP ùr a chruthachadh, briog air BSP Ùr… 2. Tagh an taba Deasaiche BSP agus thoir seachad am fiosrachadh iomchaidh.

Figear 27. Cuir Deasaiche BSP air bhog

Fiosrachadh co-cheangailte AN 980: Pròiseasar Nios V Taic bathar-bog Quartus Prime
3.2.2. IDE gun chunnart airson FPGAan Altera
’S e IDE stèidhichte air Eclipse a th’ anns an RiscFree IDE airson Altera FPGAs airson pròiseasar Nios V. Tha Altera a’ moladh gun leasaich thu bathar-bog pròiseasar Nios V san IDE seo airson nan adhbharan a leanas: · Tha na feartan air an leasachadh agus air an dearbhadh gus a bhith co-chòrdail ris an Nios V
sruth togail pròiseasar. · Uidheamaichte leis na slabhraidhean innealan agus na h-innealan taice riatanach uile a leigeas leat
gus tòiseachadh gu furasta air leasachadh pròiseasar Nios V.
Fiosrachadh co-cheangailte Ashling RiscFree Àrainneachd Leasachaidh Amalaichte (IDE) airson Altera FPGAs Stiùireadh Cleachdaiche
3.2.3. Innealan Goireasan Nios V
’S urrainn dhut prògraman Nios V a chruthachadh, atharrachadh agus a thogail le òrdughan air an taipeadh aig loidhne-àithne no air an leabachadh a-steach do sgriobt. Tha na h-innealan loidhne-àithne Nios V a tha air am mìneachadh san earrann seo anns an an eòlaire /niosv/bin.

Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 45

3. Dealbhadh Siostam Bathar-bog Pròiseasar Nios V 726952 | 2025.07.16

Clàr 26. Innealan Goireasan Nios V

Innealan Loidhne-àithne

Geàrr-chunntas

aplacaid-niosv-niosv-bsp luchdachadh sìos-niosv slige-niosv aithisg-stack-niosv

Gus pròiseact tagraidh a chruthachadh agus a rèiteachadh.
Gus roghainnean BSP a chruthachadh no ùrachadh file agus cruthaich am BSP fileGus an ELF a luchdachadh sìos file gu pròiseasar Nios® V.
Gus an Nios V Command Shell fhosgladh. Gus innse dhut mun àite cuimhne a tha air fhàgail a tha ri fhaotainn don aplacaid agad .elf airson a chleachdadh mar chruach no mar chruach.

3.2.4. File Innealan Tionndaidh Cruth

File bidh feum air tionndadh cruth uaireannan nuair a thathar a’ gluasad dàta bho aon ghoireas gu goireas eile. file tha innealan tionndaidh cruth anns an
eòlaire stàlaidh bathar-bog>/niosv/bin.

Clàr 27 . File Innealan Tionndaidh Cruth

Innealan Loidhne-àithne elf2flash elf2hex

Geàrr-chunntas Gus an .elf eadar-theangachadh file gu cruth .srec airson prògramadh cuimhne flash. Gus an .elf eadar-theangachadh file gu cruth .hex airson tòiseachadh cuimhne.

3.2.5. Innealan Goireasan Eile

Dh’fhaodadh gum bi feum agad air na h-innealan loidhne-àithne a leanas nuair a bhios tu a’ togail siostam stèidhichte air pròiseasar Nios V. Tha na h-innealan loidhne-àithne seo air an toirt seachad le Intel ann an /quartus/bin no air fhaighinn bho
innealan stòr fosgailte.

Clàr 28. Innealan Loidhne-àithne Eile

Innealan Loidhne-àithne

Seòrsa

Geàrr-chunntas

ceann-uidhe juart

Air a thoirt seachad le Intel

Gus sùil a chumail air stdout agus stderr, agus gus cuir-a-steach a thoirt do phròiseasar Nios® V
fo-shiostam tro stdin. Chan eil an inneal seo a’ buntainn ach ris an JTAG IP UART nuair a tha e ceangailte ris a’ phròiseasar Nios® V.

fosgailteocd

Air a thoirt seachad le Intel Gus OpenOCD a ruith.

openocd-cfg-gen

Air a thoirt seachad le Intel · Gus rèiteachadh OpenOCD a chruthachadh file· Gus J a thaisbeanadhTAG clàr-amais inneal slabhraidh.

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 46

Cuir fios air ais

726952 | 2025.07.16 Cuir fios air ais
4. Fuasglaidhean Rèiteachaidh is Bòtaidh Pròiseasar Nios V
’S urrainn dhut am pròiseasar Nios V a rèiteachadh gus bathar-bog a thòiseachadh agus a ruith bho dhiofar àiteachan cuimhne. ’S e cuimhne tòiseachaidh an flash Quad Serial Peripheral Interface (QSPI), On-Chip Memory (OCRAM), no Tightly Coupled Memory (TCM).
Fiosrachadh Co-cheangailte · Cumhaichean Brosnachaidh Cumhachd-Suas air duilleag 193 · Brosnachaidhean Cumhachd-Suas
Airson tuilleadh fiosrachaidh mu luchd-brosnachaidh cumhachd.
4.1. Ro-ràdh
Tha am pròiseasar Nios V a’ toirt taic do dhà sheòrsa de phròiseasan tòiseachaidh: · Execute-in-Place (XIP) a’ cleachdadh gnìomh alt_load() · Prògram air a chopaigeadh gu RAM a’ cleachdadh boot copier. Tha leasachadh phrògraman leabaithe Nios V stèidhichte air an ìre tarraing-às bathar-cruaidh (HAL). Tha an HAL a’ toirt seachad prògram beag luchdair tòiseachaidh (ris an canar cuideachd boot copier) a bhios a’ dèanamh lethbhreac de earrannan ceangail buntainneach bhon chuimhne tòiseachaidh chun àite ruith aca aig àm tòiseachaidh. Faodaidh tu na h-àiteachan ruith cuimhne prògraim is dàta a shònrachadh le bhith a’ làimhseachadh roghainnean Deasaiche Pasgan Taic a’ Bhùird (BSP). Tha an earrann seo a’ toirt cunntas air: · Copaig tòiseachaidh pròiseasar Nios V a bhios a’ tòiseachadh siostam pròiseasar Nios V a rèir
an taghadh cuimhne tòiseachaidh · roghainnean tòiseachaidh pròiseasar Nios V agus an t-sruthadh coitcheann · fuasglaidhean prògramadh Nios V airson a’ chuimhne tòiseachaidh a chaidh a thaghadh
4.2. A’ ceangal thagraidhean
Nuair a chruthaicheas tu pròiseact pròiseasar Nios V, cruthaichidh Deasaiche BSP dà cheangal co-cheangailte ri… files: · linker.x: An àithne ceangail file a nì an tagradh a chaidh a chruthachadhfile cleachdadh
gus am faidhle dà-chànanach .elf a chruthachadh file. · linker.h: Anns a’ phacaid seo tha fiosrachadh mu chruth cuimhne a’ cheangail. Bidh buaidh aig gach atharrachadh a nì thu air suidheachadh a’ cheangail air pròiseact BSP air susbaint an dà cheangail seo. files. Tha na h-earrannan ceangail a leanas anns a h-uile tagradh pròiseasar Nios V:
© Altera Corporation. Tha Altera, suaicheantas Altera, suaicheantas an ‘a’, agus comharran Altera eile nan comharran-malairt aig Altera Corporation. Tha còir aig Altera atharrachaidhean a dhèanamh air toraidhean is seirbheisean sam bith aig àm sam bith gun rabhadh. Chan eil Altera a’ gabhail uallach no buailteachd sam bith ag èirigh bho bhith a’ cur an sàs no a’ cleachdadh fiosrachadh, toradh no seirbheis sam bith a tha air a mhìneachadh an seo ach a-mhàin mar a chaidh aontachadh gu soilleir ann an sgrìobhadh le Altera. Thathar a’ comhairleachadh luchd-ceannach Altera an dreach as ùire de shònrachaidhean innealan fhaighinn mus cuir iad earbsa ann am fiosrachadh foillsichte sam bith agus mus cuir iad òrdughan a-steach airson toraidhean no seirbheisean. *Faodar tagradh a dhèanamh gur ann le daoine eile a tha ainmean is suaicheantasan eile.

4. Fuasglaidhean Rèiteachaidh is Bòtaidh Pròiseasar Nios V 726952 | 2025.07.16

Clàr 29. Earrannan Ceangail

.teacs

Earrannan Ceangail

.ròd

.rwdata

.bss

cruach

cruach

Tuairisgeulan Còd a ghabhas ruith. Dàta sam bith a ghabhas leughadh a-mhàin a thathar a’ cleachdadh ann an cur an gnìomh a’ phrògraim. A’ stòradh dàta leughaidh is sgrìobhaidh a thathar a’ cleachdadh ann an cur an gnìomh a’ phrògraim. Anns a bheil dàta statach neo-thòiseichte. Anns a bheil cuimhne air a riarachadh gu daineamaigeach. A’ stòradh paramadairean gairm-gnìomh agus dàta sealach eile.

Faodaidh tu earrannan ceangail a bharrachd a chur ris an fhaidhle .elf file gus còd is dàta gnàthaichte a chumail. Tha na h-earrannan ceangail seo air an cur ann an roinnean cuimhne ainmichte, air am mìneachadh gus a bhith a rèir innealan cuimhne corporra agus seòlaidhean. Gu gnàthach, bidh Deasaiche BSP a’ gineadh nan earrannan ceangail seo gu fèin-ghluasadach. Ach, faodaidh tu smachd a chumail air na h-earrannan ceangail airson tagradh sònraichte.

4.2.1. Giùlan Ceangail
Tha an earrann seo a’ toirt cunntas air giùlan ceangail bunaiteach Deasaiche BSP agus mar a chumas tu smachd air a’ ghiùlan ceangail.

4.2.1.1. Ceangal BSP bunaiteach
Rè rèiteachadh BSP, bidh na h-innealan a’ dèanamh nan ceumannan a leanas gu fèin-ghluasadach:
1. Ainmean roinnean cuimhne a shònrachadh: Ainm a shònrachadh do gach inneal cuimhne siostaim agus gach ainm a chur ris a’ cheangal. file mar raon cuimhne.
2. Lorg a’ chuimhne as motha: Comharraich an roinn cuimhne leughaidh is sgrìobhaidh as motha anns a’ cheangalair file.
3. Sònraich earrannan ceangail: Cuir na h-earrannan ceangail bunaiteach (.text, .rodata, .rwdata, .bss, .heap, agus .stack) anns an roinn cuimhne a chaidh a chomharrachadh anns a’ cheum roimhe.
4. Sgrìobh files: Sgrìobh an linker.x agus an linker.h files.
Mar as trice, bidh sgeama riarachaidh an earrainn ceangail ag obair rè a’ phròiseas leasachaidh bathar-bog oir tha gealladh ann gun obraich an tagradh ma tha an cuimhne mòr gu leòr.
Tha na riaghailtean airson an giùlan ceangail bunaiteach anns na sgriobtaichean Tcl a chaidh a chruthachadh le Altera bsp-set-defaults.tcl agus bsp-linker-utils.tcl a lorgar anns an Pasgan /niosv/scripts/bsp-defaults. Bidh an àithne niosv-bsp a’ gairm nan sgriobtaichean seo. Na atharraich na sgriobtaichean seo gu dìreach.

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 48

Cuir fios air ais

4. Fuasglaidhean Rèiteachaidh is Bòtaidh Pròiseasar Nios V 726952 | 2025.07.16

4.2.1.2. Ceangal BSP a ghabhas rèiteachadh
’S urrainn dhut an giùlan ceangail bunaiteach a riaghladh anns an taba Sgriobt Ceangail den Deasaiche BSP. Làimhsich an sgriobt ceangail leis na dòighean a leanas: · Cuir sgìre chuimhne ris: Mapaidh ainm sgìre cuimhne gu inneal cuimhne corporra. · Cuir mapadh earrann ris: Mapaidh ainm earrann gu sgìre cuimhne. Am BSP
Leigidh an deasaiche leat view mapa na cuimhne ro agus às dèidh atharrachaidhean a dhèanamh.

4.3. Modhan Tòiseachaidh Pròiseasar Nios V

Tha beagan dhòighean ann airson pròiseasar Nios V a thòiseachadh ann an innealan Altera FPGA. Bidh na dòighean airson pròiseasar Nios V a thòiseachadh ag atharrachadh a rèir taghadh cuimhne flash agus teaghlaichean innealan.

Clàr 30. Cuimhneachain Flash a tha air an taiceadh leis na roghainnean tòiseachaidh fa leth

Cuimhneachain Tòiseachaidh a tha a’ faighinn taic

Inneal

Flash air a’ chip (airson rèiteachadh a-staigh)

10 innealan aig a’ char as motha a-mhàin (le IP Flash On-Chip)

Flash QSPI Adhbhar Coitcheann (airson dàta luchd-cleachdaidh a-mhàin)

A h-uile inneal FPGA a tha a’ faighinn taic (le Eadar-aghaidh Flash Sreathach Coitcheann FPGA IP)

Rèiteachadh QSPI Flash (airson rèiteachadh Sreath Gnìomhach)

Stèidhichte air blocaichean smachd
innealan (le Coitcheann
Eadar-aghaidh Flash Sreathach Intel FPGA IP)(2)

Modhan Tòiseachaidh Pròiseasar Nios V

Àite Ruith-ùine an Iarrtais

Boot Copier

Iarrtas pròiseasar Nios V air a ruith san àite bho Flash On-Chip

Flash air a’ chip (XIP) + OCRAM/ RAM taobh a-muigh (airson earrannan dàta a ghabhas sgrìobhadh)

gnìomh alt_load()

Iarrtas pròiseasar Nios V air a chopaigeadh bho On-Chip Flash gu RAM a’ cleachdadh boot copier

OCRAM/RAM Taobh a-muigh

Ath-chleachdadh Bootloader tro GSFI

Iarrtas pròiseasar Nios V air a ruith san àite bho flash QSPI adhbhar coitcheann

Flash QSPI airson adhbhar coitcheann (XIP) + OCRAM/ RAM taobh a-muigh (airson earrannan dàta a ghabhas sgrìobhadh)

gnìomh alt_load()

Tagradh pròiseasar Nios V air a chopaigeadh bho flash QSPI adhbhar coitcheann gu RAM a’ cleachdadh lethbhreacadair boot

OCRAM/RAM Taobh a-muigh

Luchdaicheadair-tòiseachaidh tro GSFI

Iarrtas pròiseasar Nios V ga chur an gnìomh san àite bhon rèiteachadh QSPI flash

Rèiteachadh QSPI flash (XIP) + OCRAM/ RAM taobh a-muigh (airson earrannan dàta a ghabhas sgrìobhadh)

gnìomh alt_load()

Chaidh tagradh pròiseasar Nios V a chopaigeadh bho fhlasg rèiteachaidh QSPI gu RAM a’ cleachdadh lethbhreacadair boot

Leantainn air adhart le inneal-luchdaidh OCRAM/RAM Taobh a-muigh tro GSFI…

(2) Thoir sùil air AN 980: Taic Bathar-bog Nios V Processor Quartus Prime airson liosta nan innealan.

Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 49

4. Fuasglaidhean Rèiteachaidh is Bòtaidh Pròiseasar Nios V 726952 | 2025.07.16

Cuimhneachain Tòiseachaidh a tha a’ faighinn taic
Cuimhne air-sliseag (OCRAM) Cuimhne ceangailte gu teann (TCM)

Inneal
Innealan stèidhichte air SDM (le IP FPGA Intel Mailbox Client). (2)
A h-uile inneal Altera FPGA a tha a’ faighinn taic (2)
A h-uile inneal Altera FPGA a tha a’ faighinn taic (2)

Modhan Tòiseachaidh Pròiseasar Nios V
Chaidh tagradh pròiseasar Nios V a chopaigeadh bho fhlasg rèiteachaidh QSPI gu RAM a’ cleachdadh lethbhreacadair boot
Iarrtas pròiseasar Nios V air a ruith san àite bho OCRAM
Iarrtas pròiseasar Nios V air a ruith san àite bho TCM

Àite Ruith-ùine an Iarrtais

Boot Copier

Luchd-luchdaidh OCRAM/RAM Taobh a-muigh tro SDM

OCRAM

gnìomh alt_load()

Stiùireadh TCM (XIP) Chan eil gin + Dàta TCM (airson earrannan dàta a ghabhas sgrìobhadh)

Figear 28. Sruth-tòiseachaidh Pròiseasar Nios V

Ath-shuidhich

Bidh am pròiseasar a’ leum gus an vectar ath-shuidheachadh (tòiseachadh còd brògaidh).

Faodar còd an tagraidh a chopaigeadh gu àite cuimhne eile (a rèir roghainnean tòiseachaidh)
Bidh còd tòiseachaidh a’ tòiseachadh a’ phròiseasar

A rèir roghainnean tòiseachaidh, faodaidh an còd tòiseachaidh luachan tùsail airson dàta/còd a chopaigeadh gu àite cuimhne eile (alt_load)
Bidh còd tòiseachaidh a’ tòiseachadh còd an tagraidh agus àite cuimhne dàta
Bidh còd tòiseachaidh a’ tòiseachadh a h-uile uidheam siostaim le draibhearan HAL (alt_main)
Dol a-steach don phrìomh
Fiosrachadh co-cheangailte · Eadar-aghaidh Flash Sreathach Coitcheann Leabhar-iùil Cleachdaiche IP Altera FPGA
Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 50

Cuir fios air ais

4. Fuasglaidhean Rèiteachaidh is Bòtaidh Pròiseasar Nios V 726952 | 2025.07.16
· Stiùireadh Cleachdaiche IP Altera FPGA airson Cliant Bogsa-puist · AN 980: Taic Bathar-bog Pròiseasar Nios V Quartus Prime
4.4. Ro-ràdh do dhòighean-obrach tòiseachaidh pròiseasar Nios V
Feumaidh siostaman pròiseasar Nios V gum bi na h-ìomhaighean bathar-bog air an rèiteachadh ann an cuimhne an t-siostaim mus urrainn don phròiseasar tòiseachadh air prògram an tagraidh a ruith. Thoir sùil air Earrannan Ceanglaiche airson na h-earrannan ceangail bunaiteach.
Bidh an Deasaiche BSP a’ gineadh sgriobt ceangail a bhios a’ coileanadh nan gnìomhan a leanas: · A’ dèanamh cinnteach gu bheil am bathar-bog pròiseasar ceangailte a rèir roghainnean a’ cheangail
den deasaiche BSP agus a’ dearbhadh càite a bheil am bathar-bog a’ fuireach sa chuimhne. · A’ suidheachadh roinn còd a’ phròiseasair anns a’ phàirt chuimhne a rèir an
co-phàirtean cuimhne air an sònrachadh.
Tha an earrann a leanas a’ toirt cunntas goirid air na dòighean tòiseachaidh pròiseasar Nios V a tha rim faighinn.
4.4.1. Iarrtas Pròiseasar Nios V air a chur an gnìomh san àite bho Flash Tòiseachaidh
Dhealbhaich Altera na smachdan flash gus am bi an t-àite seòlaidh flash boot ruigsinneach sa bhad don phròiseasar Nios V nuair a thèid an siostam ath-shuidheachadh, gun fheum air an rianadair cuimhne no na h-innealan cuimhne a thòiseachadh. Leigidh seo leis a’ phròiseasar Nios V còd tagraidh a tha air a stòradh air na h-innealan boot a chuir an gnìomh gu dìreach gun inneal-dèanaidh boot a chleachdadh gus an còd a chopaigeadh gu seòrsa cuimhne eile. Is iad na smachdan flash: · Flash On-Chip le IP Flash On-Chip (ann an inneal MAX® 10 a-mhàin) · Flash QSPI adhbhar coitcheann le IP Eadar-aghaidh Flash Sreathach Coitcheann · Flash QSPI rèiteachaidh le IP Eadar-aghaidh Flash Sreathach Coitcheann (ach a-mhàin MAX 10
innealan)
Nuair a bhios an tagradh pròiseasar Nios V a’ ruith na àite bhon flash boot, bidh an Deasaiche BSP a’ dèanamh nan gnìomhan a leanas: · A’ suidheachadh nan earrannan ceangail .text gu roinn cuimhne flash boot. · A’ suidheachadh nan earrannan ceangail .bss, .rodata, .rwdata, .stack agus .heap chun RAM
roinn cuimhne. Feumaidh tu an gnìomh alt_load() a chomasachadh anns na Roghainnean BSP gus na h-earrannan dàta (.rodata, .rwdata,, .exceptions) a chopaigeadh chun RAM nuair a thèid an siostam ath-shuidheachadh. Bidh an earrann còd (.text) fhathast ann an roinn cuimhne flash an tòiseachaidh.
Fiosrachadh Co-cheangailte · Eadar-aghaidh Flash Sreathach Coitcheann Leabhar-iùil Cleachdaiche IP Altera FPGA · Leabhar-iùil Cleachdaiche Cuimhne Flash Cleachdaiche Altera MAX 10
4.4.1.1. luchdaich_alt()
’S urrainn dhut an gnìomh alt_load() a chomasachadh anns a’ chòd HAL le bhith a’ cleachdadh Deasaiche BSP.
Nuair a thèid a chleachdadh anns an t-sruthadh tòiseachaidh ruith-a-steach-àite, bidh an gnìomh alt_load() a’ dèanamh nan gnìomhan a leanas:

Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 51

4. Fuasglaidhean Rèiteachaidh is Bòtaidh Pròiseasar Nios V 726952 | 2025.07.16

· Ag obair mar inneal-lethbhreacaidh beag bìodach a bhios a’ dèanamh lethbhreac de na h-earrannan cuimhne gu RAM stèidhichte air roghainnean BSP.
· Bidh e a’ dèanamh lethbhreac de earrannan dàta (.rodata, .rwdata, .exceptions) gu RAM ach chan e na h-earrannan còd (.text). Tha an earrann còd (.text) na earrann leughaidh a-mhàin agus tha i fhathast ann an roinn cuimhne flash booting. Bidh an roinneadh seo a’ cuideachadh le bhith a’ lughdachadh cleachdadh RAM ach dh’ fhaodadh e coileanadh cur an gnìomh còd a chuingealachadh leis gu bheil ruigsinneachd gu cuimhne flash nas slaodaiche na ruigsinneachd chun RAM air a’ chip.

Tha an clàr a leanas a’ liostadh roghainnean agus gnìomhan Deasaiche BSP:

Clàr 31. Roghainnean Deasaiche BSP
Suidheachadh Deasaiche BSP hal.linker.enable_alt_load hal.linker.enable_alt_load_copy_rodata hal.linker.enable_alt_load_copy_rwdata hal.linker.enable_alt_load_copy_exceptions

Gnìomh Cuiridh seo an gnìomh alt_load(). nì alt_load() lethbhreac den earrann .rodata gu RAM. nì alt_load() lethbhreac den earrann .rwdata gu RAM. nì alt_load() lethbhreac den earrann .exceptions gu RAM.

4.4.2. Iarrtas Pròiseasar Nios V air a chopaigeadh bho Boot Flash gu RAM a’ cleachdadh Boot Copier
Tha lethbhreacadair tòiseachaidh anns a’ phròiseasar Nios V agus an HAL a bheir seachad comas-gnìomh gu leòr airson a’ mhòr-chuid de thagraidhean pròiseasar Nios V agus a tha goireasach a chur an gnìomh leis an t-sruthadh leasachaidh bathar-bog Nios V.
Nuair a bhios an aplacaid a’ cleachdadh inneal-lethbhreacaidh tòiseachaidh, bidh e a’ suidheachadh gach earrann ceangail (.text, .heap, .rwdata, .rodata, .bss, .stack) gu RAM a-staigh no a-muigh. Bidh cleachdadh an inneal-lethbhreacaidh tòiseachaidh gus aplacaid pròiseasar Nios V a chopaigeadh bhon flash tòiseachaidh chun RAM a-staigh no a-muigh airson a chur an gnìomh a’ cuideachadh le bhith a’ leasachadh coileanadh a’ chur an gnìomh.
Airson an roghainn tòiseachaidh seo, tòisichidh am pròiseasar Nios V a’ cur bathar-bog lethbhreac an tòiseachaidh an gnìomh nuair a thèid an siostam ath-shuidheachadh. Bidh am bathar-bog a’ dèanamh lethbhreac den tagradh bhon flash tòiseachaidh chun RAM a-staigh no a-muigh. Cho luath ‘s a bhios am pròiseas deiseil, gluaisidh am pròiseasar Nios V smachd a’ phrògraim chun tagradh.

Thoir an aire:

Mura h-eil an lethbhreacadair boot ann am flash, chan fheum an gnìomh alt_load() a bhith air a ghairm oir tha an aon adhbhar aca le chèile.

4.4.2.1. Luchd-tòiseachaidh Pròiseasar Nios V tro Eadar-aghaidh Flash Sreathach Coitcheann
’S e am Bootloader tro GSFI an lethbhreacadair tòiseachaidh pròiseasar Nios V a tha a’ toirt taic do chuimhne flash QSPI ann an innealan stèidhichte air blocaichean smachd. Tha na feartan a leanas aig a’ Bootloader tro GSFI:
· Lorgaidh e an aplacaid bathar-bog ann an cuimhne neo-luaineach.
· A’ fosgladh agus a’ dèanamh lethbhreac den ìomhaigh aplacaid bathar-bog gu RAM.
· Bidh e gu fèin-ghluasadach ag atharrachadh coileanadh a’ phròiseasair gu còd tagraidh ann an RAM às deidh don lethbhreac a bhith deiseil.

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 52

Cuir fios air ais

4. Fuasglaidhean Rèiteachaidh is Bòtaidh Pròiseasar Nios V 726952 | 2025.07.16

Tha an ìomhaigh tòiseachaidh suidhichte dìreach às dèidh an lethbhreacadair tòiseachaidh. Feumaidh tu dèanamh cinnteach gu bheil co-shìneadh ath-shuidheachaidh pròiseasar Nios V a’ comharrachadh toiseach an lethbhreacadair tòiseachaidh. Tha am Figear: Mapa Cuimhne airson QSPI Flash le Bootloader tro GSFI mapa cuimhne airson QSPI Flash le Bootloader tro GSFI a’ sealltainn mapa na cuimhne flash airson flash QSPI nuair a thathar a’ cleachdadh lethbhreacadair tòiseachaidh. Tha am mapa cuimhne seo a’ gabhail ris gu bheil an cuimhne flash a’ stòradh ìomhaigh FPGA agus am bathar-bog tagraidh.

Clàr 32. Bootloader tro GSFI airson Nios V Processor Core

Cridhe Pròiseasar Nios V
Pròiseasar Nios V/m

Luchdaicheadair-tòiseachaidh tro GSFI File Àite
/niosv/components/bootloader/ niosv_m_bootloader.srec

Pròiseasar Nios V/g

/niosv/components/bootloader/ niosv_g_bootloader.srec

Figear 29. Mapa Cuimhne airson QSPI Flash le Bootloader tro GSFI

Dàta Luchd-ceannach (*.hex)

Còd Iarrtais

Thoir an aire:

Ath-shuidhich an Co-shìneadh Vectar

Boot Copier

0x01E00000

Ìomhaigh FPGA (*.sof)

0x00000000

1. Aig toiseach mapa na cuimhne tha ìomhaigh FPGA agus an dàta agad às a dhèidh, anns a bheil lethbhreacadair tòiseachaidh agus còd tagraidh.
2. Feumaidh tu an co-shìneadh ath-shuidheachaidh pròiseasar Nios V a shuidheachadh ann an Dealbhaiche Àrd-ùrlair agus a chomharrachadh gu toiseach an lethbhreacadair tòiseachaidh.
3. Chan eil fios dè an ìre de dh’ìomhaigh FPGA a th’ ann. Chan urrainn dhut an dearbh mheud fhaighinn ach an dèidh cruinneachadh pròiseict Quartus Prime. Feumaidh tu crìoch uachdarach a dhearbhadh airson meud ìomhaigh Altera FPGA. Mar eisimpleirampma thathar a’ meas gu bheil meud ìomhaigh FPGA nas lugha na 0x01E00000, suidhich an Ath-shuidheachadh Co-shìnte gu 0x01E00000 ann an Dealbhaiche Àrd-ùrlair, agus is e sin cuideachd toiseach an lethbhreacadair tòiseachaidh.
4. Is e deagh chleachdadh dealbhaidh a bhith a’ suidheachadh an fhrith-sheasamh vectar ath-shuidheachaidh aig crìoch roinn flash gus dèanamh cinnteach nach tèid pàirt den ìomhaigh FPGA a dhubhadh às ma thèid am bathar-bog ùrachadh.

Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 53

4. Fuasglaidhean Rèiteachaidh is Bòtaidh Pròiseasar Nios V 726952 | 2025.07.16

4.4.2.2. Luchd-tòiseachaidh Pròiseasar Nios V tro Mhanaidsear Innealan Tèarainte
’S e còd tagraidh HAL a th’ anns an Bootloader tro Secure Device Manager (SDM) a bhios a’ cleachdadh draibhear HAL IP Altera FPGA Mailbox Client airson pròiseasar a bhùtadh. Tha Altera a’ moladh an tagraidh bootloader seo nuair a thathar a’ cleachdadh flash rèiteachaidh QSPI ann an innealan stèidhichte air SDM gus pròiseasar Nios V a bhùtadh.
Nuair a thèid an siostam ath-shuidheachadh, bidh pròiseasar Nios V an toiseach a’ tòiseachadh an luchd-luidh Boot tro SDM bho chuimhne bheag air a’ chip agus a’ cur an gnìomh an luchd-luidh Boot tro SDM gus conaltradh a dhèanamh ris an fhlasg rèiteachaidh QSPI a’ cleachdadh IP Client a’ bhogsa-puist.
Bidh am Bootloader tro SDM a’ dèanamh nan gnìomhan a leanas: · A’ lorg bathar-bog Nios V ann am flash rèiteachaidh QSPI. · A’ dèanamh lethbhreac de bhathar-bog Nios V a-steach don RAM air a’ chip no RAM taobh a-muigh. · A’ gluasad coileanadh a’ phròiseasair gu bathar-bog Nios V taobh a-staigh an RAM air a’ chip no
RAM taobh a-muigh.
Cho luath ‘s a bhios am pròiseas deiseil, bidh am Bootloader tro SDM a’ gluasad smachd a’ phrògraim chun aplacaid cleachdaiche. Tha Altera a’ moladh an eagrachadh cuimhne mar a tha air a mhìneachadh ann an Eagrachadh Cuimhne airson Bootloader tro SDM.
Figear 30. Bootloader tro Sruth Pròiseas SDM

Rèiteachadh

Flash

2

Bathar-bog Nios V

SDM

Inneal FPGA stèidhichte air SDM

IP neach-dèiligidh bogsa-puist

FPGA Loidsig Nios V

4 RAM Taobh a-muigh
Bathar-bog Nios V

Air-Sliseag 4

EMIF

RAM

Cuimhne air-chip

IP

Nios V

1

Bathar-bog

Luchdaicheadair-tòiseachaidh tro SDM

3

3

1. Bidh pròiseasar Nios V a’ ruith am Bootloader tro SDM bhon chuimhne air a’ chip.
2. Bidh am bootloader tro SDM a’ conaltradh ris a’ flash rèiteachaidh agus a’ lorg am bathar-bog Nios V.
3. Bidh am bootloader tro SDM a’ dèanamh lethbhreac den bhathar-bog Nios V bhon Configuration Flash a-steach do RAM air a’ chip / RAM taobh a-muigh.
4. Bidh am bootloader tro SDM ag atharrachadh coileanadh pròiseasar Nios V chun bhathar-bog Nios V anns an RAM air a’ chip / RAM taobh a-muigh.

4.4.3. Iarrtas Pròiseasar Nios V air a chur an gnìomh na àite bho OCRAM
Anns an dòigh seo, tha seòladh ath-shuidheachaidh pròiseasar Nios V air a shuidheachadh gu seòladh bunaiteach na cuimhne air a’ chip (OCRAM). Tha am binary tagraidh (.hex) file air a luchdachadh a-steach don OCRAM nuair a thèid an FPGA a rèiteachadh, às dèidh dealbhadh a’ bhathar-chruaidh a bhith air a chur ri chèile ann am bathar-bog Quartus Prime. Cho luath ‘s a thèid am pròiseasar Nios V ath-shuidheachadh, tòisichidh an tagradh a’ ruith agus a’ meurachadh chun phuing inntrigidh.

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 54

Cuir fios air ais

4. Fuasglaidhean Rèiteachaidh is Bòtaidh Pròiseasar Nios V 726952 | 2025.07.16

Thoir an aire:

Chan eil feum air lethbhreacadair tòiseachaidh airson Execute-In-Place bho OCRAM leis gu bheil tagradh pròiseasar Nios V mu thràth na àite aig ath-shuidheachadh an t-siostaim.
· Tha Altera a’ moladh alt_load() a chomasachadh airson an dòigh-tòiseachaidh seo gus am bi am bathar-bog leabaithe ag obair san aon dòigh nuair a thèid ath-shuidheachadh gun ìomhaigh an inneil FPGA ath-rèiteachadh.
· Feumaidh tu an gnìomh alt_load() a chomasachadh anns na Roghainnean BSP gus an earrann .rwdata a chopaigeadh nuair a thèid an siostam ath-shuidheachadh. Anns an dòigh seo, thèid na luachan tùsail airson caochladairean a chaidh a thòiseachadh a stòradh air leth bho na caochladairean co-fhreagarrach gus nach tèid an sgrìobhadh thairis nuair a thèid am prògram a chur an gnìomh.

4.4.4. Iarrtas Pròiseasar Nios V air a chur an gnìomh na àite bho TCM
Bidh an dòigh cur an gnìomh san àite a’ suidheachadh seòladh ath-shuidheachaidh pròiseasar Nios V gu seòladh bunaiteach na cuimhne ceangailte gu teann (TCM). Am faidhle dà-chànanach tagraidh (.hex) file air a luchdachadh a-steach don TCM nuair a rèiticheas tu an FPGA às deidh dhut dealbhadh a’ bhathar-chruaidh a chur ri chèile ann am bathar-bog Quartus Prime. Cho luath ‘s a thèid am pròiseasar Nios V ath-shuidheachadh, tòisichidh an tagradh a’ ruith agus a’ meurachadh chun phuing inntrigidh.

Thoir an aire:

Chan eil feum air lethbhreacadair tòiseachaidh airson Execute-In-Place bho TCM leis gu bheil tagradh pròiseasar Nios V mu thràth na àite aig ath-shuidheachadh an t-siostaim.

4.5. Tòiseachadh Pròiseasar Nios V bho Flash On-chip (UFM)

Tha pròiseasar Nios V a’ tòiseachadh agus a’ cur bathar-bog an gnìomh bho flash air-chip (UFM) ri fhaighinn ann an innealan MAX 10 FPGA. Tha pròiseasar Nios V a’ toirt taic don dà roghainn tòiseachaidh a leanas a’ cleachdadh Flash Air-Chip fo mhodh Rèiteachaidh A-staigh:
Bidh tagradh pròiseasar Nios V ag obair na àite bho On-Chip Flash.
· Tha tagradh pròiseasar Nios V air a chopaigeadh bho On-Chip Flash gu RAM a’ cleachdadh boot copier.

Clàr 33. Cuimhneachain Flash a tha air an taiceadh leis na roghainnean tòiseachaidh iomchaidh

Cuimhneachain Tòiseachaidh a tha a’ faighinn taic

Modhan Tòiseachaidh Nios V

Àite Ruith-ùine an Iarrtais

Boot Copier

10 innealan aig a’ char as motha a-mhàin (le OnChip Flash IP)

Iarrtas pròiseasar Nios V air a ruith san àite bho Flash On-Chip
Iarrtas pròiseasar Nios V air a chopaigeadh bho On-Chip Flash gu RAM a’ cleachdadh boot copier

Flash air a’ chip (XIP) + OCRAM/ RAM taobh a-muigh (airson earrannan dàta a ghabhas sgrìobhadh)

gnìomh alt_load()

OCRAM / RAM taobh a-muigh

Ath-chleachdadh Bootloader tro GSFI

Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 55

4. Fuasglaidhean Rèiteachaidh is Bòtaidh Pròiseasar Nios V 726952 | 2025.07.16

Figear 31.

Dealbhadh, Rèiteachadh, agus Sruth-tòiseachaidh
Dealbhadh · Cruthaich do phròiseact stèidhichte air Pròiseasar Nios V a’ cleachdadh Dealbhaiche Àrd-ùrlair. · Dèan cinnteach gu bheil RAM taobh a-muigh no RAM air-chip ann an dealbhadh an t-siostaim.

Rèiteachadh agus Cruinneachadh FPGA
· Suidhich an aon mhodh rèiteachaidh a-staigh ann an On-chip Flash IP ann am bathar-bog Platform Designer agus Quartus Prime. · Suidhich àidseant ath-shuidheachaidh pròiseasar Nios V gu On-chip Flash. · Tagh an dòigh tòiseachaidh UFM as fheàrr leat. · Gin do dhealbhadh ann an Platform Designer. · Cuir do phròiseact ri chèile ann am bathar-bog Quartus Prime.

Pròiseact BSP Iarrtas Cleachdaiche · Cruthaich HAL BSP pròiseasar Nios V stèidhichte air .sopcinfo file air a chruthachadh le Dealbhaiche Àrd-ùrlair. · Deasaich roghainnean BSP pròiseasar Nios V agus Sgriobt Ceanglaiche ann an Deasaiche BSP. · Gin pròiseact BSP.
Pròiseact APP Iarrtas Cleachdaiche · Còd iarrtas pròiseasar Nios V a leasachadh. · Iarrtas pròiseasar Nios V a chur ri chèile agus iarrtas pròiseasar Nios V (.hex) a ghineadh fileAth-chruinnich am pròiseact agad ann am bathar-bog Quartus Prime ma nì thu sgrùdadh air an roghainn Tòisich susbaint cuimhne ann an IP Flash On-Chip Intel FPGA.

Prògramadh FileTionndadh, Luchdaich sìos agus Ruith · Gin am faidhle Flash On-Chip .pof file a’ cleachdadh Prògramadh Tionndaidh Filefeart ann am bathar-bog Quartus Prime.
· Prògramaich an .pof file a-steach don inneal MAX 10 agad. · Tionndaidh cumhachd is cumhachd air a’ bhathar-chruaidh agad.
4.5.1. Tuairisgeul air Flash On-Chip FPGA MAX 10
Tha flash air-chip ann an innealan FPGA MAX 10 a tha air a roinn ann an dà phàirt: · Cuimhne Flash Rèiteachaidh (CFM) — a’ stòradh dàta rèiteachaidh bathar-cruaidh airson
10 FPGA aig a’ char as motha. · Cuimhne Flash Cleachdaiche (UFM) — a’ stòradh dàta an neach-cleachdaidh no aplacaidean bathar-bog.
Tha ailtireachd UFM inneal MAX 10 na mheasgachadh de IPan bog is cruaidh. Chan urrainn dhut faighinn chun UFM ach le bhith a’ cleachdadh an On-Chip Flash IP Core ann am bathar-bog Quartus Prime.
Tha cridhe IP Flash On-chip a’ toirt taic do na feartan a leanas: · Cothroman leughaidh no sgrìobhaidh gu roinnean UFM agus CFM (ma tha iad air an comasachadh ann an Dealbhaiche Àrd-ùrlair).
a’ cleachdadh eadar-aghaidh tràill dàta is smachd Avalon MM. · A’ toirt taic do dhubhadh às duilleag, cuir às do roinn agus sgrìobhadh roinn. · Modail samhlachaidh airson ruigsinneachd leughaidh/sgrìobhaidh UFM a’ cleachdadh diofar innealan samhlachaidh EDA.

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 56

Cuir fios air ais

4. Fuasglaidhean Rèiteachaidh is Bòtaidh Pròiseasar Nios V 726952 | 2025.07.16

Clàr 34. Roinnean Flash air-chip ann an MAX 10 Innealan FPGA

Roinnean Flash

Gnìomhachd

Cuimhne Flash rèiteachaidh (roinnean CFM0-2)

rèiteachadh FPGA file stòradh

Cuimhne Flash Cleachdaiche (roinnean UFM0-1)

Iarrtas pròiseasar Nios V agus dàta luchd-cleachdaidh

Tha innealan FPGA MAX 10 a’ toirt taic do ghrunn mhodhan rèiteachaidh agus leigidh cuid de na modhan sin le CFM1 agus CFM2 a bhith air an cleachdadh mar roinn UFM a bharrachd. Tha an clàr a leanas a’ sealltainn àite stòraidh ìomhaighean rèiteachaidh FPGA stèidhichte air modhan rèiteachaidh FPGA MAX 10.

Clàr 35. Àite stòraidh ìomhaighean rèiteachaidh FPGA

Modh rèiteachaidh Ìomhaighean teannaichte dùbailte

Ìomhaigh Teannaichte CFM2 2

CFM1

Ìomhaigh Teannaichte CFM0 1

Ìomhaigh singilte neo-dhùmhlaichte

UFM Brìgheil

Ìomhaigh neo-dhùmhlaichte

Ìomhaigh singilte neo-dhùmhlaichte le Tòiseachadh Cuimhne

Ìomhaigh neo-dhùmhlaichte (le susbaint cuimhne air a’ chip ro-thòisichte)

Ìomhaigh teannaichte singilte le Tòiseachadh Cuimhne Ìomhaigh teannaichte (le susbaint cuimhne air a’ chip ro-thòisichte)

Ìomhaigh teannaichte singilte

UFM Brìgheil

Ìomhaigh Dhlùthaichte

Feumaidh tu cridhe IP Flash On-chip a chleachdadh gus faighinn chun chuimhne flash ann an MAX 10 FPGAn. Faodaidh tu IP Flash On-chip a chruthachadh agus a cheangal ris a’ bhathar-bog Quartus Prime. Bidh pròiseasar cridhe bog Nios V a’ cleachdadh eadar-cheanglaichean an Platform Designer gus conaltradh leis an IP Flash On-chip.
Figear 32. Ceangal eadar IP Flash On-chip agus Pròiseasar Nios V

Thoir an aire:

Dèan cinnteach gu bheil am port csr Flash air a’ chip ceangailte ri data_manager pròiseasar Nios V gus am bi e comasach don phròiseasar smachd a chumail air obrachaidhean sgrìobhaidh is sguabaidh às.
Faodaidh cridhe IP Flash On-chip ruigsinneachd a thoirt do chòig roinnean flash – UFM0, UFM1, CFM0, CFM1, agus CFM2.
Fiosrachadh cudromach mu na roinnean UFM agus CFM.: · Tha roinnean CFM an dùil airson stòradh dàta rèiteachaidh (bitstream) (*.pof).
Faodar dàta luchd-cleachdaidh a stòradh anns na roinnean UFM agus faodar a fhalach, ma thèid na roghainnean ceart a thaghadh san inneal Dealbhaiche Àrd-ùrlair.
· Chan eil roinn UFM1 aig innealan sònraichte. Faodaidh tu sùil a thoirt air a’ chlàr: Meud Roinn UFM agus CFM airson na roinnean a tha rim faighinn anns gach inneal MAX 10 FPGA fa leth.

Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 57

4. Fuasglaidhean Rèiteachaidh is Bòtaidh Pròiseasar Nios V 726952 | 2025.07.16

· Faodaidh tu CFM2 a rèiteachadh mar UFM brìgheil le bhith a’ taghadh modh rèiteachaidh Ìomhaigh Gun Dhùmhlachadh Singilte.
· Faodaidh tu CFM2 agus CFM1 a rèiteachadh mar UFM brìgheil le bhith a’ taghadh modh rèiteachaidh Ìomhaigh Gun Dhùmhlachadh Singilte.
· Bidh meud gach roinn ag atharrachadh a rèir nan innealan MAX 10 FPGA a chaidh a thaghadh.

Clàr 36 .

Meud Roinn UFM agus CFM
Tha an clàr seo a’ liostadh tomhasan nan sreathan UFM agus CFM.

Inneal

Duilleagan gach Roinn

UFM1 UFM0 CFM2 CFM1 CFM0

Meud na Duilleige (Kbit)

Cleachdaiche as àirde
Meud Cuimhne Flash (Kbit) (3)

Meud Cuimhne Rèiteachaidh Iomlan (Kbit)

10M02 3

3

0

0

34 16

96

544

10M04 0

8

41 29 70 16

1248

2240

10M08 8

8

41 29 70 16

1376

2240

10M16 4

4

38 28 66 32

2368

4224

10M25 4

4

52 40 92 32

3200

5888

10M40 4

4

48 36 84 64

5888

10752

10M50 4

4

48 36 84 64

5888

10752

Meud OCRAM (Kbit)
108 189 378 549 675 1260 1638

Fiosrachadh Co-cheangailte · Stiùireadh Cleachdaiche Rèiteachaidh FPGA MAX 10 · Stiùireadh Cleachdaiche Cuimhne Flash Cleachdaiche Altera MAX 10

4.5.2. Iarrtas Pròiseasar Nios V air a chur an gnìomh na àite bho UFM

Tha am fuasgladh Execute-In-Place from UFM freagarrach airson tagraidhean pròiseasar Nios V a dh’ fheumas cleachdadh cuibhrichte cuimhne air a’ chip. Bidh an gnìomh alt_load() ag obair mar inneal-lethbhreacaidh beag bròg a bhios a’ dèanamh lethbhreac de na h-earrannan dàta (.rodata, .rwdata, no .exceptions) bho chuimhne bròg gu RAM stèidhichte air na roghainnean BSP. An earrann còd (.text),
a tha na earrann airson leughadh a-mhàin, a’ fuireach ann an roinn cuimhne Flash On-chip MAX 10. Bidh an rèiteachadh seo a’ lughdachadh cleachdadh RAM ach dh’ fhaodadh e coileanadh cur an gnìomh còd a chuingealachadh leis gu bheil ruigsinneachd chun chuimhne flash nas slaodaiche na an RAM air a’ chip.

Tha tagradh pròiseasar Nios V air a phrògramachadh a-steach don roinn UFM. Bidh vectar ath-shuidheachaidh pròiseasar Nios V a’ comharrachadh seòladh bunaiteach UFM gus còd a chur an gnìomh bhon UFM às deidh don t-siostam ath-shuidheachadh.

Ma tha thu a’ cleachdadh an dì-bhugadair ìre-tùs gus dì-bhugachadh a dhèanamh air an tagradh agad, feumaidh tu puing-brisidh bathar-cruaidh a chleachdadh. Tha seo air sgàth nach eil an UFM a’ toirt taic do ruigsinneachd cuimhne air thuaiream, rud a tha riatanach airson dì-bhugachadh puing-brisidh bog.

Thoir an aire:

Chan urrainn dhut UFM a dhubhadh às no a sgrìobhadh fhad ’s a tha thu a’ dèanamh gnìomh-gnìomhachaidh-na-àite anns an MAX 10. Cleachd dòigh-obrach lethbhreacadair boot ma dh’ fheumas tu an UFM a dhubhadh às no a sgrìobhadh.

(3) An luach as àirde a ghabhas dèanamh, a tha an urra ris a’ mhodh rèiteachaidh a thaghas tu.

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 58

Cuir fios air ais

4. Fuasglaidhean Rèiteachaidh is Bòtaidh Pròiseasar Nios V 726952 | 2025.07.16

Figear 33. Iarrtas Pròiseasar Nios V XIP bho UFM

10 innealan aig a’ char as àirde

.POF
Bathar-cruaidh Nios V .SOF
Bathar-bog Nios V .HEX

Prògramadair Quartus

Flash air a’ chip

CFM

Bathar-cruaidh Nios V

UFM

Bathar-bog Nios V

Suidheachadh a-staigh

IP Flash Air-Sliseag

Lòdaig FPGA
Pròiseasar Nios V

RAM air a’ chip

Taobh a-muigh

RAM

EMIF

IP

4.5.2.1. Sruth Dealbhaidh Bathar-cruaidh
Tha an earrann a leanas a’ toirt cunntas air dòigh ceum air cheum airson siostam bootable a thogail airson tagradh pròiseasar Nios V bho On-Chip Flash. An exampTha an le gu h-ìosal air a thogail a’ cleachdadh inneal MAX 10.
Roghainnean Co-phàirt IP
1. Cruthaich do phròiseact pròiseasar Nios V a’ cleachdadh Quartus Prime agus Platform Designer. 2. Dèan cinnteach gu bheil RAM taobh a-muigh no Cuimhne On-Chip (OCRAM) air a chur ris an Àrd-ùrlar agad
Siostam dealbhaiche.

Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 59

4. Fuasglaidhean Rèiteachaidh is Bòtaidh Pròiseasar Nios V 726952 | 2025.07.16
Figear 34. ExampCeanglaichean IP ann an Dealbhaiche Àrd-ùrlair airson Nios V a thòiseachadh bho OnChip Flash (UFM)

3. Anns an deasaiche paramadair IP Flash On-Chip, suidhich am Modh Rèiteachaidh gu aon de na leanas, a rèir do roghainn dealbhaidh: · Aon Ìomhaigh Gun Chomhbhrùthadh · Aon Ìomhaigh Teannaichte · Aon Ìomhaigh Gun Chomhbhrùthadh le Tòiseachadh Cuimhne · Aon Ìomhaigh Teannaichte le Tòiseachadh Cuimhne
Airson tuilleadh fiosrachaidh mu dheidhinn Ìomhaighean Dùbailte Teannaichte, thoir sùil air an Stiùireadh Cleachdaiche Rèiteachaidh MAX 10 FPGA – Ùrachadh Siostam Iomallach.

Thoir an aire:

Feumaidh tu Ruigsinneachd Falaichte a shònrachadh do gach roinn CFM anns an IP Flash On-Chip.

Figear 35. Taghadh Modh Rèiteachaidh ann an Deasaiche Paramadair Flash On-chip

Roghainnean IP Flash air a’ Chip – Tòiseachadh UFM Faodaidh tu aon de na dòighean a leanas a thaghadh a rèir do roghainn:

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 60

Cuir fios air ais

4. Fuasglaidhean Rèiteachaidh is Bòtaidh Pròiseasar Nios V 726952 | 2025.07.16

Thoir an aire:

Tha na ceumannan anns na fo-chaibideilean a leanas (Sruth Dealbhaidh Bathar-bog agus Prògramadh) an urra ris an taghadh a nì thu an seo.

· Modh 1: Tòisich dàta UFM anns an SOF rè an cruinneachadh
Bidh Quartus Prime a’ cur dàta tòiseachaidh UFM anns an SOF rè an co-chruinneachaidh. Feumar ath-cho-chruinneachadh SOF ma tha atharrachaidhean ann an dàta UFM.
1. Thoir sùil air Tòisich susbaint flash agus Cuir an comas tòiseachadh neo-àbhaisteach file.

Figear 36. Tòisich susbaint Flash agus cuir an comas Tòiseachadh neo-àbhaisteach File

2. Sònraich slighe an .hex a chaidh a chruthachadh file (bhon àithne elf2hex) anns an hex no mif a chaidh a chruthachadh leis an neach-cleachdaidh file.
Figear 37. A’ cur an .hex ris File Slighe

· Modh 2: Cuir dàta UFM còmhla ri SOF co-chruinnichte rè gineadh POF
Tha dàta UFM air a chur còmhla ris an SOF a chaidh a chur ri chèile nuair a thathar ag atharrachadh prògramadh files. Chan fheum thu an SOF ath-chruinneachadh, fiù 's ma dh'atharraicheas dàta an UFM. Rè leasachadh, chan fheum thu SOF ath-chruinneachadh. fileairson atharrachaidhean san aplacaid. Tha Alterare a’ moladh an dòigh seo do luchd-leasachaidh aplacaid.
1. Thoir air falbh an comharra bho Tòisich susbaint flash.
Figear 38. Tòisich Susbaint Flash le Tòiseachadh Neo-àbhaisteach File

Ath-shuidhich Roghainnean an Àidseant airson Modh Gnìomhachaidh-na-Place Pròiseasar Nios V
1. Ann an deasaiche paramadair pròiseasar Nios V, suidhich an Reset Agent gu On-Chip Flash.
Figear 39. Roghainnean Deasaiche Paramadair Pròiseasar Nios V leis an Àidseant Ath-shuidheachaidh air a shuidheachadh gu Flash On-chip

2. Briog air Gineadh HDL nuair a nochdas am bogsa deasbaid Gineadh. 3. Sònraich an toradh. file roghainnean ginealach agus cliog air Gineadh.

Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 61

4. Fuasglaidhean Rèiteachaidh is Bòtaidh Pròiseasar Nios V 726952 | 2025.07.16
Quartus Prime Software Settings 1. In the Quartus Prime software, click Assignments Device Device and Pin
Options Configuration. Set the Configuration mode according to the setting in On-Chip Flash IP. Figure 40. Configuration Mode Selection in Quartus Prime Software

2. Briog air Ceart gu leòr gus an uinneag Roghainnean Innealan is Prìne fhàgail,
3. Briog air Ceart gu leòr gus uinneag an inneil fhàgail.
4. Click Processing Start Compilation to compile your project and generate the .sof file.

Thoir an aire:

Ma tha an suidheachadh modh rèiteachaidh ann am bathar-bog Quartus Prime agus deasaiche paramadair Dealbhaiche Àrd-ùrlair eadar-dhealaichte, fàillidh pròiseact Quartus Prime leis an teachdaireachd mearachd a leanas.

Figear 41.

Teachdaireachd Mearachd airson Mearachd Suidheachadh Modh Rèiteachaidh Eadar-dhealaichte (14740): Chan eil modh rèiteachaidh air atom “q_sys:q_sys_inst| altera_onchip_flash:onchip_flash_1|altera_onchip_flash_block: altera_onchip_flash_block|ufm_block” a’ freagairt ris an t-suidheachadh pròiseict. Ùraich agus ath-ghin an siostam Qsys gus a bhith a rèir an t-suidheachaidh pròiseict.

Fiosrachadh co-cheangailte Stiùireadh Cleachdaiche Rèiteachaidh MAX 10 FPGA

4.5.2.2. Sruth Dealbhaidh Bathar-bog
Tha an earrann seo a’ toirt seachad an t-sruth dealbhaidh gus pròiseact bathar-bog pròiseasar Nios V a chruthachadh agus a thogail. Gus dèanamh cinnteach à sruth togail nas sìmplidhe, thathar gad bhrosnachadh gus craobh eòlaire coltach ris a chruthachadh sa phròiseact dealbhaidh agad. Tha an sruth dealbhaidh bathar-bog a leanas stèidhichte air a’ chraobh eòlaire seo.
Gus craobh eòlaire pròiseict bathar-bog a chruthachadh, lean na ceumannan seo: 1. Anns a’ phasgan pròiseict dealbhaidh agad, cruthaich pasgan leis an ainm bathar-bog. 2. Anns a’ phasgan bathar-bog, cruthaich dà phasgan leis an ainm hal_app agus hal_bsp.
Figear 42. Craobh-stiùiridh Pròiseact Bathar-bog

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 62

Cuir fios air ais

4. Fuasglaidhean Rèiteachaidh is Bòtaidh Pròiseasar Nios V 726952 | 2025.07.16
A’ cruthachadh Pròiseact BSP an Iarrtais
Gus Deasaiche BSP a chur air bhog, lean na ceumannan seo: 1. Cuir a-steach an Nios V Command Shell. 2. Gairm Deasaiche BSP leis an àithne niosv-bsp-editor. 3. Anns an Deasaiche BSP, cliog air File BSP ùr gus do phròiseact BSP a thòiseachadh. 4. Rèitich na roghainnean a leanas:
· Fiosrachadh SOPC File ainm: Thoir seachad an SOPCINFO file (.sopcinfo). · Ainm CPU: Tagh pròiseasar Nios V. · Siostam obrachaidh: Tagh siostam obrachaidh a’ phròiseasar Nios V. · Tionndadh: Fàg mar an àbhaist. · Eòlaire targaid BSP: Tagh slighe an eòlaire airson pròiseact BSP. Faodaidh tu
ro-shuidhich e aig /software/hal_bsp le bhith a’ comasachadh Cleachd àiteachan bunaiteach. · Roghainnean BSP File ainm: Sgrìobh ainm nan Roghainnean BSP File. · Additional Tcl scripts: Provide a BSP Tcl script by enabling Enable Additional Tcl script. 5. Click OK.
Figure 43. Configure New BSP

Configuring the BSP Editor and Generating the BSP Project
You can define the processor’s exception vector either in On-Chip Memory (OCRAM) or On-Chip Flash based on your design preference. Setting the exception vector memory to OCRAM/External RAM is recommended to make the interrupt processing faster. 1. Go to Main Settings Advanced hal.linker. 2. If you select On-Chip Flash as exception vector,
a. Enable the following settings:

Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 63

4. Fuasglaidhean Rèiteachaidh is Bòtaidh Pròiseasar Nios V 726952 | 2025.07.16
· allow_code_at_reset · enable_alt_load · enable_alt_load_copy_rodata · enable_alt_load_copy_rwdata Figure 44. Advanced.hal.linker Settings

b. Click on the Linker Script tab in the BSP Editor. c. Set the .exceptions and .text regions in the Linker Section Name to
On-Chip Flash. d. Set the rest of the regions in the Linker Section Name list to the On-Chip
Memory (OCRAM) or external RAM.
Figure 45. Linker Region Settings (Exception Vector Memory: On-Chip Flash)

3. If you select OCRAM/External RAM as exception vector, a. Enable the following settings: · allow_code_at_reset · enable_alt_load · enable_alt_load_copy_rodata · enable_alt_load_copy_rwdata · enable_alt_load_copy_exception
Figure 46. Linker Region Settings (Exception Vector Memory: OCRAM/External RAM)

b. Click on the Linker Script tab in the BSP Editor.
c. Set the.text regions in the Linker Section Name to On-Chip Flash.
d. Set the rest of the regions in the Linker Section Name list to the On-Chip Memory (OCRAM) or external RAM.

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 64

Cuir fios air ais

4. Fuasglaidhean Rèiteachaidh is Bòtaidh Pròiseasar Nios V 726952 | 2025.07.16
Figure 47. Linker Region Settings (Exception Vector Memory: OCRAM)
4. Click Generate to generate the BSP project. Generating the User Application Project File 1. Navigate to the software/hal_app folder and create your application source
code. 2. Launch the Nios V Command Shell. 3. Execute the command below to generate the application CMakeLists.txt.
niosv-app –app-dir=software/hal_app –bsp-dir=software/hal_bsp –srcs=software/hal_app/<user application>
Building the User Application Project You can choose to build the user application project using Ashling RiscFree IDE for Altera FPGAs or through the command line interface (CLI). If you prefer using CLI, you can build the user application using the following command: cmake -G “Unix Makefiles” -B software/hal_app/build -S software/hal_app make -C software/hal_app/build
The application (.elf) file is created in software/hal_app/build folder. Generating the HEX File You must generate a .hex file from your application .elf file, so you can create a .pof file suitable for programming the devices. 1. Launch the Nios V Command Shell. 2. For Nios V processor application boot from On-Chip Flash, use the following
command line to convert the ELF to HEX for your application. This command creates the user application (onchip_flash.hex) file. elf2hex software/hal_app/build/<user_application>.elf -o onchip_flash.hex
-b <base address of On-Chip Flash UFM region> -w 8 -e <end address of On-Chip Flash UFM region> 3. Recompile the hardware design if you check Initialize memory content option in On-Chip Flash IP (Method 1). This is to include the software data (.HEX) in the SOF file.

Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 65

4. Fuasglaidhean Rèiteachaidh is Bòtaidh Pròiseasar Nios V 726952 | 2025.07.16
4.5.2.3. Programming 1. In Quartus Prime, click File Tionndadh Prògramadh Files. 2. Under Output programming file, choose Programmer Object File (.pof) as Programming file type. 3. Set Mode to Internal Configuration.
Figure 48. Convert Programming File Suidhichidhean
4. Click Options/Boot info…, the MAX 10 Device Options window appears. 5. Based on the Initialize flash content settings in the On-chip Flash IP, perform
one of the following steps: · If Initialize flash content is checked (Method 1), the UFM initialization data
was included in the SOF duringQuartus Prime compilation. — Select Page_0 for UFM source: option. Click OK and proceed to the
next. Figure 49. Setting Page_0 for UFM Source if Initialize Flash Content is Checked

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 66

Cuir fios air ais

4. Fuasglaidhean Rèiteachaidh is Bòtaidh Pròiseasar Nios V 726952 | 2025.07.16
· If Initialize flash content is not checked (Method 2), choose Load memory file for the UFM source option. Browse to the generated On-chip Flash HEX file (onchip_flash.hex) in the File path: and click OK. This step adds UFM data separately to the SOF file during the programming file tionndadh.
Figure 50. Setting Load Memory File for UFM Source if Initialize Flash Content is Not Checked

6. In the Convert Programming File dialog box, at the Input files to convert section, click Add File… and point to the generated Quartus Prime .sof file.
Figure 51. Input Files to Convert in Convert Programming Files for Single Image Mode

7. Click Generate to create the .pof file. 8. Program the .pof file into your MAX 10 device. 9. Power cycle your hardware.

4.5.3. Nios V Processor Application Copied from UFM to RAM using Boot Copier

Altera recommends this solution for MAX 10 FPGA Nios V processor system designs where multiple iterations of application software development and high system performance are required. The boot copier is located within the UFM at an offset that is the same address as the reset vector. The Nios V application is located next to the boot copier.

For this boot option, the Nios V processor starts executing the boot copier upon system reset to copy the application from the UFM sector to the OCRAM or external RAM. Once copying is complete, the Nios V processor transfers the program control over to the application.

Thoir an aire:

The applied boot copier is the same as the Bootloader via GSFI.

Cuir fios air ais

Leabhar-làimhe Dealbhaidh Pròiseasar Leabaithe Nios® V 67

4. Fuasglaidhean Rèiteachaidh is Bòtaidh Pròiseasar Nios V 726952 | 2025.07.16

Figure 52. Nios V Application Copied from UFM to RAM using Boot Copier

10 innealan aig a’ char as àirde

.POF
Bathar-cruaidh Nios V .SOF
Bathar-bog Nios V .HEX
Bootloader .SREC

Prògramadair Quartus

RAM taobh a-muigh
Bathar-bog Nios V

Flash air a’ chip

CFM

Nios V Hardwa

Sgrìobhainnean/Goireasan

altera Nios V Embedded Processor [pdfStiùireadh Cleachdaiche
Nios V, Nios V-m, Nios V-g, Nios V-c, Nios V Embedded Processor, Nios V, Embedded Processor, Processor

Iomraidhean

Fàg beachd

Cha tèid do sheòladh puist-d fhoillseachadh. Tha raointean riatanach air an comharrachadh *