altera Nios V камтылган процессору

Техникалык шарттар

  • Продукт аты: Nios V процессору
  • Программанын шайкештиги: Quartus Prime программалык камсыздоо жана платформа дизайнери
  • Процессордун түрү: Altera FPGA
  • Эс тутум системасы: туруксуз жана туруксуз эс тутум
  • Байланыш интерфейси: UART агенти

Nios V процессорунун аппараттык тутумунун дизайны

Nios V Processor аппараттык системасын иштеп чыгуу үчүн, төмөнкү кадамдарды аткарыңыз:

  1. Platform Designer аркылуу Nios V процессор тутумунун дизайнын түзүңүз.
  2. Системаны Quartus Prime долбооруна интеграциялоо.
  3. Дизайн эс тутуму, анын ичинде туруксуз жана туруксуз эс тутуму.
  4. Саатты ишке ашыруу жана мыкты тажрыйбаларды баштапкы абалга келтирүү.
  5. Натыйжалуу иштөө үчүн демейки жана UART агенттерин дайындоо.

Nios V процессордук программалык камсыздоо тутумунун дизайны

Nios V процессорунун программалык системасын иштеп чыгуу үчүн:

  1. Nios V Processor үчүн программалык камсыздоону иштеп чыгуу агымын ээрчиңиз.
  2. Башкармалык колдоо пакети Долбоорду жана Колдонмо долбоорун түзүү.

Nios V процессорунун конфигурациясы жана жүктөө чечимдери

Nios V процессорун конфигурациялоо жана жүктөө үчүн:

  1. Конфигурация жана жүктөө чечимдерине киришүүнү түшүнүңүз.
  2. Үзгүлтүксүз иштөө үчүн колдонмолорду шилтеме.

Nios® V камтылган процессору жөнүндө
1.1. Altera® FPGA жана камтылган процессорлор бүттүview
Altera FPGA түзмөктөрү көптөгөн варианттарды камсыз кылуу менен бирге толук микропроцессордун ролун аткарган логиканы ишке ашыра алат.
Дискреттик микропроцессорлор менен Altera FPGA ортосундагы маанилүү айырма, Altera FPGA ткани иштетилгенде логиканы камтыбайт. Nios® V процессору RISC-V спецификациясына негизделген жумшак интеллектуалдык менчик (IP) процессору. Nios V процессоруна негизделген тутумда программалык камсыздоону иштетүүдөн мурун, Nios V процессорун камтыган аппараттык дизайн менен Altera FPGA түзмөгүн конфигурациялашыңыз керек. Дизайндын талаптарына жараша Nios V процессорун Altera FPGAнын каалаган жерине жайгаштырсаңыз болот.


Altera® FPGA IP негизиндеги орнотулган тутумуңуз өзүн дискреттик микропроцессордук система катары иштетүү үчүн, тутумуңуз төмөнкүлөрдү камтышы керек: · AJTAG Altera FPGA конфигурациясын, аппараттык жана программалык камсыздоону колдоо үчүн интерфейс
мүчүлүштүктөрдү оңдоо · Күчтүү Altera FPGA конфигурациялоо механизми
Эгерде сиздин тутумуңузда бул мүмкүнчүлүктөр бар болсо, сиз дизайныңызды Altera FPGAга жүктөлгөн, алдын ала сыналган аппараттык дизайндан тактай баштасаңыз болот. Altera FPGA колдонуу, ошондой эле көйгөйлөрдү чечүү же жаңы функцияларды кошуу үчүн дизайныңызды тез өзгөртүүгө мүмкүндүк берет. Бул жаңы аппараттык түзүлүштөрдү системаңыздын JTAG интерфейс.
ДжTAG интерфейс аппараттык жана программалык камсыздоону иштеп чыгууну колдойт. Сиз Дж колдонуп төмөнкү тапшырмаларды аткара аласызTAG интерфейси: · Altera FPGA конфигурациялоо · Программаны жүктөп алуу жана мүчүлүштүктөрдү оңдоо · Altera FPGA менен UART сыяктуу интерфейс аркылуу байланышуу (JTAG UART
терминалы) · Мүчүлүштүктөрдү оңдоо жабдыктары (Сигнал таптоо кыналган логикалык анализатор менен) · Программанын флэш эстутуму
Altera FPGAны Nios V процессоруна негизделген дизайн менен конфигурациялагандан кийин, программалык камсыздоону иштеп чыгуу агымы дискреттик микроконтроллердин конструкцияларынын агымына окшош.


Тектеш маалымат · AN 985: Nios V Processor Tutorial
Жөнөкөй Nios V процессор тутумун түзүү жана Hello World тиркемесин иштетүү боюнча тез баштоо колдонмосу.
© Altera Corporation. Altera, Altera логотиби, "a" логотиби жана башка Altera белгилери Altera корпорациясынын соода белгилери болуп саналат. Altera эскертүүсүз каалаган убакта каалаган өнүмгө жана кызматтарга өзгөртүү киргизүү укугун өзүнө калтырат. Altera бул жерде сүрөттөлгөн кандайдыр бир маалыматты, продуктуну же кызматты колдонуудан же колдонуудан келип чыккан эч кандай жоопкерчиликти же жоопкерчиликти өзүнө албайт, Altera менен ачык түрдө жазуу жүзүндө макулдашылган учурларды кошпогондо. Altera кардарларына жарыяланган маалыматка таянардан мурун жана өнүмдөр же кызматтарга буйрутма берүүдөн мурун түзмөктүн техникалык мүнөздөмөлөрүнүн акыркы версиясын алуу сунушталат. *Башка ысымдар жана бренддер башкалардын менчиги катары талап кылынышы мүмкүн.

1. Nios® V Embedded Processor 726952 | жөнүндө 2025.07.16
· Nios V Processor Reference Manual Nios V процессорунун иштөө көрсөткүчтөрү, процессордун архитектурасы, программалоо модели жана негизги ишке ашыруу жөнүндө маалымат берет.
· Камтылган перифериялык IP колдонуучу колдонмосу · Nios V Processor Программалык камсыздоону Иштеп чыгуучу үчүн колдонмо


Nios V процессорунун программалык камсыздоону иштеп чыгуу чөйрөсүн, колдо болгон куралдарды жана Nios V процессорунда иштөө үчүн программаны түзүү процессин сүрөттөйт. · Ashling* RiscFree* Integrated Development Environment (IDE) Altera FPGAs Колдонуучу колдонмосу Altera FPGAs Arm* негизиндеги HPS жана Nios V өзөктүү процессору үчүн RiscFree* интеграцияланган иштеп чыгуу чөйрөсүн (IDE) сүрөттөйт. · Nios V Processor Altera FPGA IP Release Notes
1.2. Quartus® Prime программалык камсыздоону колдоо
Nios V процессорунун курулуш агымы Quartus® Prime Pro Edition программасы жана Quartus Prime Standard Edition программасы үчүн айырмаланат. Айырмачылыктар жөнүндө көбүрөөк маалымат алуу үчүн AN 980: Nios V Processor Quartus Prime программалык камсыздоону караңыз.
Тектеш маалымат AN 980: Nios V Processor Quartus Prime Программалык камсыздоону колдоо
1.3. Nios V Processor Licensing
Ар бир Nios V процессорунун вариантынын өзүнүн лицензиялык ачкычы бар. Лицензия ачкычын алгандан кийин, жарактуулук мөөнөтү бүткүчө Nios V процессорунун бардык долбоорлору үчүн бирдей лицензия ачкычын колдоно аласыз. Сиз Nios V Processor Altera FPGA IP лицензияларын нөлдүк баада ала аласыз.
Nios V процессорунун лицензия ачкычынын тизмеси Altera FPGA Өзүн-өзү тейлөө лицензиялоо борборунда жеткиликтүү. Баалоого катталуу же Акысыз лицензия өтмөгүн чыкылдатып, суроо-талапты аткаруу үчүн тиешелүү опцияларды тандаңыз.
Сүрөт 1. Altera FPGA өзүн-өзү тейлөө лицензиялоо борбору

Лицензия ачкычтары менен сиз:
Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 7

1. Nios® V Embedded Processor 726952 | жөнүндө 2025.07.16
· Системаңызга Nios V процессорун ишке киргизиңиз. · Nios V процессор системасынын жүрүм-турумун окшоштуруңуз. · Дизайндын көлөмү жана ылдамдыгы сыяктуу функционалдуулугун текшериңиз. · Түзмөктүн программалоосун түзүү fileс. · Аппаратты программалоо жана жабдыктагы дизайнды текшерүү.
Altera FPGAs үчүн Ashling* RiscFree* IDEде программалык камсыздоону иштеп чыгуу үчүн сизге лицензиянын кереги жок.
Тиешелүү маалымат · Altera FPGA Self-Service Licensing Center
Nios V Processor Altera FPGA IP лицензия ачкычтарын алуу жөнүндө көбүрөөк маалымат алуу үчүн. · Altera FPGA программасын орнотуу жана лицензиялоо Altera FPGA программасын лицензиялоо жана белгиленген лицензияны жана тармактык лицензия серверин орнотуу жөнүндө көбүрөөк маалымат алуу үчүн.
1.4. Камтылган системанын дизайны
Төмөнкү сүрөттө аппараттык жана программалык камсыздоону иштеп чыгууну камтыган жөнөкөйлөтүлгөн Nios V процессоруна негизделген системанын дизайн агымы көрсөтүлгөн.

Nios® V кыналган процессордук дизайн колдонмосу 8

Пикир жөнөтүү

1. Nios® V Embedded Processor 726952 | жөнүндө 2025.07.16

2-сүрөт.

Nios V Processor System Design Flow
Система түшүнүгү

Системалык талаптарды талдоо

Ниос® В
Процессордун өзөктөрү жана стандарттык компоненттери

Системаны аныктоо жана түзүү
Платформанын дизайнери

Аппараттык агым: Intel Quartus Prime долбоорун интеграциялоо жана компиляциялоо

Программалык камсыздоо агымы: Nios V сунуш программасын иштеп чыгуу жана куруу

Аппараттык агым: FPGA дизайнын жүктөп алыңыз
Максаттуу кеңешке

Программалык камсыздоонун агымы: Nios V процессорунун программасын текшерүү жана мүчүлүштүктөрдү оңдоо

Программалык камсыздоо эч нерсеге жооп бербейби?
Ооба
Аппараттык жабдык эч кандай талаптарга жооп бербейби? Ооба
Система аяктады

Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 9

726952 | 2025.07.16 Пикир жөнөтүү

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессорунун аппараттык тутумунун дизайны

3-сүрөт.

Төмөнкү диаграмма Nios V процессорунун типтүү аппараттык дизайнын көрсөтөт. Nios V Processor System Аппараттык Дизайн агымы

Баштоо

Nios V өзөктөрү жана стандарттык компоненттери

Nios V негизиндеги системаны долбоорлоо үчүн платформа дизайнерин колдонуңуз
Платформа дизайнеринин дизайнын жаратыңыз

Platform Designer системасын Intel Quartus Prime долбоору менен интеграциялаңыз
Pin жайгашкан жерлерди, убакыт талаптарын жана башка дизайн чектөөлөрүн дайындоо
Intel Quartus Prime ичинде максаттуу түзмөк үчүн аппараттык компиляция

Жүктөп алууга даяр
2.1. Platform Designer менен Nios V процессор системасынын дизайнын түзүү
Quartus Prime программасы Nios V процессорунун IP өзөгүн жана башка IP'дерди Altera FPGA тутумунун дизайнына аныктоо жана интеграциялоо милдетин жөнөкөйлөтүүчү Platform Designer тутумун интеграциялоо куралын камтыйт. Platform Designer автоматтык түрдө көрсөтүлгөн жогорку деңгээлдеги туташуудан өз ара байланыш логикасын түзөт. Өз ара байланышты автоматташтыруу система деңгээлиндеги HDL байланыштарын көрсөтүү боюнча көп убакытты талап кылуучу тапшырманы жокко чыгарат.
© Altera Corporation. Altera, Altera логотиби, "a" логотиби жана башка Altera белгилери Altera корпорациясынын соода белгилери болуп саналат. Altera эскертүүсүз каалаган убакта каалаган өнүмгө жана кызматтарга өзгөртүү киргизүү укугун өзүнө калтырат. Altera бул жерде сүрөттөлгөн кандайдыр бир маалыматты, продуктуну же кызматты колдонуудан же колдонуудан келип чыккан эч кандай жоопкерчиликти же жоопкерчиликти өзүнө албайт, Altera менен ачык түрдө жазуу жүзүндө макулдашылган учурларды кошпогондо. Altera кардарларына жарыяланган маалыматка таянардан мурун жана өнүмдөр же кызматтарга буйрутма берүүдөн мурун түзмөктүн техникалык мүнөздөмөлөрүнүн акыркы версиясын алуу сунушталат. *Башка ысымдар жана бренддер башкалардын менчиги катары талап кылынышы мүмкүн.

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессорунун аппараттык тутумунун дизайны
726952 | 2025.07.16

Системанын аппараттык камсыздоо талаптарын талдап чыккандан кийин, Nios V процессорунун өзөгүн, эс тутумун жана тутумуңуз талап кылган башка компоненттерди көрсөтүү үчүн Quartus Prime колдоносуз. Платформанын Дизайнери компоненттерди аппараттык системага интеграциялоо үчүн автоматтык түрдө өз ара байланыш логикасын жаратат.

2.1.1. Nios V процессору Altera FPGA IP

Сиз Platform Designer IP Каталог процессорлорунда жана Перифериялык орнотулган процессорлордо процессордун IP өзөктөрүнүн каалаганын түзө аласыз.

Ар бир процессордун IP өзөгү уникалдуу архитектурасына негизделген ар кандай конфигурация опцияларын колдойт. Бул конфигурацияларды дизайн муктаждыктарыңызга ылайыктуураак аныктай аласыз.

Таблица 1.

Негизги варианттар боюнча конфигурация параметрлери

Конфигурация параметрлери

Nios V/c процессору

Nios V/m процессору

Мүчүлүштүктөрдү оңдоону колдонууну баштапкы абалга келтирүү өтүнүчү

Тузактар, өзгөчөлүктөр жана үзгүлтүктөр

CPU архитектурасы

ECC

Кэштер, перифериялык аймактар ​​жана МТБлар

Ыңгайлаштырылган нускамалар

Lockstep

Nios V/g процессору

2.1.1.1. Nios V/c Compact Microcontroller Altera FPGA IP инстанциялоо 4-сүрөт. Nios V/c Compact Microcontroller Altera FPGA IP

Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 11

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессорунун аппараттык тутумунун дизайны
726952 | 2025.07.16

2.1.1.1.1. CPU архитектурасы өтмөгү

Таблица 2.

CPU архитектурасы өтмөгү

Өзгөчөлүк

Description

Avalon® Interface иштетүү Нускама менеджери жана маалымат менеджери үчүн Avalon Interface иштетет. Эгер өчүрүлгөн болсо, система AXI4-Lite интерфейсин колдонот.

mhartid CSR мааниси

· Жараксыз IP опциясы. · Nios V/c процессорунда mhartid CSR маанисин колдонбоңуз.

2.1.1.1.2. Өтүнүчтү калыбына келтирүү өтмөгүн колдонуңуз

Таблица 3.

Reset Request Tab параметрин колдонуңуз

Өтүнүчтү калыбына келтирүү өтмөгүн колдонуңуз

Description

Reset Request Interface кошуу

· Бул опцияны жергиликтүү баштапкы абалга келтирүү портторун ачуу үчүн иштетиңиз, анда жергиликтүү мастер аны Nios V процессорунун Nios V процессор системасындагы башка компоненттерге таасир этпестен баштапкы абалга келтирүү үчүн колдоно алат.
· Калыбына келтирүү интерфейси кириш resetreq сигналынан жана чыгуу сигналынан турат.
· Сиз resetreq сигналын ырастоо менен Nios V процессорунун өзөгүн баштапкы абалга келтирүүнү сурансаңыз болот.
· Resetreq сигналы процессор кабыл алуу сигналын ырастаганга чейин сакталышы керек. Сигнал ырасталган бойдон калбашы процессордун детерминисттик эмес абалда болушуна алып келиши мүмкүн.
· Nios V процессору кайтаруу сигналын ырастоо менен баштапкы абалга келтирүү ийгиликтүү болду деп жооп берет.
· Процессор ийгиликтүү баштапкы абалга келтирилгенден кийин, resetreq сигналынын ырастоосу жокко чыгарылганга чейин, ак сигналын ырастоо мезгил-мезгили менен бир нече жолу кайталанышы мүмкүн.

2.1.1.1.3. Тузактар, өзгөчөлүктөр жана үзгүлтүктөр өтмөгү

Таблица 4.

Тузактар, өзгөчөлүктөр жана үзгүлтүктөр өтмөктүн параметрлери

Тузактар, өзгөчөлүктөр жана үзгүлтүктөр

Description

Reset Agent

· Баштапкы абалга келтирүү коду жайгашкан эстутум (Nios V процессорунун баштапкы абалга келтирүү дареги).
· Сиз Nios V процессорунун нускама мастерине туташтырылган жана Nios V процессорунун жүктөө агымы тарабынан колдоого алынган каалаган эстутум модулун баштапкы абалга келтирүү агенти катары тандай аласыз.

Reset Offset

· Тандалган баштапкы абалга келтирүү агентинин базалык дарегине карата баштапкы абалга келтирүү векторунун жылышын көрсөтөт. · Platform Designer автоматтык түрдө баштапкы абалга келтирүү үчүн демейки маанини берет.

Эскертүү:

Platform Designer абсолюттук опцияны сунуштайт, ал сизге Reset Offset ичинде абсолюттук даректи көрсөтүүгө мүмкүндүк берет. Бул параметрди баштапкы абалга келтирүү векторун сактаган эс процессор тутумунун жана подсистемаларынын сыртында жайгашканда колдонуңуз.

Nios® V кыналган процессордук дизайн колдонмосу 12

Пикир жөнөтүү

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессорунун аппараттык тутумунун дизайны
726952 | 2025.07.16

2.1.1.1.4. ECC Tab

Таблица 5.

ECC Tab

ECC

Каталарды аныктоону жана абалды билдирүүнү иштетүү

Description
· Nios V процессорунун ички RAM блокторуна ECC функциясын колдонуу үчүн бул параметрди иштетиңиз. · ECC функциялары 2 битке чейинки каталарды аныктайт жана төмөнкү жүрүм-турумдун негизинде жооп берет:
— Эгерде бул оңдолуучу ката 1-бит болсо, процессор процессордогу катаны оңдогондон кийин иштей берет. Бирок, оңдоо булак эскерүүлөрүндө чагылдырылган эмес.
— Эгерде ката оңдолбосо, процессор процессордун конвейеринде жана булак эстутумдарында аны оңдобостон иштөөнү улантат, бул процессордун аныкталбаган абалга киришине алып келиши мүмкүн.

2.1.1.2. Nios V/m микроконтроллери Altera FPGA IP 5-сүрөт. Nios V/m микроконтроллери Altera FPGA IP

Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 13

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессорунун аппараттык тутумунун дизайны
726952 | 2025.07.16

2.1.1.2.1. Мүчүлүштүктөрдү оңдоо өтмөгү

Таблица 6.

Мүчүлүштүктөрдү оңдоо өтмөгүнүн параметрлери

Мүчүлүштүктөрдү оңдоо өтмөгү

Description

Мүчүлүштүктөрдү оңдоону иштетүү
Мүчүлүштүктөрдү оңдоо модулунан баштапкы абалга келтирүүнү иштетүү

· J кошуу үчүн бул параметрди иштетиңизTAG Nios V процессоруна максаттуу туташуу модулу. · ДжTAG максаттуу туташуу модулу аркылуу Nios V процессоруна туташуу мүмкүнчүлүгүн берет
JTAG FPGA интерфейсинин пиндери. · Туташуу төмөнкү негизги мүмкүнчүлүктөрдү камсыз кылат:
— Nios V процессорун баштоо жана токтотуу — Регистрлерди жана эстутумду карап көрүңүз жана түзөтүңүз. — Nios V тиркемесин жүктөп алыңыз .elf file аркылуу иштөө учурунда процессордун эсине
niosv-жүктөө. — Nios V процессорунда иштеген тиркемени оңдоо · dm_agent портун процессордун нускамасына жана маалымат шинасына туташтырыңыз. Эки автобустун ортосундагы базанын дареги бирдей экендигин текшериңиз.
· dbg_reset_out жана ndm_reset_in портторун ачуу үчүн бул параметрди иштетиңиз. · ЖTAG мүчүлүштүктөрдү оңдоочу же niosv-download -r буйругу dbg_reset_outти иштетет, бул
Nios V процессоруна бул портко туташкан системанын перифериялык түзүлүштөрүн баштапкы абалга келтирүүгө мүмкүндүк берет. · Сиз dbg_reset_out интерфейсин баштапкы абалга келтирүүнүн ордуна ndm_reset_in менен туташтырышыңыз керек
процессордун өзөгүн жана таймер модулун баштапкы абалга келтирүү үчүн интерфейс. Белгисиз жүрүм-турумдун алдын алуу үчүн интерфейсти баштапкы абалга келтирүү үчүн dbg_reset_out интерфейсине туташтырбашыңыз керек.

2.1.1.2.2. Өтүнүчтү калыбына келтирүү өтмөгүн колдонуңуз

Таблица 7.

Reset Request Tab параметрин колдонуңуз

Өтүнүчтү калыбына келтирүү өтмөгүн колдонуңуз

Description

Reset Request Interface кошуу

· Бул опцияны жергиликтүү баштапкы абалга келтирүү портторун ачуу үчүн иштетиңиз, анда жергиликтүү мастер аны Nios V процессорунун Nios V процессор системасындагы башка компоненттерге таасир этпестен баштапкы абалга келтирүү үчүн колдоно алат.
· Калыбына келтирүү интерфейси кириш resetreq сигналынан жана чыгуу сигналынан турат.
· Сиз resetreq сигналын ырастоо менен Nios V процессорунун өзөгүн баштапкы абалга келтирүүнү сурансаңыз болот.
· Resetreq сигналы процессор кабыл алуу сигналын ырастаганга чейин сакталышы керек. Сигнал ырасталган бойдон калбашы процессордун детерминисттик эмес абалда болушуна алып келиши мүмкүн.
· Debug режиминде resetreq сигналын ырастоо процессордун абалына эч кандай таасир этпейт.
· Nios V процессору кайтаруу сигналын ырастоо менен баштапкы абалга келтирүү ийгиликтүү болду деп жооп берет.
· Процессор ийгиликтүү баштапкы абалга келтирилгенден кийин, resetreq сигналынын ырастоосу жокко чыгарылганга чейин, ак сигналын ырастоо мезгил-мезгили менен бир нече жолу кайталанышы мүмкүн.

2.1.1.2.3. Тузактар, өзгөчөлүктөр жана үзгүлтүктөр өтмөгү

Таблица 8.

Тузактар, өзгөчөлүктөр жана үзгүлтүктөр өтмөгү

Тузактар, өзгөчөлүктөр жана үзгүлтүктөр өтмөгү

Description

Reset Agent

· Баштапкы абалга келтирүү коду жайгашкан эстутум (Nios V процессорунун баштапкы абалга келтирүү дареги).
· Сиз Nios V процессорунун нускама мастерине туташтырылган жана Nios V процессорунун жүктөө агымы тарабынан колдоого алынган каалаган эстутум модулун баштапкы абалга келтирүү агенти катары тандай аласыз.

Офсеттик үзгүлтүккө учуратуу режимин кайра коюу

· Тандалган баштапкы абалга келтирүү агентинин базалык дарегине карата баштапкы абалга келтирүү векторунун жылышын көрсөтөт. · Platform Designer автоматтык түрдө баштапкы абалга келтирүү үчүн демейки маанини берет.
Түздөн-түз же вектордуу үзгүлтүккө учураган контроллердин түрү. Эскертүү: Nios V/m түтүксүз процессору Vectored үзгүлтүктөрдү колдобойт.
Ошондуктан, процессор Nonpipelined режиминде болгондо Vectored үзгүлтүккө режимин колдонуудан алыс болуңуз.

Nios® V кыналган процессордук дизайн колдонмосу 14

Пикир жөнөтүү

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессорунун аппараттык тутумунун дизайны
726952 | 2025.07.16

Эскертүү:

Platform Designer абсолюттук опцияны сунуштайт, ал сизге Reset Offset ичинде абсолюттук даректи көрсөтүүгө мүмкүндүк берет. Бул параметрди баштапкы абалга келтирүү векторун сактаган эс процессор тутумунун жана подсистемаларынын сыртында жайгашканда колдонуңуз.

2.1.1.2.4. CPU архитектурасы

Таблица 9.

CPU архитектура өтмөк параметрлери

CPU архитектурасы

Description

CPUда Pipelining иштетүү

· Түтүктүү Nios V/m процессорун ишке киргизүү үчүн бул параметрди иштетиңиз. — IPC жогорку логикалык аймак жана төмөнкү Fmax жыштыгы наркы боюнча жогору.
· Түтүксүз Nios V/m процессорун ишке киргизүү үчүн бул параметрди өчүрүңүз. — Nios V/c процессоруна окшош негизги көрсөткүчтөргө ээ. — Мүчүлүштүктөрдү оңдоо жана үзгүлтүккө учуратуу мүмкүнчүлүгүн колдойт — Төмөнкү логикалык аймак жана төмөнкү IPC баасы менен жогору Fmax жыштыгы.

Avalon интерфейсин иштетүү

нускамаларды башкаруучу жана маалымат менеджери үчүн Avalon Interface иштетет. Эгер өчүрүлгөн болсо, система AXI4-Lite интерфейсин колдонот.

mhartid CSR мааниси

· Hart ID регистринин (mhartid) мааниси демейки боюнча 0. · 0 жана 4094 ортосундагы маанини дайындоо. · Altera FPGA Avalon Mutex Core HAL API менен шайкеш келет.

Тиешелүү маалымат Камтылган перифериялык IP Колдонуучу колдонмосу – Intel FPGA Avalon® Mutex Core

2.1.1.2.5. ECC Tab
Таблица 10. ECC Tab
ECC Каталарды аныктоону жана абалды билдирүүнү иштетүү

Description
· Nios V процессорунун ички RAM блокторуна ECC функциясын колдонуу үчүн бул параметрди иштетиңиз. · ECC функциялары 2 битке чейинки каталарды аныктайт жана төмөнкү жүрүм-турумдун негизинде жооп берет:
— Эгерде бул оңдолуучу ката 1-бит болсо, процессор процессордогу катаны оңдогондон кийин иштей берет. Бирок, оңдоо булак эскерүүлөрүндө чагылдырылган эмес.
— Эгерде ката оңдолбосо, процессор процессордун конвейеринде жана булак эстутумдарында аны оңдобостон иштөөнү улантат, бул процессордун аныкталбаган абалга киришине алып келиши мүмкүн.

Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 15

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессорунун аппараттык тутумунун дизайны
726952 | 2025.07.16
2.1.1.3. Instantiating Nios V/g Жалпы максаттуу процессор Altera FPGA IP
Сүрөт 6. Nios V/g Жалпы максаттуу процессор Altera FPGA IP – 1-бөлүк

7-сүрөт.

Nios V/g Жалпы максаттуу процессор Altera FPGA IP – 2-бөлүк (Негизги деңгээлди үзгүлтүккө учуратуу контроллерин иштетүү)

Nios® V кыналган процессордук дизайн колдонмосу 16

Пикир жөнөтүү

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессорунун аппараттык тутумунун дизайны
726952 | 2025.07.16

8-сүрөт.

Nios V/g жалпы максаттуу процессор Altera FPGA IP – 2-бөлүк (Негизги деңгээлди үзгүлтүккө учуратуу контроллерин иштетүү)

Сүрөт 9. Nios V/g Жалпы максаттуу процессор Altera FPGA IP – 3-бөлүк

Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 17

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессорунун аппараттык тутумунун дизайны
726952 | 2025.07.16
Сүрөт 10. Nios V/g Жалпы максаттуу процессор Altera FPGA IP – 4-бөлүк

2.1.1.3.1. CPU архитектурасы

Таблица 11. Процессордун архитектурасынын параметрлери

CPU Архитектура өтмөгү Калкыма чекит бирдигин иштетүү

Сүрөттөмө Процессордун өзөгүндө калкыма чекит бирдигин («F» кеңейтүүсү) кошуу үчүн бул параметрди иштетиңиз.

Филиалды болжолдоону иштетүү

Тармактын нускамалары үчүн статикалык бутакты болжолдоону иштетүү (Артка алынган жана алдыга алынбаган).

mhartid CSR мааниси

· Hart ID регистринин (mhartid) мааниси демейки боюнча 0. · 0 жана 4094 ортосундагы маанини дайындоо. · Altera FPGA Avalon Mutex Core HAL API менен шайкеш келет.

FPU үчүн FSQRT & FDIV нускамаларын өчүрүү

· FPUдагы калкыма чекиттүү квадрат тамыр (FSQRT) жана калкыма чекиттүү бөлүү (FDIV) операцияларын алып салуу.
· Иш учурунда эки нускама боюнча программалык камсыздоо эмуляциясын колдонуңуз.

Тиешелүү маалымат Камтылган перифериялык IP Колдонуучу колдонмосу – Intel FPGA Avalon® Mutex Core

Nios® V кыналган процессордук дизайн колдонмосу 18

Пикир жөнөтүү

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессорунун аппараттык тутумунун дизайны
726952 | 2025.07.16

2.1.1.3.2. Мүчүлүштүктөрдү оңдоо өтмөгү

Таблица 12. Мүчүлүштүктөрдү оңдоо өтмөктүн параметрлери

Мүчүлүштүктөрдү оңдоо өтмөгү

Description

Мүчүлүштүктөрдү оңдоону иштетүү
Мүчүлүштүктөрдү оңдоо модулунан баштапкы абалга келтирүүнү иштетүү

· J кошуу үчүн бул параметрди иштетиңизTAG Nios V процессоруна максаттуу туташуу модулу. · ДжTAG максаттуу туташуу модулу аркылуу Nios V процессоруна туташуу мүмкүнчүлүгүн берет
JTAG FPGA интерфейсинин пиндери. · Туташуу төмөнкү негизги мүмкүнчүлүктөрдү камсыз кылат:
— Nios V процессорун баштоо жана токтотуу — Регистрлерди жана эстутумду карап көрүңүз жана түзөтүңүз. — Nios V тиркемесин жүктөп алыңыз .elf file аркылуу иштөө учурунда процессордун эсине
niosv-жүктөө. — Nios V процессорунда иштеген тиркемени оңдоо · dm_agent портун процессордун нускамасына жана маалымат шинасына туташтырыңыз. Эки автобустун ортосундагы базанын дареги бирдей экендигин текшериңиз.
· dbg_reset_out жана ndm_reset_in портторун ачуу үчүн бул параметрди иштетиңиз. · ЖTAG мүчүлүштүктөрдү оңдоочу же niosv-download -r буйругу dbg_reset_outти иштетет, бул
Nios V процессоруна бул портко туташкан системанын перифериялык түзүлүштөрүн баштапкы абалга келтирүүгө мүмкүндүк берет. · Сиз dbg_reset_out интерфейсин баштапкы абалга келтирүүнүн ордуна ndm_reset_in менен туташтырышыңыз керек
процессордун өзөгүн жана таймер модулун баштапкы абалга келтирүү үчүн интерфейс. Белгисиз жүрүм-турумдун алдын алуу үчүн интерфейсти баштапкы абалга келтирүү үчүн dbg_reset_out интерфейсине туташтырбашыңыз керек.

2.1.1.3.3. Lockstep Таблица 13. Lockstep Tab
Параметрлер Lockstep демейки таймаут мөөнөтүн иштетүү Кеңейтилген баштапкы абалга келтирүү интерфейсин иштетүү

Сүрөттөмөсү · Эки өзөктүү Lockstep системасын иштетүү. · Калыбына келтирүүдөн чыгууда программалануучу күтүү убакытынын демейки мааниси (0 жана 255 ортосунда). · Extended Reset Control үчүн кошумча Extended Reset Interface иштетүү. · Өчүрүлгөндө, fRSmartComp Негизги баштапкы абалга келтирүү башкаруусун ишке ашырат.

2.1.1.3.4. Өтүнүчтү калыбына келтирүү өтмөгүн колдонуңуз

Таблица 14. Reset Request Tab параметрин колдонуңуз

Өтүнүчтү калыбына келтирүү өтмөгүн колдонуңуз

Description

Reset Request Interface кошуу

· Бул опцияны жергиликтүү баштапкы абалга келтирүү портторун ачуу үчүн иштетиңиз, анда жергиликтүү мастер аны Nios V процессорунун Nios V процессор системасындагы башка компоненттерге таасир этпестен баштапкы абалга келтирүү үчүн колдоно алат.
· Калыбына келтирүү интерфейси кириш resetreq сигналынан жана чыгуу сигналынан турат.
· Сиз resetreq сигналын ырастоо менен Nios V процессорунун өзөгүн баштапкы абалга келтирүүнү сурансаңыз болот.
· Resetreq сигналы процессор кабыл алуу сигналын ырастаганга чейин сакталышы керек. Сигнал ырасталган бойдон калбашы процессордун детерминисттик эмес абалда болушуна алып келиши мүмкүн.
· Debug режиминде resetreq сигналын ырастоо процессордун абалына эч кандай таасир этпейт.
· Nios V процессору кайтаруу сигналын ырастоо менен баштапкы абалга келтирүү ийгиликтүү болду деп жооп берет.
· Процессор ийгиликтүү баштапкы абалга келтирилгенден кийин, resetreq сигналынын ырастоосу жокко чыгарылганга чейин, ак сигналын ырастоо мезгил-мезгили менен бир нече жолу кайталанышы мүмкүн.

Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 19

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессорунун аппараттык тутумунун дизайны
726952 | 2025.07.16

2.1.1.3.5. Тузактар, өзгөчөлүктөр жана үзгүлтүктөр өтмөгү

Таблица 15.

Негизги деңгээлдеги үзгүлтүккө учуроо контроллерин иштетүү өчүрүлгөндө, тузактар, өзгөчөлүктөр жана үзгүлтүктөр өтмөгү

Тузактар, өзгөчөлүктөр жана үзгүлтүктөр өтмөгү
Reset Agent

Description
· Баштапкы абалга келтирүү коду жайгашкан эстутум (Nios V процессорунун баштапкы абалга келтирүү дареги).
· Сиз Nios V процессорунун нускама мастерине туташтырылган жана Nios V процессорунун жүктөө агымы тарабынан колдоого алынган каалаган эстутум модулун баштапкы абалга келтирүү агенти катары тандай аласыз.

Reset Offset

· Тандалган баштапкы абалга келтирүү агентинин базалык дарегине карата баштапкы абалга келтирүү векторунун жылышын көрсөтөт. · Platform Designer автоматтык түрдө баштапкы абалга келтирүү үчүн демейки маанини берет.

Негизги деңгээлдеги үзгүлтүк контроллерин (CLIC) иштетүү

· CLICти алдын ала үзгүлтүккө учуратууну жана конфигурациялануучу үзгүлтүккө учуроо шартын колдоо үчүн иштетиңиз.
· Иштетилгенде, сиз платформа үзгүлтүктөрүнүн санын конфигурациялай аласыз, триггер шарттарын коюп, кээ бир үзгүлтүктөрдү алдын ала эскерте аласыз.

Үзгүлтүккө учуратуу режими Shadow регистр Files

Үзгүлтүккө учураганда контекстти которууну азайтуу үчүн үзгүлтүктүн түрлөрүн Түз, же Вектордуу Иштетүү көмүскө регистр катары көрсөтүңүз.

Таблица 16.

Негизги деңгээлдеги үзгүлтүк контроллерин иштетүү күйгүзүлгөндө тузактар, өзгөчөлүктөр жана үзгүлтүктөр

Тузактар, өзгөчөлүктөр жана үзгүлтүктөр

Сүрөттөмөлөр

Reset Agent
Reset Offset
Негизги деңгээлдеги үзгүлтүк контроллерин (CLIC) иштетүү

· Баштапкы абалга келтирүү коду жайгашкан эстутум (Nios V процессорунун баштапкы абалга келтирүү дареги).
· Сиз Nios V процессорунун нускама мастерине туташтырылган жана Nios V процессорунун жүктөө агымы тарабынан колдоого алынган каалаган эстутум модулун баштапкы абалга келтирүү агенти катары тандай аласыз.
· Тандалган баштапкы абалга келтирүү агентинин базалык дарегине карата баштапкы абалга келтирүү векторунун жылышын көрсөтөт. · Platform Designer автоматтык түрдө баштапкы абалга келтирүү үчүн демейки маанини берет.
· CLICти алдын ала үзгүлтүккө учуратууну жана конфигурациялануучу үзгүлтүккө учуроо шартын колдоо үчүн иштетиңиз. · Иштетилгенде, сиз платформа үзгүлтүктөрүнүн санын конфигурациялай аласыз, триггер шарттарын орното аласыз,
жана кээ бир үзгүлтүктөрдү алдын ала белгилөө.

Үзгүлтүксүз режим

· Үзгүлтүктөрдүн түрлөрүн Түз, Вектордуу же CLIC катары көрсөтүңүз.

Shadow Register Files

· Үзгүлтүккө учураганда контекстке өтүүнү азайтуу үчүн көмүскө регистрди иштетүү.
· Эки ыкманы сунуштайт:
— CLIC үзгүлтүккө учуратуу деңгээлдеринин саны
— CLIC үзгүлтүккө учураган деңгээлдердин саны – 1: Бул параметр реестрдин санын каалаган учурда пайдалуу file M20K же M9K блоктордун так санына туура келет.
· Nios V процессорун көмүскө регистрди колдонууга иштетүү files үзгүлтүккө учураганда контекстти которуунун кошумча чыгымын азайтат.
көмүскө реестр жөнүндө көбүрөөк маалымат алуу үчүн files, Nios V Processor Reference Manual караңыз.

Платформанын үзгүлтүккө учураган булактарынын саны

· 16дан 2048ге чейинки платформа үзгүлтүкүнүн санын көрсөтөт.
Эскертүү: CLIC 2064 үзгүлтүккө чейин киргизүүнү колдойт жана биринчи 16 үзгүлтүккө киргизүү да негизги үзгүлтүк контроллерине туташтырылган.

CLIC вектордук таблицаны тегиздөө

· Платформанын үзүлүү булактарынын санына жараша автоматтык түрдө аныкталат. · Эгер сиз сунуш кылынган мааниден төмөн тегиздөөнү колдонсоңуз, CLIC логиканы жогорулатат
вектордук эсептөөлөрдү жүргүзүү үчүн кошумча суммалоочу кошуу менен татаалдык. · Эгер сиз сунуш кылынган мааниден төмөн тегиздөөнү колдонсоңуз, бул көбөйөт
CLICдеги логикалык татаалдык.
уланды…

Nios® V кыналган процессордук дизайн колдонмосу 20

Пикир жөнөтүү

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессорунун аппараттык тутумунун дизайны
726952 | 2025.07.16

Тузактар, өзгөчөлүктөр жана үзгүлтүктөр
Үзгүлтүк деңгээлдердин саны
Деңгээлдеги үзгүлтүккө артыкчылыктардын саны
Конфигурациялануучу үзгүлтүктүн полярдуулугу Чектеги үзгүлтүктөрдү колдоо

Сүрөттөмөлөр
· Колдонмо коду үчүн кошумча 0 деңгээли менен үзгүлтүккө учураган деңгээлдердин санын көрсөтөт. Жогорку деңгээлдеги үзгүлтүктөр төмөнкү деңгээлдеги үзгүлтүк үчүн иштеп жаткан иштеткичти үзгүлтүккө учуратышы мүмкүн (алдын ала).
· Үзгүлтүктөрдүн бирден-бир варианты катары нөл эмес үзгүлтүктүн деңгээли менен, колдонмо коду ар дайым эң төмөнкү деңгээлде 0 болот. Эскертүү: Үзгүлтүктүн деңгээлинин жана приоритетинин иштөө убактысынын конфигурациясы бир 8 биттик регистрде аткарылат. Эгерде үзгүлтүктүн деңгээли 256 болсо, анда иштөө учурунда үзгүлтүктүн приоритеттерин конфигурациялоо мүмкүн эмес. Болбосо, конфигурациялануучу приоритеттердин максималдуу саны 256 / (үзгүлтүктүн деңгээлинин саны – 1).
· Үзүлүү приоритеттеринин санын аныктайт, аларды CLIC алдын ала иштебеген үзгүлтүктөрдү иштетүүчүлөрдү чакыруу тартибин аныктоо үчүн колдонот. Эскертүү: Тандалган үзүлүү деңгээлинин бинардык маанилеринин жана тандалган үзгүлтүктүн артыкчылыктарынын бириктирилиши 8 биттен аз болушу керек.
· Иштөө учурунда үзгүлтүктүн полярдуулугун конфигурациялоого мүмкүндүк берет. · Демейки уюлдуулук оң полярдуулук.
· Иштөө учурунда үзгүлтүккө учуроо шартын конфигурациялоого мүмкүндүк берет, башкача айтканда, жогорку деңгээлдеги триггер же оң жээк триггери (Конфигурациялануучу үзгүлтүктүү полярдуулукта үзгүлтүктүн полярдуулугу оң болгондо).
· Демейки триггер шарты – деңгээли ишке ашырылган үзгүлтүк.

Эскертүү:

Platform Designer абсолюттук опцияны сунуштайт, ал сизге Reset Offset ичинде абсолюттук даректи көрсөтүүгө мүмкүндүк берет. Бул параметрди баштапкы абалга келтирүү векторун сактаган эс процессор тутумунун жана подсистемаларынын сыртында жайгашканда колдонуңуз.

Тиешелүү маалымат Nios® V Processor Reference Manual

2.1.1.3.6. Эстутум конфигурациялары өтмөгү

Таблица 17. Эстутум конфигурациясынын өтмөк параметрлери

Категория

Эстутум конфигурациясы өтмөгү

Description

Кэштер

Маалымат кэшинин өлчөмү

· Маалымат кэшинин өлчөмүн аныктайт. · Жарактуу өлчөмдөр 0 килобайттан (КБ) 16 КБга чейин. · Өлчөмү 0 КБ болгондо берилиштердин кэшин өчүрүңүз.

Instruction Cache Size

· Инструкция кэшинин өлчөмүн белгилейт. · Жарактуу өлчөмдөр 0 КБдан 16 КБга чейин. · Өлчөмү 0 КБ болгондо нускама кэшин өчүрүңүз.

Перифериялык А жана В аймактары

Өлчөмү

· Перифериялык аймактын өлчөмүн көрсөтөт.
· Жарактуу өлчөмдөрү 64 КБдан 2 гигабайтка (ГБ) чейин же Жок. Эч кимди тандоо перифериялык аймакты өчүрөт.

Негизги дарек

· Өлчөмдү тандагандан кийин перифериялык аймактын негизги дарегин көрсөтөт.
· Перифериялык аймактагы бардык даректер кэштетилбеген маалыматтарга кирүү мүмкүнчүлүгүн түзөт.
· Перифериялык аймактын базалык дареги перифериялык аймактын өлчөмүнө тегиз болушу керек.

Туура туташкан эскерүүлөр

Өлчөмү

· Катуу туташтырылган эс тутумдун өлчөмүн белгилейт. — Жарактуу өлчөмдөрү 0 МБдан 512 МБга чейин.

Негизги даректи инициализациялоо File

· Туура туташкан эс тутумдун негизги дарегин белгилейт. · Инициализацияны белгилейт file тыгыз байланышта эс үчүн.

Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 21

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессорунун аппараттык тутумунун дизайны
726952 | 2025.07.16

Эскертүү:

Кэш иштетилген Nios V процессор тутумунда сиз системанын перифериялык түзүлүштөрүн перифериялык аймакка жайгаштырышыңыз керек. Сиз перифериялык аймактарды UART, PIO, DMA жана башкалар сыяктуу перифериялык түзүлүштөр үчүн кэштелбеген транзакцияны аныктоо үчүн колдоно аласыз.

2.1.1.3.7. ECC Tab

Таблица 18. ECC Tab
ECC Каталарды аныктоону жана абалды билдирүүнү иштетүү
Бир биттик оңдоону иштетүү

Description
· Nios V процессорунун ички RAM блокторуна ECC функциясын колдонуу үчүн бул параметрди иштетиңиз. · ECC функциялары 2 битке чейинки каталарды аныктайт жана төмөнкү жүрүм-турумдун негизинде жооп берет:
— Эгер бул оңдолуп турган бир бит ката болсо жана Бир биттик оңдоону иштетүү өчүрүлгөн болсо, процессор процессордогу катаны оңдогондон кийин ишин улантат. Бирок, оңдоо булак эскерүүлөрүндө чагылдырылган эмес.
— Эгер бул оңдолуучу бир бит ката болсо жана Бир биттик оңдоону иштетүү күйгүзүлсө, процессор процессордун түтүгүндөгү жана булак эс тутумундагы катаны оңдогондон кийин ишин улантат.
— Эгер ал оңдолгус ката болсо, процессор өз ишин токтотот.
Негизги орнотулган эстутум блокторунда бир биттик оңдоону иштетүү.

2.1.1.3.8. Ыңгайлаштырылган нускама өтмөгү

Эскертүү:

Бул өтмөк Nios V/g процессорунун өзөгү үчүн гана жеткиликтүү.

Custom Instruction Nios V Custom Instruction Hardware Interface Table
Nios V Custom Instruction Software Макро таблицасы

Description
· Nios V процессору бул таблицаны өзүнүн жеке нускама менеджеринин интерфейстерин аныктоо үчүн колдонот.
· Белгиленген ыңгайлаштырылган нускама менеджеринин интерфейстери Opcode (CUSTOM0-3) жана 3 бит funct7[6:4] менен уникалдуу түрдө коддолгон.
· Сиз жалпы 32 жеке нускама менеджеринин интерфейстерин аныктай аласыз.
· Nios V процессору бул таблицада аныкталган ыңгайлаштырылган нускама менеджеринин интерфейстери үчүн ыңгайлаштырылган инструкциянын программалык коддоолорун аныктоо үчүн колдонулат.
· Ар бир аныкталган ыңгайлаштырылган нускама программалык камсыздоону коддоо үчүн Opcode (CUSTOM0-3) жана 3 бит funct7[6:4] коддоо Ыңгайлаштырылган нускамалардын аппараттык интерфейсинин таблицасындагы аныкталган ыңгайлаштырылган инструкцияларды башкаруучу интерфейсинин коддолушуна дал келиши керек.
· Сиз funct7[6:4], funct7[3:0] жана funct3[2:0] берилген ыңгайлаштырылган нускама үчүн кошумча коддоону аныктоо үчүн колдонсоңуз болот, же кошумча көрсөтмө аргументтери катары берилүүчү Xs катары көрсөтүлгөн.
· Nios V процессору system.h ичинде түзүлгөн C-макростору катары аныкталган ыңгайлаштырылган нускама программалык коддоолорун камсыз кылат жана R-түрү RISC-V нускама форматын аткарыңыз.
· Мнемотехника төмөнкүлөр үчүн ыңгайлаштырылган аттарды аныктоо үчүн колдонулушу мүмкүн: — system.h ичинде түзүлгөн C-макростору.
— custom_instruction_debug.xml ичинде түзүлгөн GDB мүчүлүштүктөрдү аныктоо мнемоникасы.

Тиешелүү маалымат
AN 977: Nios V процессорунун Ыңгайлаштырылган нускамасы Белгилүү бир тиркеменин муктаждыктарын канааттандыруу үчүн Nios® V процессорун ыңгайлаштырууга мүмкүндүк берген ыңгайлаштырылган нускамалар жөнүндө көбүрөөк маалымат алуу үчүн.

Nios® V кыналган процессордук дизайн колдонмосу 22

Пикир жөнөтүү

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессордук аппараттык тутумунун дизайны 726952 | 2025.07.16
2.1.2. Системанын компоненттеринин дизайнын аныктоо
Nios V процессор тутумунун аппараттык мүнөздөмөлөрүн аныктоо жана керектүү компоненттерди кошуу үчүн Platform Designerди колдонуңуз. Төмөнкү диаграмма төмөнкү компоненттери менен негизги Nios V процессор системасынын дизайнын көрсөтөт: · Nios V процессорунун өзөгү · Чиптик эстутум · JTAG UART · Интервал таймери (милдеттүү эмес)(1)
Platform Designer тутумуна жаңы чиптик эстутум кошулганда, кошумча эстутумдун компоненттерин баштапкы абалга келтирүүдө чагылдыруу үчүн Синхрондоштуруу тутумунун маалыматын аткарыңыз. Же болбосо, сиз акыркы курамдык өзгөрүүлөрдү автоматтык түрдө чагылдыруу үчүн Платформа Дизайнеринде Авто синхрондоштурууну иштетсеңиз болот
Сүрөт 11. МисampNios V процессорунун Platform Designerдеги башка перифериялык түзүлүштөр менен байланышы

(1) Сизде Nios V Internal Timer функцияларын Platform Designer ичиндеги тышкы интервал таймеринин ордуна колдонуу мүмкүнчүлүгү бар.

Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 23

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессорунун аппараттык тутумунун дизайны
726952 | 2025.07.16
Платформа Дизайнер тутумуңузда өткөргүч катары экспорттоо үчүн операция төөнөгүчтөрүн да аныкташыңыз керек. Мисалы үчүнample, туура FPGA тутумунун иштөө пин тизмеси төмөндөгүдөй аныкталат, бирок алар менен чектелбейт:
· Саат
· Калыбына келтирүү
· Киргизүү/чыгаруу сигналдары
2.1.3. Базалык даректерди көрсөтүү жана үзгүлтүккө учуратуу өтүнүчүнүн артыкчылыктары
Дизайнга кошулган компоненттердин системаны түзүү үчүн өз ара аракеттенүүсүн көрсөтүү үчүн, ар бир агент компоненти үчүн базалык даректерди дайындоо жана J үчүн үзгүлтүккө учуратуу өтүнүчүн (IRQ) артыкчылыктарын дайындоо керек.TAG UART жана интервал таймери. Платформанын Дизайнери буйрукту камсыз кылат – Негизги даректерди дайындоо – ал автоматтык түрдө системанын бардык компоненттерине тийиштүү базалык даректерди дайындайт. Бирок, сиз муктаждыктарыңызга жараша базалык даректерди тууралай аласыз.
Төмөндө базалык даректерди ыйгаруу боюнча айрым көрсөтмөлөр келтирилген:
· Nios V процессорунун өзөгү 32 бит дарек аралыгына ээ. Агент компоненттерине кирүү үчүн, алардын негизги дареги 0x00000000 жана 0xFFFFFFFF ортосунда болушу керек.
· Nios V программалары даректерге кайрылуу үчүн символикалык константаларды колдонушат. Сиз эстеп калуу оңой болгон дарек маанилерин тандоонун кереги жок.
· Компоненттерди бир биттик дарек айырмасы менен айырмалаган дарек маанилери натыйжалуураак жабдыктарды чыгарат. Бардык базалык даректерди мүмкүн болушунча эң кичине дарек диапазонуна жыйыштыруунун кажети жок, анткени компакттоо азыраак эффективдүү жабдыктарды түзүшү мүмкүн.
· Platform Designer эстутумдун өзүнчө компоненттерин жанаша эс тутум диапазонунда тегиздөө аракетин кылбайт. Мисалы үчүнampЭгерде сиз бир катар эстутум диапазону катары даректелген бир нече On-Chip Memory компоненттерин кааласаңыз, негизги даректерди так ыйгарышыңыз керек.
Platform Designer ошондой эле автоматташтыруу буйругун камсыз кылат – Аппараттык камсыздоонун жарактуу натыйжаларын чыгаруу үчүн IRQ сигналдарын туташтырган үзгүлтүккө учуратуу номерлерин дайындоо. Бирок, IRQs натыйжалуу дайындоо жалпы системанын жооп жүрүм-турумун түшүнүүнү талап кылат. Platform Designer мыкты IRQ тапшырмасы жөнүндө билимдүү божомолдорду жасай албайт.
Эң төмөнкү IRQ мааниси эң жогорку артыкчылыкка ээ. Идеалдуу системада Altera таймердин компонентине системалык сааттын белгисинин тактыгын сактоо үчүн эң жогорку артыкчылыктуу IRQ, башкача айтканда, эң төмөнкү мааниге ээ болушун сунуштайт.
Кээ бир учурларда, сиз таймер компоненттерине караганда көбүрөөк үзгүлтүккө учуроо ылдамдыгын талап кылган реалдуу убакыт перифериялык түзүлүштөрүнө (мисалы, видео контроллерлор) көбүрөөк артыкчылык бере аласыз.
Тиешелүү маалымат
Quartus Prime Pro Edition Колдонуучу колдонмосу: Платформа дизайнери менен системаны түзүү жөнүндө көбүрөөк маалымат.

Nios® V кыналган процессордук дизайн колдонмосу 24

Пикир жөнөтүү

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессордук аппараттык тутумунун дизайны 726952 | 2025.07.16
2.2. Platform Designer системасын Quartus Prime долбооруна интеграциялоо
Platform Designerде Nios V тутумунун дизайнын жараткандан кийин, Nios V тутум модулун Quartus Prime FPGA дизайн долбооруна интеграциялоо үчүн төмөнкү тапшырмаларды аткарыңыз. · Quartus Prime долбоорунда Nios V тутум модулун ишке киргизиңиз · Nios V тутум модулунун сигналдарын FPGA логикасындагы башка сигналдарга туташтырыңыз · Физикалык пиндердин жайгашкан жерин дайындыңыз · FPGA дизайнын чектөө
2.2.1. Quartus Prime долбоорунда Nios V процессор тутумунун модулун ишке киргизүү
Платформа Дизайнери сиз Quartus Primeде түзө турган тутум модулунун дизайн объектисин жаратат. Тутум модулун кантип түзө турганыңыз жалпы Quartus Prime долбоорунун дизайн киргизүү ыкмасына жараша болот. Мисалы үчүнampЭгерде сиз дизайнга кирүү үчүн Verilog HDLди колдонуп жатсаңыз, Verilog негизиндеги система модулун ишке киргизиңиз. Эгерде сиз дизайнга кирүү үчүн блок-схема ыкмасын колдонууну кааласаңыз, .bdf тутум модулунун символун түзүңүз file.
2.2.2. Сигналдарды туташтыруу жана Физикалык Pin жайгашкан жерлерди дайындоо
Altera FPGA дизайныңызды такта деңгээлиндеги дизайнга туташтыруу үчүн төмөнкү тапшырмаларды аткарыңыз: · Жогорку деңгээлдеги дизайнды аныктаңыз file Сиздин дизайн жана тышкы Altera туташуу үчүн сигналдар үчүн
FPGA түзмөк пиндери. · Башкача деңгээлиндеги дизайн колдонуучу колдонмосу аркылуу кайсы төөнөгүчтөрдү туташтырууну түшүнүңүз же
схемалар. · Сиздин Altera FPGA түзмөгүңүздөгү пин менен портторго жогорку деңгээлдеги дизайндагы сигналдарды дайындаңыз
дайындоо куралдары.
Сиздин Platform Designer системасы жогорку деңгээлдеги дизайн болушу мүмкүн. Бирок, Altera FPGA сиздин муктаждыктарыңызга жараша кошумча логиканы да камтышы мүмкүн жана ошону менен ыңгайлаштырылган жогорку деңгээлди киргизет. file. Жогорку деңгээлдеги file Nios V процессор системасынын модулунун сигналдарын башка Altera FPGA дизайн логикасына туташтырат.
Тектеш маалымат Quartus Prime Pro Edition Колдонуучунун колдонмосу: Дизайн чектөөлөрү
2.2.3. Altera FPGA дизайнын чектөө
Туура Altera FPGA тутумунун дизайны дизайндын жабылышын жана башка логикалык чектөөлөрдүн талаптарына жооп беришин камсыз кылуу үчүн дизайн чектөөлөрүн камтыйт. Сиз Altera FPGA дизайныңызды Quartus Prime программалык камсыздоосунда же үчүнчү тараптын EDA провайдерлеринде берилген куралдарды колдонуп, бул талаптарга жооп бериши үчүн чектешиңиз керек. Quartus Prime программасы оптималдуу жайгаштыруу натыйжаларын алуу үчүн компиляция баскычында берилген чектөөлөрдү колдонот.

Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 25

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессорунун аппараттык тутумунун дизайны
726952 | 2025.07.16
Тиешелүү маалымат · Quartus Prime Pro Edition Колдонуучунун колдонмосу: Дизайн чектөөлөрү · Үчүнчү тараптын EDA өнөктөштөрү · Quartus Prime Pro Edition Колдонуучунун колдонмосу: Убакыт анализатору
2.3. Nios V процессордук эс тутумун долбоорлоо
Бул бөлүм Nios V процессору менен Платформа Дизайнеринин орнотулган тутумунда эс тутум түзүлүштөрүн тандоо жана оптималдуу аткарууга жетишүү боюнча мыкты тажрыйбаларды сүрөттөйт. Эстутум түзмөктөрү орнотулган системанын жалпы иштешин жакшыртууда маанилүү ролду ойнойт. Камтылган тутумдук эстутум программанын көрсөтмөлөрүн жана маалыматтарды сактайт.
2.3.1. Учуучу эс тутум
Эс тутум түрүндөгү негизги айырмачылык туруксуздук болуп саналат. Учма эстутум анын мазмунун эстутум түзмөгүнө кубат берип жатканда гана сактайт. Күчтү алып салсаңыз, эс тутум мазмунун жоготот.
Exampтуруксуз эстутумдар RAM, кэш жана регистрлер болуп саналат. Бул иштетүү натыйжалуулугун жогорулатат тез эс түрлөрү болуп саналат. Altera сизге Nios V процессорунун нускамаларын оперативдик эс тутумга жүктөөнү жана аткарууну сунуштайт жана оптималдуу иштеши үчүн Nios V IP өзөгүн On-Chip Memory IP же External Memory Interface IP менен жуптаңыз.
Иштин майнаптуулугун жогорулатуу үчүн Nios V процессорунун маалымат башкаргычынын интерфейсинин түрүн же туурасын жүктөө RAM менен шайкеш келтирүү менен кошумча Platform Designer адаптация компоненттерин жок кыла аласыз. Мисалы үчүнample, сиз On-Chip Memory IIди 32-бит AXI-4 интерфейси менен конфигурациялай аласыз, ал Nios V маалымат менеджеринин интерфейсине дал келет.
Тиешелүү маалымат · Тышкы эстутум интерфейстери IP Колдоо борбору · Чиптеги эс тутуму (RAM же ROM) Altera FPGA IP · Чиптик эс тутуму II (RAM же ROM) Altera FPGA IP · Nios V процессордук тиркемесин OCRAMдан өз ордунда аткаруу 54-бетте
2.3.1.1. Чипте эс тутумдун конфигурациясы RAM же ROM
Сиз Altera FPGA On-Chip Memory IP даректерин RAM же ROM катары конфигурациялай аласыз. · RAM окуу жана жазуу мүмкүнчүлүгүн камсыз кылат жана туруксуз мүнөзгө ээ. Эгер сен
Nios V процессорун On-chip оперативдик эс тутумунан жүктөөдө, сиз жүктөө мазмуну сакталып калганын жана иштөө учурунда баштапкы абалга келтирилген учурда бузулбаганын текшеришиңиз керек. · Эгерде Nios V процессору ROMден жүктөлүп жатса, Nios V процессорундагы кандайдыр бир программалык камсыздоо мүчүлүштүктөрү Чиптик эс тутумдун мазмунун жаңылыш түрдө кайра жаза албайт. Ошентип, жүктөө программасынын бузулуу коркунучун азайтат.
Тиешелүү маалымат · Чиптеги эс тутуму (RAM же ROM) Altera FPGA IP · Чиптик эстутум II (RAM же ROM) Altera FPGA IP · Nios V процессордук тиркемесин OCRAMдан өз ордунда аткаруу 54-бетте

Nios® V кыналган процессордук дизайн колдонмосу 26

Пикир жөнөтүү

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессордук аппараттык тутумунун дизайны 726952 | 2025.07.16
2.3.1.2. Кэштер
Чиптеги эс тутумдар, адатта, кечигүү убактысы аз болгондуктан, кэш функцияларын ишке ашыруу үчүн колдонулат. Nios V процессору инструкция жана маалымат кэштери үчүн чиптик эстутумду колдонот. Чиптеги эс тутумдун чектелген сыйымдуулугу, адатта, кэштер үчүн көйгөй эмес, анткени алар адатта кичинекей.
Кэштер көбүнчө төмөнкү шарттарда колдонулат:
· Регулярдуу эстутум чиптен сырткары жайгашкан жана чиптеги эстутумга караганда көбүрөөк мүмкүнчүлүккө ээ.
· Программалык коддун аткаруу үчүн критикалык бөлүмдөрү нускама кэшине туура келиши мүмкүн, бул системанын иштешин жакшыртат.
· Берилиштердин аткаруу-критикалык, эң көп колдонулган бөлүмү маалымат кэшине батып, системанын иштешин жакшыртат.
Nios V процессорунда кэштерди иштетүү эстутум иерархиясын түзөт, ал эстутумга кирүү убактысын азайтат.
2.3.1.2.1. Перифериялык аймак
UART, I2C жана SPI сыяктуу эч кандай камтылган перифериялык IP кэште болбошу керек. Кэш узак жетүү убакыты таасир эткен тышкы эс тутумдар үчүн абдан сунушталат, ал эми ички чиптеги эстутумдар кирүү убактысынын кыскалыгынан улам алынып салынышы мүмкүн. Сиз эстутумдардан тышкары, UART, I2C жана SPI сыяктуу эч кандай камтылган перифериялык IP'дерди кэштебешиңиз керек. Бул маанилүү, анткени тышкы түзмөктөрдөгү окуялар, мисалы, жумшак IPдерди жаңырткан агент түзмөктөр процессордун кэши тарабынан тартылбайт, өз кезегинде процессор тарабынан кабыл алынбайт. Натыйжада, бул окуялар кэшти тазаламайынча байкалбай калышы мүмкүн, бул сиздин тутумуңузда күтүүсүз жүрүм-турумга алып келиши мүмкүн. Кыскача айтканда, камтылган перифериялык IP'лердин эстутум картасына түшүрүлгөн аймак кэштетилбейт жана процессордун перифериялык аймактарында болушу керек.
Перифериялык аймакты орнотуу үчүн, төмөнкү кадамдарды аткарыңыз:
1. Платформа дизайнеринде системанын дарек картасын ачыңыз.
2. Процессордун Instruction Manager жана Data Manager дарек картасына өтүңүз.
3. Системаңыздагы перифериялык түзүлүштөрдү жана эс тутумдарды аныктаңыз.
Сүрөт 12. МисampДарек картасы

Эскертүү: көк жебелер эскерүүлөрдү көрсөтүп турат. 4. Перифериялык түзүлүштөрдү топторго бөлүңүз:
а. кэш катары эс б. Перифериялык түзүлүштөр кэштетилбейт

Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 27

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессорунун аппараттык тутумунун дизайны
726952 | 2025.07.16

19-таблица. Кэштелуучу жана кэштелбеген аймак

Багынуучу

Дарек картасы

Статус

Перифериялык аймак

Өлчөмү

Негизги дарек

user_application_mem.s1

0x0 ~ 0x3ffff

Кэштелет

Жок

Жок

cpu.dm_agent bootcopier_rom.s1

0x40000 ~ 0x4ffff 0x50000 ~ 0x517ff

Uncacheable Cacheable

65536 байт N/A

0x40000 N/A

bootcopier_ram.s1 cpu.timer_sw_agent mailbox.avmm

0x52000 ~ 0x537ff 0x54000 ~ 0x5403f 0x54040 ~ 0x5407f

Cacheable Uncacheable Uncacheable

144 байт (мин өлчөмү 65536 байт)

0x54000

sysid_qsys_0.control_slave

0x54080 ~ 0x54087

Uncacheable

uart.avalon_jtag_кул

0x54088 ~ 0x5408f

Uncacheable

5. Перифериялык аймактарды алардын белгилүү өлчөмдөрүнө тууралаңыз:
· Мисалыample, өлчөмү 65536 байт болсо, ал 0x10000 байтка туура келет. Ошондуктан, уруксат берилген базалык дарек 0x10000 эселенген болушу керек.
· CPU.dm_agent 0x40000 эселенген 0x10000 негизги дарегин колдонот. Натыйжада, 65536 байт өлчөмү жана 0x40000 базалык дареги менен Перифериялык А аймагы талаптарга жооп берет.
· 0x54000 боюнча кэштештирилбеген аймактардын коллекциясынын базалык дареги 0x10000 эселенген эмес. Аларды 0x60000 же башка 0x10000 эселенген өлчөмүнө кайра дайындашыңыз керек. Ошентип, 65536 байт өлчөмү жана 0x60000 базалык дареги бар Перифериялык В аймагы критерийлерге жооп берет.

Таблица 20. Кайта дайындоо менен кэштелуучу жана кэштелбеген аймак

Багынуучу

Дарек картасы

Статус

Перифериялык аймак

Өлчөмү

Негизги дарек

user_application_mem.s1

0x0 ~ 0x3ffff

Кэштелет

Жок

Жок

cpu.dm_agent

0x40000 ~ 0x4ffff

Кэштебей турган 65536 байт

0x40000

bootcopier_rom.s1

0x50000 ~ 0x517ff

Кэштелет

Жок

Жок

bootcopier_ram.s1 cpu.timer_sw_agent mailbox.avmm sysid_qsys_0.control_slave

0x52000 ~ 0x537ff 0x60000 ~ 0x6003f 0x60040 ~ 0x6007f 0x60080 ~ 0x60087

Кэштелуучу Кэштетилбейт Кэштетилбейт

144 байт (мин өлчөмү 65536 байт)

0x60000

uart.avalon_jtag_кул

0x60088 ~ 0x6008f

Uncacheable

2.3.1.3. Тыгыз бириктирилген эс тутум
Тыгыз бириктирилген эс тутумдар (TCM) чиптик эстутумдун жардамы менен ишке ашырылат, анткени алардын аз күтүү мөөнөтү аларды тапшырмага ылайыктуу кылат. МТБлар типтүү дарек мейкиндигинде картага түшүрүлгөн эс тутумдар, бирок микропроцессорго арналган интерфейси бар жана кэш эстутумунун жогорку өндүрүмдүүлүктүү, аз күтүү касиеттерине ээ. TCM ошондой эле тышкы хост үчүн баш ийген интерфейсти камсыз кылат. Процессордун жана тышкы хосттун TCMди башкарууга бирдей уруксат деңгээли бар.

Nios® V кыналган процессордук дизайн колдонмосу 28

Пикир жөнөтүү

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессорунун аппараттык тутумунун дизайны
726952 | 2025.07.16

Эскертүү:

TCM баш ийген порт тышкы хостко туташтырылганда, ал процессордун өзөгүндө дайындалган базалык даректен башка негизги дарек менен көрсөтүлүшү мүмкүн. Altera эки даректи бирдей мааниге тегиздетүүнү сунуштайт.

2.3.1.4. Тышкы эстутум интерфейси (EMIF)
EMIF (Тышкы эстутум интерфейси) SRAM (Статикалык туш келди мүмкүндүк алуу эс тутуму) сыяктуу иштейт, бирок ал динамикалуу жана анын мазмунун сактоо үчүн мезгил-мезгили менен жаңыртууну талап кылат. EMIFдеги динамикалык эс тутум клеткалары SRAMдагы статикалык эс тутум клеткаларына караганда бир топ кичине, бул жогорку сыйымдуулукка жана арзаныраак эс тутум түзүлүшүнө алып келет.
Жаңылоо талабынан тышкары, EMIFтин атайын интерфейс талаптары бар, алар көбүнчө контроллердин адистештирилген жабдыктарын талап кылат. Дарек сызыктарынын туруктуу топтому бар SRAMдан айырмаланып, EMIF өзүнүн эс мейкиндигин банктарга, саптарга жана мамычаларга уюштурат. Банктар менен катарлардын ортосунда которуштуруу бир аз кошумча чыгымдарды алып келет, андыктан EMIFти эффективдүү колдонуу үчүн эстутумга кирүүгө кылдат буйрук беришиңиз керек. EMIF ошондой эле бир эле дарек саптары боюнча сап жана мамыча даректерин мультиплекстештирип, берилген EMIF өлчөмү үчүн талап кылынган төөнөгүчтөрдүн санын азайтат.
DDR, DDR2, DDR3, DDR4 жана DDR5 сыяктуу EMIFтин жогорку ылдамдыктагы версиялары ПХБ дизайнерлери эске алышы керек болгон сигналдын бүтүндүгүнө катуу талаптарды коюшат.
EMIF түзмөктөрү эң үнөмдүү жана жогорку сыйымдуулуктагы оперативдүү эс тутум түрлөрүнүн арасында орун алып, аларды популярдуу вариантка айландырат. EMIF интерфейсинин негизги компоненти EMIF IP болуп саналат, ал даректерди мультиплекстөө, жаңыртуу жана катарлар менен банктардын ортосунда которулуу менен байланышкан тапшырмаларды башкарат. Бул дизайн системанын калган бөлүгүнө анын ички архитектурасын түшүнбөстөн EMIFке кирүү мүмкүнчүлүгүн берет.

Тиешелүү маалымат Тышкы эстутум интерфейстери IP колдоо борбору

2.3.1.4.1. Дарек Span Extender IP
Address Span Extender Altera FPGA IP эстутумда карталанган хост интерфейстерине дарек сигналдарынын туурасынан чоңураак же кичине дарек картасына кирүүгө мүмкүндүк берет. Дарек мейкиндигин кеңейтүүчү IP даректүү мейкиндикти бир нече өзүнчө терезелерге бөлөт, андыктан хост терезе аркылуу эстутумдун тиешелүү бөлүгүнө кире алат.
Address Span Extender хосттун жана агенттин кеңдигин 32 биттик жана 64 биттик конфигурация менен чектебейт. Сиз 1-64 бит дарек терезелери менен дарек кеңейгичти колдоно аласыз.

Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 29

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессорунун аппараттык тутумунун дизайны
726952 | 2025.07.16

Сүрөт 13. Address Span Extender Altera FPGA IP
Агенттин Word дареги

Address Span Extender

A

Карталоо таблицасы
Башкаруу порту А

Контролдук реестр 0 Контролдук реестр Z-1

Кеңейтилген хост дареги H

Тиешелүү маалымат
Quartus® Prime Pro Edition Колдонуучунун колдонмосу: Платформанын дизайнери Көбүрөөк маалымат алуу үчүн дарек аралыгын кеңейтүүчү Intel® FPGA IP темасын караңыз.

2.3.1.4.2. Nios V процессору менен Address Span Extender IP колдонуу
32 биттик Nios V процессору 4 ГБ даректин аралыгын чече алат. Эгерде EMIF 4 ГБ ашык эстутумду камтыса, ал максималдуу колдоого алынган дарек аралыгынан ашып, Platform Designer тутумун ката катары көрсөтөт. Бир EMIF дарек мейкиндигин бир нече кичинекей терезелерге бөлүү аркылуу бул маселени чечүү үчүн дарек мейкиндигин кеңейтүүчү IP талап кылынат.
Altera төмөнкү параметрлерди карап чыгууну сунуштайт.

Таблица 21. Дарек аралыгын кеңейтүү параметрлери

Параметр

Сунушталган жөндөөлөр

Datapath Width
Кеңейтилген Мастер Байт дареги

32 биттик процессорго кореляцияланган 32 битти тандаңыз. EMIF эс тутумунун өлчөмүнө жараша болот.

Slave Word Address Width Burstcount Width

2 ГБ же андан азыраак тандаңыз. Nios V процессорунун калган дарек аралыгы башка камтылган жумшак IP үчүн сакталган.
Ишти жакшыртуу үчүн 1ден баштап, акырындык менен бул маанини жогорулатыңыз.

Кошумча терезелердин саны

EMIFти Nios V процессоруна нускама жана маалымат эстутуму катары же экөө тең туташтырсаңыз, 1 суб-терезени тандаңыз. Nios V процессору EMIFтен иштеп жатканда бир нече суб-терезелер арасында которулуу кооптуу.

Кул башкаруу портун иштетүү

EMIFти Nios V процессоруна нускама жана/же маалымат эстутуму катары туташтырсаңыз, кул башкаруу портун өчүрүңүз. Кошумча терезелердин саны сыяктуу эле тынчсыздануулар.

Максималдуу күтүүдөгү окуулар

Ишти жакшыртуу үчүн 1ден баштап, акырындык менен бул маанини жогорулатыңыз.

Nios® V кыналган процессордук дизайн колдонмосу 30

Пикир жөнөтүү

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессордук аппараттык тутумунун дизайны 726952 | 2025.07.16
14-сүрөт. Инструкцияны жана маалымат менеджерин Address Span Extender менен туташтыруу

Сүрөт 15. Дарек картасы

Address Span Extender EMIFтин 8 ГБ эстутум мейкиндигине кире аларына көңүл буруңуз. Бирок, Address Span Extender аркылуу Nios V процессору EMIFтин биринчи 1 ГБ эстутум мейкиндигине гана кире алат.

16-сүрөт. Жөнөкөйлөштүрүлгөн блок схема

Platform Designer системасы

3 ГБ калды

Nios V процессорунун дареги

span камтылган үчүн

NNioios sVV PProrocecsesosor r
M

бир эле системадагы жумшак IP.
1 ГБ терезе

Дарек аралыгы

S

Extender

M

Биринчи 1 ГБ гана

EMIF эс тутуму Nios V менен туташтырылган

EMIF

процессор.

8 ГБ
S

Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 31

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессорунун аппараттык тутумунун дизайны
726952 | 2025.07.16
2.3.1.4.3. Дарек аралыгын кеңейтүүнү байланыштыргыч эстутум түзмөгүн аныктоо 1. Дарек аралыгын кеңейтүүнү (EMIF) баштапкы абалга келтирүү вектору катары аныктаңыз. Же болбосо, Nios V процессорунун баштапкы абалга келтирүү векторун OCRAM же флеш түзмөктөр сыяктуу башка эс тутумдарга дайындай аласыз.
17-сүрөт. Векторду калыбына келтирүү катары бир нече варианттар
Бирок, Board Support Package (BSP) Editor автоматтык түрдө Address Span Extender (EMIF) жарактуу эс катары каттай албайт. Жасаган тандооңузга жараша, төмөнкү сүрөттөрдө көрсөтүлгөндөй эки башка жагдайды көрөсүз. 18-сүрөт. Дарек аралыгын кеңейтүүчүнү (EMIF) Векторду калыбына келтирүү катары аныктоодо BSP катасы

Nios® V кыналган процессордук дизайн колдонмосу 32

Пикир жөнөтүү

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессордук аппараттык тутумунун дизайны 726952 | 2025.07.16
19-сүрөт. Башка эс тутумдарды баштапкы абалга келтирүү вектору катары аныктоодо EMIF жок

2. BSP Linker Скрипт өтмөгүндө Эстутум аппаратын кошуу, Шилтемечтин эстутум аймагын кошуу жана Шилтемечи бөлүмдүн салыштырмаларын кошуу аркылуу дарек аралыгын кеңейтүүнү (EMIF) кол менен кошушуңуз керек.
3. Бул кадамдарды аткарыңыз:
а. Эстутум картасын колдонуу менен дарек аралыгын кеңейтүүчүнүн дарек аралыгын аныктаңыз (мурдагыampтөмөнкү сүрөттө le 0x0 0x3fff_ffff чейин Address Span Extender диапазонун колдонот.
20-сүрөт. Эстутум картасы

б. Add Memory Device чыкылдатыңыз жана дизайныңыздын Эстутум картасындагы маалыматтын негизинде толтуруңуз: i. Түзмөктүн аты: emif_ddr4. Эскертүү: Эстутум картасынан ошол эле аталышты көчүрүңүз. ii. Базалык дарек: 0x0 iii. Өлчөмү: 0x40000000
в. Жаңы байланыштыргыч эстутум аймагын кошуу үчүн Кошууну басыңыз:

Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 33

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессорунун аппараттык тутумунун дизайны
726952 | 2025.07.16

Таблица 22. Linker Memory аймагын кошуу

Кадамдар

Векторду калыбына келтирүү

emif_ddr4

Башка эскерүүлөр

1

Reset деп аталган жаңы Linker Memory аймагын кошуңуз. үчүн жаңы Linker эстутум аймагын кошуу

· Аймактын аталышы: баштапкы абалга келтирүү

emif_ddr4.

· Аймактын өлчөмү: 0x20

· Аймактын аталышы: emif_ddr4

· Эс тутум түзмөгү: emif_ddr4

· Аймактын өлчөмү: 0x40000000

· Memory Offset: 0x0

· Эс тутум түзмөгү: emif_ddr4

· Memory Offset: 0x0

2

үчүн жаңы Linker эстутум аймагын кошуу

калган emif_ddr4.

· Аймактын аталышы: emif_ddr4

· Аймактын өлчөмү: 0x3fffffe0

· Эс тутум түзмөгү: emif_ddr4

· Memory Offset: 0x20

21-сүрөт. Дарек мейкиндигин кеңейтүүчүнү (EMIF) кайра орнотуу вектору катары аныктоодо байланыштыргыч аймак

22-сүрөт. Башка эс тутумдарды баштапкы абалга келтирүү вектору катары аныктоодо байланыштыргыч аймак
г. emif_ddr4 BSPге кошулгандан кийин, сиз аны каалаган Linker бөлүмү үчүн тандай аласыз.
23-сүрөт. Дарек аралыгын кеңейтүүчү (EMIF) ийгиликтүү кошулду

д. Эс тутум түзмөгү emif_ddr4 SOPC дизайнында көрүнбөйт деген эскертүүгө көңүл бурбаңыз.
f. BSP түзүү үчүн улантыңыз.
Тиешелүү маалымат Nios V процессорунун жүктөө ыкмаларына киришүү 51-бетте

Nios® V кыналган процессордук дизайн колдонмосу 34

Пикир жөнөтүү

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессордук аппараттык тутумунун дизайны 726952 | 2025.07.16
2.3.2. Туруктуу эс тутум
Туруктуу эстутум кубат өчкөндө өзүнүн мазмунун сактап калат, бул системанын кубаттуулук циклинен кийин тутум алуу керек болгон маалыматты сактоо үчүн жакшы тандоо. Туруктуу эс тутум адатта процессордун жүктөө кодун, туруктуу тиркеме орнотууларын жана Altera FPGA конфигурациясынын маалыматтарын сактайт. Туруктуу эмес эс-тутумдун артыкчылыктары бар даtagКубатты өчүргөндө анын берилиштерин сактап калуу, ал туруксуз эстутумга салыштырмалуу бир топ жайыраак жана көбүнчө жазуу жана өчүрүү процедуралары татаалыраак. Туруктуу эстутум да, адатта, бир нече жолу өчүрүлүүгө кепилдик берилет, андан кийин ал иштебей калышы мүмкүн.
Exampтуруксуз эс тутумуна флэштин бардык түрлөрү, EPROM жана EEPROM кирет. Altera сизге Altera FPGA бит агымдарын жана Nios V программасынын сүрөттөрүн туруксуз эстутумда сактоону жана Nios V процессорлору үчүн жүктөөчү түзүлүш катары сериялык флешти колдонууну сунуштайт.
Тиешелүү маалымат
· Жалпы сериялык Flash Interface Altera FPGA IP Колдонуучу колдонмосу
· Почта кутусунун Client Altera FPGA IP Колдонуучу колдонмосу · MAX® 10 Колдонуучу Flash Memory Колдонуучунун колдонмосу: On-Chip Flash Altera FPGA IP Core
2.4. Сааттар жана мыкты тажрыйбаларды баштапкы абалга келтирүү
Nios V процессорунун сааты жана баштапкы абалга келтирүү домени ал туташкан ар бир перифериялык түзүлүш менен кантип иштешерин түшүнүү маанилүү. Жөнөкөй Nios V процессор системасы бир сааттык доменден башталат жана тез саат домени жай саат домени менен кагылышканда, ал көп сааттык домен системасы менен татаалдашы мүмкүн. Сиз көңүл буруп, бул ар кандай домендердин ырааттуулугун калыбына келтирүүдөн кантип чыгып жатканын түшүнүп, эч кандай тымызын көйгөйлөр жок экенин текшеришиңиз керек.
Мыкты тажрыйба үчүн, Altera Nios V процессорун жана жүктөө эс тутумун бир саат доменине коюуну сунуштайт. Nios V процессору өтө жай саат доменинде жайгашкан эс тутумдан жүктөлгөндө, тез саат доменинде баштапкы абалга келтирүүдөн бошотпоңуз, бул нускаманы алуу катасын алып келиши мүмкүн. Сиз Platform Designer демейки боюнча камсыз кылгандан тышкары бир нече кол ырааттуулугун талап кылышыңыз мүмкүн жана колдонуу жагдайыңызга жараша релиз топологиясын баштапкы абалга келтирүүнү пландаштырыңыз. Эгерде сиз тутумуңузду ал келип, бир аз убакытка иштегенден кийин баштапкы абалга келтиргиңиз келсе, системаны баштапкы абалга келтирүү ырааттуулугуна жана баштапкы абалга келтирүүдөн кийинки инициалдаштыруу талабына карата да ошол эле ойлорду колдонуңуз.
2.4.1. Система JTAG Саат
Ар бир Nios V процессор системасында саат чектөөлөрүн көрсөтүү маанилүү системанын дизайнын кароо жана туура жана детерминисттик жүрүм-турум үчүн талап кылынат. Quartus Prime Timing Analyzer тармактык стандарттуу чектөөлөрдү, талдоолорду жана отчеттуулук методологиясын колдонуу менен дизайныңыздагы бардык логиканын убакыттын аткарылышын текшерүү үчүн статикалык убакыт анализин жүргүзөт.
Example 1. 100/50 кызмат цикли жана 50 МГц J менен негизги 16 МГц саатTAG Саат
#**************************************************************** # 100MHz саатын түзүү #**************************************************************** create_clock -name {clk} -период 10 [get_ports {clk}] #************************ 16MHz J түзүүTAG Саат #************************

Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 35

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессорунун аппараттык тутумунун дизайны
726952 | 2025.07.16
create_clock -name {altera_reserved_tck} -period 62.500 [get_ports {altera_reserved_tck}] set_clock_groups -asynchronous -group [get_clocks {altera_reserved_tck}] Окшош маалымат Quartus Prime Timing Analyzer Cookbook
2.4.2. Сурам интерфейсин баштапкы абалга келтирүү
Nios V процессору кошумча калыбына келтирүү өтүнүчүн камтыйт. Калыбына келтирүү өтүнүчү каражаты reset_req жана reset_req_ack сигналдарынан турат.
Platform Designer'де баштапкы абалга келтирүү өтүнүчүн иштетүү үчүн: 1. Nios V Processor IP Parameter Editor программасын ишке киргизиңиз. 2. Калыбына келтирүү өтүнүчүн колдонуу жөндөөсүндө, Кайра орнотуу өтүнүчүн кошуу интерфейсин күйгүзүңүз
параметр.
24-сүрөт. Nios V Processor Reset Request'ти иштетүү
reset_req сигналы үзгүлтүккө окшоп иштейт. Сиз reset_req ырастаганда, сиз өзөккө кайра коюуну суранып жатасыз. Негизги автобус операциясынын аягына чыгышын күтөт. Мисалы үчүнampле, күтүүдө эстутум жетүү бүтүм бар болсо, негизги толук жооп күтөт. Ошо сыяктуу эле, өзөк күтүлүп жаткан бардык нускама жообун кабыл алат, бирок reset_req сигналын алгандан кийин нускама суроо-талапты бербейт.
Калыбына келтирүү операциясы төмөнкү агымдан турат: 1. Күтүүдөгү бардык операцияларды бүтүрүңүз 2. Ички түтүктү жуу 3. Программа эсептегичти баштапкы абалга келтирүү векторуна коюңуз 4. Өзөктү баштапкы абалга келтирүү Бүтүндөй баштапкы абалга келтирүү операциясы бир нече саат циклин талап кылат. Негизги баштапкы абалга келтирүү операциясы ийгиликтүү аяктаганын көрсөтүүчү reset_req_ack ырасталганга чейин reset_req ырасталган бойдон калышы керек. Мындай кылбоо өзөктүн абалынын детерминисттик эмес болушуна алып келет.

Nios® V кыналган процессордук дизайн колдонмосу 36

Пикир жөнөтүү

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессордук аппараттык тутумунун дизайны 726952 | 2025.07.16
2.4.2.1. Типтүү колдонуу учурлары
· Nios V процессорунун өзөгү программаны баштапкы абалга келтирүү векторунан баштап системанын башка FPGA хосттору Nios V процессорунун жүктөө эс тутумун инициализациялаганга чейин күйгүзүлгөндө reset_req сигналын ырастасаңыз болот. Бул учурда, бүт подсистема таза аппараттык баштапкы абалга келтирилиши мүмкүн. Nios V процессору башка FPGA хосттору процессордун жүктөө эс тутумун инициализациялаганга чейин, баштапкы абалга келтирилген өтүнүч абалында чексиз кармалат.
· Системанын калган бөлүгүн бузбастан Nios V процессорунун өзөгүн баштапкы абалга келтирүү керек болгон системада, ядронун учурдагы ишин таза токтотуу үчүн reset_req сигналын ырастасаңыз болот жана система reset_req_ack сигналын чыгаргандан кийин процессорду баштапкы абалга келтирүү векторунан кайра иштете аласыз.
· Тышкы хост төмөнкү тапшырмалардын аткарылышын жеңилдетүү үчүн баштапкы абалга келтирүү сурам интерфейсин колдоно алат:
— Учурдагы Nios V процессор программасын токтотуңуз.
— Nios V процессорунун жүктөө эс тутумуна жаңы программаны жүктөңүз.
— Процессорго жаңы программаны аткарууга уруксат бериңиз.
Altera сизге reset_req_ack сигналынын абалын көзөмөлдөө үчүн күтүү механизмин ишке ашырууну сунуштайт. Эгерде Nios V процессорунун өзөгү чексиз күтүү абалына түшүп, белгисиз себептерден улам токтоп калса, reset_req_ack чексиз ырастай албайт. Тайм-аут механизми сизге:
· Калыбына келтирүү күтүү мөөнөтүн аныктаңыз жана системанын деңгээлин баштапкы абалга келтирүү менен системаны калыбына келтириңиз.
· Аппараттык камсыздоо деңгээлин баштапкы абалга келтириңиз.
2.4.3. Release IP
Altera SDM негизиндеги түзмөктөр бир нече секторлор боюнча негизги кездеме логикасын бөлүштүрүүчү параллелдүү, секторго негизделген архитектураны колдонушат. Altera сизге Reset Release Altera FPGA IPди баштапкы абалга келтирүү схемасына баштапкы киргизүүлөрдүн бири катары колдонууну сунуштайт. Intel® SDM негизиндеги түзмөктөр Stratix® 10 жана AgilexTM түзмөктөрүн камтыйт. Башкаруу блогуна негизделген түзмөктөргө бул талап таасир этпейт.
Тиешелүү маалымат
AN 891: Reset Release Altera FPGA IP колдонуу
2.5. Демейки агентти дайындоо
Platform Designer демейки агентти көрсөтүүгө мүмкүндүк берет, ал катага жооп берүүчү демейки агент катары иштейт. Сиз белгилеген демейки агент дарек картасына декодсуз кирүүгө аракет кылган хосттор үчүн катага жооп берүү кызматын камсыздайт.
Төмөнкү сценарийлер дешифрленбеген окуяны козгойт:
· Автобус транзакциясынын коопсуздук абалынын бузулушу
· Белгисиз эстутум аймагына транзакция мүмкүнчүлүгү
· Өзгөчө окуя жана башкалар.

Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 37

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессорунун аппараттык тутумунун дизайны
726952 | 2025.07.16

Мындай окуяларды чечүү үчүн демейки агент дайындалышы керек, мында аныкталбаган транзакция демейки агентке кайра багытталат жана Nios V процессоруна ката жообу менен жооп берет.
Тиешелүү маалымат
· Quartus Prime Pro Edition Колдонуучу колдонмосу: Платформа дизайнери. Демейки агентти дайындоо
· Quartus Prime Pro Edition Колдонуучу колдонмосу: Платформа дизайнери. Error Response Slave Altera FPGA IP
· Github – Qsys үчүн кошумча баштапкы абалга келтирүү компоненттери

2.6. Басып чыгаруу үчүн UART агентин дайындоо
Басып чыгаруу программалык камсыздоо тиркемесин оңдоо, ошондой эле тутумуңуздун абалын көзөмөлдөө үчүн пайдалуу. Altera баштоо билдирүүсү, ката кабары жана программалык камсыздоо тиркемесинин аткарылышынын жүрүшү сыяктуу негизги маалыматты басып чыгарууну сунуштайт.
Төмөнкү шарттарда printf() китепкана функциясын колдонуудан качыңыз: · Printf() китепканасы, эгерде эч бир хост окуу чыгарбаса, колдонмо токтоп калат.
Бул ЖTAG UART гана. · printf() китепканасы программалык эстутумдун чоң көлөмүн талап кылат.

2.6.1. Стенддердин алдын алуу ЖTAG UART

Таблица 23. Салттуу UART менен Дж. ортосундагы айырмачылыктарTAG UART

UART түрү Салттуу UART

Description
Сырткы хост угуп жатканына карабастан сериялык маалыматтарды өткөрүп берет. Эгер эч бир хост сериялык маалыматтарды окубаса, маалымат жоголот.

JTAG UART

Өткөрүлгөн маалыматтарды чыгуу буферине жазат жана аны бошотуу үчүн буферден окуу үчүн тышкы хостко таянат.

ДжTAG UART драйвери чыгуу буфери толгондо күтөт. ДжTAG UART драйвери көбүрөөк берүү маалыматтарын жазуудан мурун тышкы хосттун чыгуу буферинен окуусун күтөт. Бул процесс берилиштердин жоголушун алдын алат.
Бирок, системаны мүчүлүштүктөрдү оңдоо талап кылынбаганда, мисалы, өндүрүш учурунда, орнотулган системалар J менен туташтырылган хост PCсиз жайгаштырылат.TAG UART. Эгерде система ЖTAG UART UART агенти катары, ал системанын токтоп калышына алып келиши мүмкүн, анткени тышкы хост туташкан эмес.
токтоп калбоо үчүн ЖTAG UART, төмөнкү варианттарды колдонуңуз:

Nios® V кыналган процессордук дизайн колдонмосу 38

Пикир жөнөтүү

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессорунун аппараттык тутумунун дизайны
726952 | 2025.07.16

24-таблица. Токтоп калуулардын алдын алуу ЖTAG UART

Параметрлер
UART интерфейси жана драйвер жок
Башка UART интерфейсин жана драйверди колдонуңуз
ДжTAG UART интерфейси (драйверсиз)

Аппараттык камсыздоону иштеп чыгуу учурунда (платформа дизайнеринде)

Программалык камсыздоону иштеп чыгуу учурунда (Колдоо пакетинин редакторунда)

Ж. алып салууTAG системасынан UART

hal.stdin, hal.stdout жана hal.stderrди Эч бири катары конфигурациялаңыз.

ордуна ЖTAG UART башка жумшак конфигурациялоо hal.stdin, hal.stdout жана hal.stderr

UART IP

башка жумшак UART IP менен.

ДжTAG системасында UART

· Hal.stdin, hal.stdout жана hal.stderrди Башкарманын Колдоо топтомунун редакторунда Эч ким катары конфигурациялаңыз.
· Өчүрүү JTAG BSP Driver өтмөгүндөгү UART драйвери.

2.7. ЖTAG Сигналдар
Nios V процессорунун мүчүлүштүктөрдү оңдоо модулу J колдонотTAG ELF программалык камсыздоону жүктөө жана мүчүлүштүктөрдү оңдоо үчүн интерфейс. Дизайныңызды ЖTAG интерфейс, ДжTAG TCK, TMS, TDI жана TDO сигналдары долбоордун бир бөлүгү катары ишке ашырылат. Белгилеп, ЖTAG ар бир Nios V процессор системасында сигнал чектөөлөр маанилүү система дизайн кароо болуп саналат жана туура жана детерминисттик жүрүм-туруму үчүн талап кылынат.
Altera ар кандай дизайн системалык саат жыштыгы J кеминде төрт эсе болушун сунуштайтTAG чиптеги приборлордун (OCI) өзөктүн туура иштешин камсыз кылуу үчүн саат жыштыгы.
Тиешелүү маалымат · Quartus® Prime Timing Analyzer Cookbook: ДжTAG Сигналдар
жөнүндө көбүрөөк маалымат алуу үчүн ЖTAG убакытты чектөө көрсөтмөлөрү. · KDB: Эмне үчүн niosv-жүктөө тутуму жок Nios® V/m процессору менен иштебей калат
JTAG жыштык 24MHz же 16MHz?
2.8. Платформа дизайнеринин тутумунун иштешин оптималдаштыруу
Platform Designer Altera FPGA үлгүлөрү үчүн системанын өз ара байланышын оптималдаштыруу үчүн куралдар менен камсыз кылат.

Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 39

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессорунун аппараттык тутумунун дизайны
726952 | 2025.07.16
Сүрөт 25. Оптимизация Examples

мурдагыampсүрөттө көрсөтүлгөн le төмөнкү кадамдарды көрсөтөт:
1. Критикалык жолдорду жеңилдетүү үчүн Pipeline Bridge кошот: a. Нускама менеджери менен анын агенттеринин ортосунда б. Маалымат менеджери менен анын агенттеринин ортосунда
2. Чыныгы кош портту On-Chip RAM колдонуңуз, ар бир порт тиешелүүлүгүнө жараша Instruction Manager жана Data Manager үчүн арналган.

Nios® V кыналган процессордук дизайн колдонмосу 40

Пикир жөнөтүү

2. Quartus Prime программалык камсыздоо жана платформа дизайнери менен Nios V процессордук аппараттык тутумунун дизайны 726952 | 2025.07.16
Төмөнкү тиешелүү шилтемелерди караңыз, аларда жеткиликтүү инструменттерди колдонуу ыкмалары жана ар бир ишке ашыруунун коммерциялык жактары көрсөтүлгөн.
Тиешелүү маалымат · Quartus® Prime Pro Edition Колдонуучунун колдонмосу: Платформа дизайнери
Көбүрөөк маалымат үчүн Platform Designer системасынын иштешин оптималдаштыруу темасын караңыз. · Quartus® Prime Standard Edition Колдонуучунун колдонмосу: Платформа дизайнери Көбүрөөк маалымат үчүн Платформа дизайнеринин тутумунун иштешин оптималдаштыруу темасын караңыз.

Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 41

726952 | 2025.07.16 Пикир жөнөтүү

3. Nios V процессордук программалык камсыздоо тутумунун дизайны
Бул бөлүм Nios V процессорунун программалык камсыздоону иштеп чыгуу агымын жана сиз орнотулган дизайн системаңызды иштеп чыгууда колдоно турган программалык куралдарды сүрөттөйт. Мазмун бүтүрүүчү катары кызмат кылатview Nios V процессордук программалык камсыздоо системасын иштеп чыгуудан мурун.
Сүрөт 26. Программалык камсыздоону долбоорлоо агымы
Баштоо

BSP редакторунун жардамы менен платформа дизайнеринде BSP түзүңүз

Nios V Command Shell аркылуу BSP түзүңүз
CMake Build тиркемесин жаратыңыз File Nios V Command Shell колдонуу

Эскертүү:

BSP жана CMake Build колдонмосун импорттоо File
аркылуу Nios V процессордук тиркемесин түзүңүз
Intel FPGA үчүн RiscFree IDE

Nios V Processor тиркемесин каалаганын колдонуп түзүңүз
буйрук сабынын баштапкы коду редактору, CMake жана Make
буйруктар
Аягы

Altera сизге Altera FPGA иштеп чыгуу комплектин же программалык камсыздоону иштеп чыгуу жана мүчүлүштүктөрдү оңдоо үчүн ыңгайлаштырылган прототип тактасын колдонууну сунуштайт. Көптөгөн перифериялык түзүлүштөр жана тутум деңгээлиндеги мүмкүнчүлүктөр сиздин программаңыз чыныгы тактада иштегенде гана жеткиликтүү болот.

© Altera Corporation. Altera, Altera логотиби, "a" логотиби жана башка Altera белгилери Altera корпорациясынын соода белгилери болуп саналат. Altera эскертүүсүз каалаган убакта каалаган өнүмгө жана кызматтарга өзгөртүү киргизүү укугун өзүнө калтырат. Altera бул жерде сүрөттөлгөн кандайдыр бир маалыматты, продуктуну же кызматты колдонуудан же колдонуудан келип чыккан эч кандай жоопкерчиликти же жоопкерчиликти өзүнө албайт, Altera менен ачык түрдө жазуу жүзүндө макулдашылган учурларды кошпогондо. Altera кардарларына жарыяланган маалыматка таянардан мурун жана өнүмдөр же кызматтарга буйрутма берүүдөн мурун түзмөктүн техникалык мүнөздөмөлөрүнүн акыркы версиясын алуу сунушталат. *Башка ысымдар жана бренддер башкалардын менчиги катары талап кылынышы мүмкүн.

3. Nios V Processor Software System Design 726952 | 2025.07.16
3.1. Nios V процессордук программалык камсыздоону иштеп чыгуу агымы
3.1.1. Кеңешти колдоо пакети долбоору
Nios V Board Support Package (BSP) долбоору системага тиешелүү колдоо кодун камтыган адистештирилген китепкана болуп саналат. BSP Nios V процессорунун аппараттык тутумундагы бир процессор үчүн ылайыкташтырылган программалык камсыздоонун иштөө чөйрөсүн камсыз кылат.
Quartus Prime программасы BSPтин жүрүм-турумун башкарган орнотууларды өзгөртүү үчүн Nios V Board Support Package Editor жана niosv-bsp утилита куралдарын камсыз кылат.
BSP төмөнкү элементтерди камтыйт: · Аппараттык абстракция катмары · Түзмөк драйверлери · Кошумча программалык пакеттер · Кошумча реалдуу убакыт операциялык системасы
3.1.2. Колдонмо долбоору
Nios VC/C++ тиркеме долбоору төмөнкү өзгөчөлүктөргө ээ: · Булак кодун жана CMakeLists.txt жыйнагынан турат.
— CMakeLists.txt баштапкы кодду түзөт жана аны BSP жана бир же бир нече кошумча китепканалар менен байланыштырат, бир .elf түзүү file
· Булактардын бири files main() функциясын камтыйт. · Китепканалардагы жана BSPлердеги функцияларды чакырган кодду камтыйт.
Altera CMakeLists.txt Колдонмосун түзүү үчүн Quartus Prime программалык камсыздоонун утилитасынын куралдарында niosv-колдонмонун пайдалуу куралын жана Eclipse негизиндеги чөйрөдө баштапкы кодду өзгөртүү үчүн Altera FPGAs үчүн RiscFree IDE менен камсыз кылат.
3.2. Altera FPGA камтылган иштеп чыгуу куралдары
Nios V процессору программалык камсыздоону иштеп чыгуу үчүн төмөнкү куралдарды колдойт: · Графикалык колдонуучу интерфейси (GUI) – Графикалык иштеп чыгуу куралдары
Windows* жана Linux* операциялык системалары (OS). — Nios V Board колдоо пакетинин редактору (Nios V BSP редактору) — Altera FPGAs үчүн Ashling RiscFree IDE · Command Line Tools (CLI) – Nios V Command Shell тарабынан демилгеленген иштеп чыгуу куралдары. Ар бир курал буйрук сабынан жеткиликтүү жардам түрүндө өзүнүн документтерин камсыз кылат. Nios V Command Shellди ачып, төмөнкү буйрукту териңиз: – жардам берүү view Жардам менюсу. — Nios V Utilities Tools — File Форматты конвертациялоо куралдары — Башка коммуналдык куралдар

Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 43

3. Nios V Processor Software System Design 726952 | 2025.07.16

Таблица 25. GUI куралдары жана командалык сап куралдарынын тапшырмалары Кыскача маалымат

Тапшырма

GUI куралы

Буйрук сап куралы

BSP түзүү

Nios V BSP редактору

· Quartus Prime Pro Edition программасында: niosv-bsp -c -s=<.qsys file> -t= [OPTIONS] settings.bsp
· Quartus Prime Standard Edition программасында: niosv-bsp -c -s=<.sopcinfo file> -t= [OPTIONS] settings.bsp

Учурдагы .bsp колдонуу менен BSP түзүү file
BSP жаңыртылууда

Nios V BSP редактору Nios V BSP редактору

niosv-bsp -g [OPTIONS] settings.bsp niosv-bsp -u [OPTIONS] settings.bsp

BSP текшерүү

Nios V BSP редактору

niosv-bsp -q -E= [OPTIONS] settings.bsp

Колдонмо түзүү

niosv-app -a= -b= -s= files каталогу> [OPTIONS]

Колдонуучу китепканасын түзүү

niosv-app -l= -s= files каталогу> -p= [OPTIONS]

Тиркемени өзгөртүү Колдонуучунун китепканасын өзгөртүү Тиркемени куруу

Altera FPGAs үчүн RiscFree IDE
Altera FPGAs үчүн RiscFree IDE
Altera FPGAs үчүн RiscFree IDE

Ар кандай буйрук сап булагы редактору
Ар кандай буйрук сап булагы редактору
· жасоо · жасоо

Колдонуучу китепканасын түзүү

Altera FPGAs үчүн RiscFree IDE

· жасоо · жасоо

ELF тиркемеси жүктөлүүдө
Converting the .elf file

Altera FPGAs үчүн RiscFree IDE

niosv-жүктөө
· elf2flash · elf2hex

Тиешелүү маалымат
Ashling RiscFree Integrated Development Environment (IDE) Altera FPGAs Колдонуучунун колдонмосу

3.2.1. Nios V Processor Board колдоо пакетинин редактору
Төмөнкү тапшырмаларды аткаруу үчүн Nios V процессорунун BSP Редакторун колдонсоңуз болот: · Nios V процессорунун BSP долбоорун түзүңүз же өзгөртүңүз · Орнотууларды, байланыштыргыч аймактарды жана бөлүмдөрдүн картасын түзөтүңүз · Программа пакеттерин жана түзмөк драйверлерин тандаңыз.
BSP редакторунун мүмкүнчүлүктөрүнө niosv-bsp коммуналдык кызматтарынын мүмкүнчүлүктөрү кирет. BSP Редакторунда түзүлгөн ар кандай долбоор, ошондой эле буйрук сабынын утилиталарын колдонуу менен түзүлүшү мүмкүн.

Nios® V кыналган процессордук дизайн колдонмосу 44

Пикир жөнөтүү

3. Nios V Processor Software System Design 726952 | 2025.07.16

Эскертүү:

Quartus Prime Standard Edition программасы үчүн BSP Editor GUIди чакыруу кадамдары үчүн AN 980: Nios V Processor Quartus Prime Программалык камсыздоосуна кайрылыңыз.

BSP редакторун ишке киргизүү үчүн, төмөнкү кадамдарды аткарыңыз: 1. Платформа дизайнерин ачып, File меню.
а. Учурдагы BSP жөндөөсүн ачуу үчүн file, Ачуу… чыкылдатыңыз б. Жаңы BSP түзүү үчүн, Жаңы BSP… чыкылдатыңыз 2. BSP Editor кошумча барагын тандап, тиешелүү маалыматтарды бериңиз.

Сүрөт 27. BSP редакторун ишке киргизиңиз

Тектеш маалымат AN 980: Nios V Processor Quartus Prime Программалык камсыздоону колдоо
3.2.2. Altera FPGAs үчүн RiscFree IDE
Altera FPGAs үчүн RiscFree IDE - бул Nios V процессору үчүн Eclipse негизиндеги IDE. Altera төмөнкү себептерден улам ушул IDEде Nios V процессорунун программасын иштеп чыгууну сунуштайт: · Функциялар Nios V менен шайкеш келээри үчүн иштелип чыккан жана текшерилген.
процессор куруу агымы. · Бардык керектүү шаймандар менен жабдылган жана сизге мүмкүнчүлүк берет
Nios V процессорун иштеп чыгууну оңой баштоо үчүн.
Тектеш маалымат Ashling RiscFree Integrated Development Environment (IDE) үчүн Altera FPGAs Колдонуучунун колдонмосу
3.2.3. Nios V Utilities Tools
Сиз Nios V программаларын буйрук сабында терилген же скриптке кыстарылган буйруктар менен түзүп, өзгөртүп жана кура аласыз. Бул бөлүмдө сүрөттөлгөн Nios V буйрук сап куралдары /niosv/bin каталогу.

Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 45

3. Nios V Processor Software System Design 726952 | 2025.07.16

Таблица 26. Nios V Utilities Tools

Command Line Tools

Жыйынтык

niosv-app niosv-bsp niosv-жүктөө niosv-shell niosv-stack-отчет

Колдонмо долбоорун түзүү жана конфигурациялоо үчүн.
BSP орнотууларын түзүү же жаңыртуу үчүн file жана BSP түзүү fileс. ELF жүктөп алуу үчүн file Nios® V процессоруна.
Nios V Command Shell ачуу үчүн. Стек же үймөктө колдонуу үчүн .elf колдонмоңузда калган эстутум мейкиндиги жөнүндө сизге маалымдоо үчүн.

3.2.4. File Форматты өзгөртүү куралдары

File Маалыматтарды бир утилитадан экинчисине өткөрүүдө форматты өзгөртүү кээде зарыл. The file форматтарды өзгөртүү куралдары бар
программалык камсыздоону орнотуу каталогу>/niosv/bin каталогу.

Таблица 27. File Форматты өзгөртүү куралдары

Command Line Tools elf2flash elf2hex

Кыскача .elf которуу file флеш эстутум программалоо үчүн .srec форматына. .elf которуу үчүн file эстутумду инициализациялоо үчүн .hex форматына.

3.2.5. Башка коммуналдык аспаптар

Nios V процессоруна негизделген системаны курууда сизге төмөнкү буйрук сап куралдары талап кылынышы мүмкүн. Бул командалык куралдар же Intel тарабынан берилген /quartus/bin же алынган
ачык булак куралдары.

Таблица 28. Башка командалык сап куралдары

Command Line Tools

Type

Жыйынтык

жуарт-терминал

Intel тарабынан берилген

stdout жана stderr мониторинг жүргүзүү жана Nios® V процессоруна киргизүү үчүн
stdin аркылуу подсистема. Бул курал J үчүн гана колдонулатTAG UART IP, ал Nios® V процессоруна туташтырылганда.

openocd

OpenOCD аткаруу үчүн Intel тарабынан берилген.

openocd-cfg-gen

Intel тарабынан берилген · OpenOCD конфигурациясын түзүү үчүн file. · Көрсөтүү үчүн JTAG чынжыр түзмөк индекси.

Nios® V кыналган процессордук дизайн колдонмосу 46

Пикир жөнөтүү

726952 | 2025.07.16 Пикир жөнөтүү
4. Nios V процессорунун конфигурациясы жана жүктөө чечимдери
Сиз Nios V процессорун ар кандай эс тутум жерлеринен программалык камсыздоону жүктөө жана аткаруу үчүн конфигурациялай аласыз. Жүктөлүүчү эс тутуму Quad Serial Peripheral Interface (QSPI) жарк, Чипте эс тутум (OCRAM) же Tightly Coupled Memory (TCM).
Тиешелүү маалымат · Күчтүү триггердин шарттары 193-бетте · Күчтүү триггерлер
Күчтүү триггерлер тууралуу көбүрөөк маалымат алуу үчүн.
4.1. Киришүү
Nios V процессору жүктөө процесстеринин эки түрүн колдойт: · Alt_load() функциясын колдонуу менен Execute-in-Place (XIP) · Жүктөөчү копирди колдонуу менен RAMга көчүрүлгөн программа. Nios V кыналган программаларды иштеп чыгуу аппараттык абстракция катмарына (HAL) негизделген. HAL жүктөө маалында жүктөө эстутумунан тиешелүү шилтеме бөлүктөрүн алардын иштөө убактысы жайгашкан жерге көчүрүүчү кичинекей жүктөөчү программасын (жүктөөчү көчүргүч катары да белгилүү) камсыз кылат. Программаны жана маалымат эстутумунун иштөө убактысынын жерлерин Board Support Package (BSP) Editor орнотууларын манипуляциялоо менен көрсөтө аласыз. Бул бөлүмдө төмөнкүлөр сүрөттөлөт: · Nios V процессордук жүктөөчү көчүрмөсү, ал Nios V процессор тутумуңуздун
жүктөө эстутумун тандоо · Nios V процессорунун жүктөө параметрлери жана жалпы агым · Тандалган жүктөө эси үчүн Nios V программалоо чечимдери
4.2. Тиркемелерди байланыштыруу
Nios V процессорунун долбоорун түзгөндө, BSP Editor эки шилтемени түзөт files: · linker.x: шилтеме берүүчү буйрук file түзүлгөн колдонмону түзөтfile колдонот
.elf бинардык түзүү file. · linker.h: шилтемелердин эс тутумунун жайгашуусу жөнүндө маалыматты камтыйт. BSP долбооруна жасаган бардык шилтемелерди орнотуунун өзгөртүүлөрү бул эки шилтемечинин мазмунуна таасир этет fileс. Ар бир Nios V процессордук тиркемесинде төмөнкү шилтеме бөлүмдөрү бар:
© Altera Corporation. Altera, Altera логотиби, "a" логотиби жана башка Altera белгилери Altera корпорациясынын соода белгилери болуп саналат. Altera эскертүүсүз каалаган убакта каалаган өнүмгө жана кызматтарга өзгөртүү киргизүү укугун өзүнө калтырат. Altera бул жерде сүрөттөлгөн кандайдыр бир маалыматты, продуктуну же кызматты колдонуудан же колдонуудан келип чыккан эч кандай жоопкерчиликти же жоопкерчиликти өзүнө албайт, Altera менен ачык түрдө жазуу жүзүндө макулдашылган учурларды кошпогондо. Altera кардарларына жарыяланган маалыматка таянардан мурун жана өнүмдөр же кызматтарга буйрутма берүүдөн мурун түзмөктүн техникалык мүнөздөмөлөрүнүн акыркы версиясын алуу сунушталат. *Башка ысымдар жана бренддер башкалардын менчиги катары талап кылынышы мүмкүн.

4. Nios V Processor Configuration and Booting Solutions 726952 | 2025.07.16

Таблица 29. Шилтемелердин бөлүмдөрү

.текст

Шилтемелердин бөлүмдөрү

.rodata

.rwdata

.bss

.үймөк

.стак

Сүрөттөмөлөр Аткарылуучу код. Программаны ишке ашырууда колдонулган окуу үчүн гана маалымат. Программаны аткарууда колдонулган окуу-жазуу маалыматтарын сактайт. Башталбаган статикалык маалыматтарды камтыйт. Динамикалык түрдө бөлүнгөн эстутумду камтыйт. Функцияга чакыруу параметрлерин жана башка убактылуу маалыматтарды сактайт.

Сиз .elfке кошумча шилтеме бөлүмдөрүн кошо аласыз file ыңгайлаштырылган кодду жана маалыматтарды кармоо үчүн. Бул байланыштыргыч бөлүмдөр физикалык эстутумдун түзүлүштөрүнө жана даректерине дал келүү үчүн аныкталган, аталган эстутум аймактарында жайгаштырылат. Демейки боюнча, BSP Editor бул шилтеме берүүчү бөлүмдөрдү автоматтык түрдө жаратат. Бирок, сиз белгилүү бир колдонмо үчүн шилтеме бөлүмдөрүн көзөмөлдөй аласыз.

4.2.1. Байланыштыруу жүрүм-туруму
Бул бөлүмдө BSP Editor демейки шилтеме жүрүм-турумун жана байланыш жүрүм-турумун кантип көзөмөлдөө керектиги сүрөттөлөт.

4.2.1.1. Демейки BSP шилтемеси
BSP конфигурациялоо учурунда куралдар төмөнкү кадамдарды автоматтык түрдө аткарат:
1. Эстутум аймагынын аттарын дайындоо: Ар бир тутумдук эстутум түзүлүшүнө ат ыйгарыңыз жана ар бир атын байланыштыргычка кошуңуз file эстелик аймак катары.
2. Эң чоң эстутумду табыңыз: Шилтемедеги эң чоң окуу жана жазуу эс аймагын аныктаңыз file.
3. Шилтеме бөлүктөрүн дайындоо: Демейки шилтеме берүүчү бөлүмдөрдү (.text, .rodata, .rwdata, .bss, .heap жана .stack) мурунку кадамда аныкталган эс тутум аймагына коюңуз.
4. Жаз files: linker.x жана linker.h жазыңыз files.
Адатта, шилтеме берүүчү бөлүмдү бөлүштүрүү схемасы программалык камсыздоону иштеп чыгуу процессинде иштейт, анткени эстутум жетиштүү чоң болсо, тиркеме иштешине кепилдик берилет.
Демейки шилтемелөө жүрүм-турумунун эрежелери Altera тарабынан түзүлгөн bsp-set-defaults.tcl жана bsp-linker-utils.tcl скрипттеринде камтылган. /niosv/scripts/bsp-defaults каталогу. niosv-bsp буйругу бул скрипттерди чакырат. Бул скрипттерди түз өзгөртүүгө болбойт.

Nios® V кыналган процессордук дизайн колдонмосу 48

Пикир жөнөтүү

4. Nios V Processor Configuration and Booting Solutions 726952 | 2025.07.16

4.2.1.2. Конфигурациялануучу BSP шилтемеси
Сиз BSP Редакторунун Linker Script өтмөгүндө демейки шилтемелөө жүрүм-турумун башкара аласыз. Төмөнкү ыкмаларды колдонуу менен байланыштыргыч скрипти башкарыңыз: · Эстутум аймагын кошуңуз: Эстутум аймагынын атын физикалык эстутум түзүлүшүнө карталайт. · Бөлүмдүн картасын кошуу: Бөлүмдүн атын эстутум аймагына карталайт. BSP
Редактор сизге мүмкүнчүлүк берет view эстутум картасы өзгөртүүлөр киргизилгенге чейин жана кийин.

4.3. Nios V процессорунун жүктөө ыкмалары

Altera FPGA түзмөктөрүндө Nios V процессорун жүктөөнүн бир нече ыкмалары бар. Nios V процессорун жүктөө ыкмалары флэш эстутум тандоосуна жана түзмөк үй-бүлөлөрүнө жараша өзгөрөт.

Таблица 30. Тиешелүү жүктөө параметрлери менен колдоого алынган Flash эс тутумдары

Колдоого алынган жүктөө эс тутумдары

Түзмөк

On-chip Flash (ички конфигурация үчүн)

Макс 10 гана түзмөк (On-Chip Flash IP менен)

Жалпы максаттуу QSPI Flash (колдонуучунун маалыматы үчүн гана)

Бардык колдоого алынган FPGA түзмөктөрү (Generic Serial Flash Interface FPGA IP менен)

Конфигурация QSPI Flash (Активдүү Сериялык конфигурация үчүн)

Башкаруу блогуна негизделген
түзмөктөр (Generic менен
Сериялык Flash Interface Intel FPGA IP)(2)

Nios V процессорунун жүктөө ыкмалары

Колдонмонун Runtime жайгашкан жери

Жүктөөчү көчүрмө

Nios V процессордук тиркемеси On-Chip Flashтен орундалат

On-chip Flash (XIP) + OCRAM/ Тышкы оперативдүү эс (жазуу маалымат бөлүмдөрү үчүн)

alt_load() функциясы

Nios V процессордук тиркемеси On-Chip Flashтен оперативдик эстутумга жүктөөчү көчүрмөнү колдонуу менен көчүрүлгөн

OCRAM/Тышкы RAM

GSFI аркылуу жүктөгүчтү кайра колдонуу

Nios V процессордук тиркемеси жалпы максаттагы QSPI жарыгынан орундалат

Жалпы максаттагы QSPI жарк (XIP) + OCRAM/ Тышкы RAM (жазуу маалымат бөлүмдөрү үчүн)

alt_load() функциясы

Nios V процессордук тиркемеси жүктөөчү көчүрмөнү колдонуу менен жалпы максаттагы QSPI флэштен оперативдүү эска көчүрүлгөн

OCRAM/Тышкы RAM

GSFI аркылуу жүктөгүч

Nios V процессордук тиркемеси QSPI флеш конфигурациясынан орундалат

Конфигурация QSPI жарк (XIP) + OCRAM/ Тышкы RAM (жазуу маалымат бөлүмдөрү үчүн)

alt_load() функциясы

Nios V процессордук тиркемеси QSPI флэш конфигурациясынан жүктөөчү көчүрмөнү колдонуу менен оперативдүү эс тутумга көчүрүлдү

GSFI аркылуу OCRAM/ Тышкы RAM жүктөгүч уланды…

(2) Түзмөктөрдүн тизмеси үчүн AN 980: Nios V Processor Quartus Prime программалык камсыздоосун караңыз.

Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 49

4. Nios V Processor Configuration and Booting Solutions 726952 | 2025.07.16

Колдоого алынган жүктөө эс тутумдары
Чиптеги эс тутуму (OCRAM) тыгыз байланыштырылган эс тутуму (TCM)

Түзмөк
SDM негизиндеги түзмөктөр (Mailbox Client Intel FPGA IP менен). (2)
Бардык колдоого алынган Altera FPGA түзмөктөрү (2)
Бардык колдоого алынган Altera FPGA түзмөктөрү(2)

Nios V процессорунун жүктөө ыкмалары
Nios V процессордук тиркемеси QSPI флэш конфигурациясынан жүктөөчү көчүрмөнү колдонуу менен оперативдүү эс тутумга көчүрүлдү
Nios V процессордук тиркемеси OCRAMдан орундалат
Nios V процессордук тиркеме TCMден орундалат

Колдонмонун Runtime жайгашкан жери

Жүктөөчү көчүрмө

OCRAM/ SDM аркылуу тышкы RAM жүктөгүч

OCRAM

alt_load() функциясы

Instruction TCM (XIP) None + Data TCM (жазыла турган маалымат бөлүмдөрү үчүн)

Сүрөт 28. Nios V процессорунун жүктөө агымы

Калыбына келтирүү

Процессор векторду баштапкы абалга келтирүүгө секирет (жүктөө коду башталышы)

Колдонмо коду башка эстутумдун жерине көчүрүлүшү мүмкүн (жүктөө параметрлерине жараша)
Жүктөө коду процессорду инициализациялайт

Жүктөө параметрлерине жараша, жүктөө коду маалымат/код үчүн баштапкы маанилерди башка эс мейкиндигине көчүрүшү мүмкүн (alt_load)
Жүктөө коду колдонмо кодун жана маалымат эстутумун инициализациялайт
Жүктөө коду системанын бардык перифериялык түзүлүштөрүн HAL драйверлери менен инициализациялайт (alt_main)
Негизгиге кирүү
Тектеш маалымат · Жалпы сериялык Flash Interface Altera FPGA IP Колдонуучунун колдонмосу
Nios® V кыналган процессордук дизайн колдонмосу 50

Пикир жөнөтүү

4. Nios V Processor Configuration and Booting Solutions 726952 | 2025.07.16
· Почта кутусунун Client Altera FPGA IP Колдонуучу колдонмосу · AN 980: Nios V Processor Quartus Prime Программасын колдоо
4.4. Nios V процессорунун жүктөө ыкмаларына киришүү
Nios V процессордук системалары процессор тиркеме программасын аткарып баштаардан мурун программалык сүрөттөрдүн системалык эстутумда конфигурацияланышын талап кылат. Демейки шилтеме бөлүмдөрү үчүн Шилтемелердин бөлүмдөрүн караңыз.
BSP Editor төмөнкү функцияларды аткарган шилтеме скриптин түзөт: · Процессордун программалык камсыздоосу шилтемелөөчүнүн жөндөөлөрүнө ылайык байланышканын камсыздайт.
BSP редакторунун жана программалык камсыздоонун эстутумда турган жерин аныктайт. · Процессордун код аймагын эстутум компонентине ылайык жайгаштырат
дайындалган эс компоненттери.
Кийинки бөлүмдө кыскача жеткиликтүү Nios V процессорунун жүктөө ыкмалары сүрөттөлөт.
4.4.1. Nios V процессордук тиркемеси Boot Flashтен өз ордунда аткарылат
Altera флэш-контроллерлерди системаны кайра орнотуудан кийин, эстутум контроллерин же эстутум түзүлүштөрүн инициализациялоонун кереги жок, жүктөө флеш дарек мейкиндиги Nios V процессоруна дароо жеткиликтүү кылып иштеп чыккан. Бул Nios V процессоруна жүктөөчү түзмөктөрдө сакталган тиркеме кодун башка эс тутум түрүнө көчүрүү үчүн жүктөөчү көчүрмөнү колдонбостон түз аткарууга мүмкүндүк берет. Флэш контроллерлор: · On-Chip Flash IP менен Чипте Flash (MAX® 10 түзмөгүндө гана) · Жалпы Сериялык Flash Interface IP менен жалпы максаттуу QSPI жарк.
түзмөктөр)
Nios V процессордук тиркемеси жүктөө жарыгынан ордунда аткарылганда, BSP Editor төмөнкү функцияларды аткарат: · .text шилтемелөөчү бөлүмдөрүн жүктөө флеш эс тутумунун аймагына орнотот. · RAMга .bss,.rodata, .rwdata, .stack жана .heap байланыштыргыч бөлүмдөрүн орнотот
эс аймагы. Системаны баштапкы абалга келтиргенден кийин маалымат бөлүмдөрүн (.rodata, .rwdata,, .exceptions) оперативдүү эс тутумга көчүрүү үчүн BSP Орнотууларында alt_load() функциясын иштетишиңиз керек. Код бөлүмү (.текст) жүктөө флеш эс тутумунун аймагында калат.
Тиешелүү маалымат · Жалпы сериялык Flash Interface Altera FPGA IP Колдонуучу колдонмосу · Altera MAX 10 Колдонуучу Flash Memory Колдонуучунун колдонмосу
4.4.1.1. alt_load()
BSP Editor аркылуу HAL кодунда alt_load() функциясын иштете аласыз.
Орунда жүктөө агымында колдонулганда, alt_load() функциясы төмөнкү тапшырмаларды аткарат:

Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 51

4. Nios V Processor Configuration and Booting Solutions 726952 | 2025.07.16

· BSP орнотууларынын негизинде эстутум бөлүмдөрүн RAMга көчүрүүчү мини жүктөөчү көчүрмө катары иштейт.
· Маалымат бөлүмдөрүн (.rodata, .rwdata, .exceptions) RAMга көчүрөт, бирок код бөлүмдөрүн (.text) эмес. Код бөлүмү (.text) окуу үчүн гана бөлүм жана жүктөө флеш эс тутумунун аймагында калат. Бул бөлүү оперативдүү эстутумду колдонууну минималдаштырууга жардам берет, бирок коддун аткарылышын чектеши мүмкүн, анткени флеш эстутумга кирүү чиптеги RAMга кирүүгө караганда жайыраак.

Төмөнкү таблицада BSP Editor орнотуулары жана функциялары келтирилген:

Таблица 31. BSP редакторунун орнотуулары
BSP редакторунун жөндөөлөрү hal.linker.enable_alt_load hal.linker.enable_alt_load_copy_rodata hal.linker.enable_alt_load_copy_rwdata hal.linker.enable_alt_load_copy_exceptions

Функция alt_load() функциясын иштетет. alt_load() .rodata бөлүмүн RAMга көчүрөт. alt_load() .rwdata бөлүмүн RAMга көчүрөт. alt_load() .exceptions бөлүмүн RAMга көчүрөт.

4.4.2. Nios V процессордук тиркемеси Boot Copier аркылуу Boot Flashтен RAMга көчүрүлдү
Nios V процессору жана HAL көптөгөн Nios V процессордук тиркемелери үчүн жетиштүү функцияны камсыз кылган жана Nios V программалык камсыздоону иштеп чыгуу агымы менен ишке ашырууга ыңгайлуу болгон жүктөөчү көчүрмөнү камтыйт.
Колдонмо жүктөөчү көчүргүчтү колдонгондо, ал бардык байланыштыргыч бөлүмдөрдү ( .text, .heap , .rwdata, .rodata , .bss, .stack) ички же тышкы RAMга орнотот. Nios V процессордук тиркемесин жүктөө флешинен ички же тышкы оперативдүү эстутумга көчүрүү үчүн жүктөөчү көчүрмөнү колдонуу аткаруунун натыйжалуулугун жакшыртууга жардам берет.
Бул жүктөө параметри үчүн, Nios V процессору системаны баштапкы абалга келтиргенден кийин жүктөөчү көчүрүү программасын ишке ашыра баштайт. Программалык камсыздоо тиркемени жүктөө флешинен ички же тышкы RAMга көчүрөт. Процесс аяктагандан кийин, Nios V процессору программаны башкарууну колдонмого өткөрүп берет.

Эскертүү:

Эгерде жүктөөчү көчүргүч флеште болсо, анда alt_load() функциясын чакыруунун кереги жок, анткени экөө тең бир максатты аткарышат.

4.4.2.1. Жалпы сериялык Flash интерфейси аркылуу Nios V Processor Bootloader
GSFI аркылуу жүктөгүч башкаруу блогуна негизделген түзмөктөрдө QSPI флеш эс тутумун колдогон Nios V процессорунун жүктөөчү көчүрмөсү. GSFI аркылуу жүктөгүч төмөнкү функцияларды камтыйт:
· Туруктуу эстутумда программалык камсыздоо тиркемесин табат.
· Программалык колдонмонун сүрөтүн таңгактан чыгарат жана RAMга көчүрөт.
· Көчүрмө аяктагандан кийин процессордун аткарылышын RAMдагы тиркеме кодуна автоматтык түрдө которот.

Nios® V кыналган процессордук дизайн колдонмосу 52

Пикир жөнөтүү

4. Nios V Processor Configuration and Booting Solutions 726952 | 2025.07.16

Жүктөө сүрөтү жүктөөчү көчүргүчтөн кийин жайгашкан. Сиз Nios V процессорунун офсеттик чекиттерин жүктөөчү көчүргүчтүн башталышына кепилдик беришиңиз керек. Сүрөт: Жүктөөчү менен QSPI Flash үчүн эстутум картасы GSFI аркылуу жүктөөчү менен QSPI Flash үчүн GSFI эстутум картасы жүктөөчү көчүрмөнү колдонууда QSPI флэш үчүн флеш эстутум картасын көрсөтөт. Бул эстутум картасы флэш эстутумда FPGA сүрөтүн жана колдонмо программасын сактайт деп болжолдойт.

Таблица 32. Nios V Processor Core үчүн GSFI аркылуу жүктөгүч

Nios V процессорунун өзөгү
Nios V/m процессору

GSFI аркылуу жүктөгүч File Жайгашкан жер
/niosv/components/bootloader/ niosv_m_bootloader.srec

Nios V/g процессору

/niosv/components/bootloader/ niosv_g_bootloader.srec

Сүрөт 29. GSFI аркылуу Bootloader менен QSPI Flash үчүн эс тутум картасы

Кардар дайындары (*.hex)

Колдонмо коду

Эскертүү:

Вектордук офсетти баштапкы абалга келтирүү

Жүктөөчү көчүрмө

0x01E00000

FPGA сүрөтү (*.sof)

0x00000000

1. Эс тутум картасынын башында FPGA сүрөтү, андан кийин жүктөөчү көчүргүч жана тиркеме кодунан турган маалыматтарыңыз болот.
2. Platform Designer'де Nios V процессорунун баштапкы абалга келтирилген офсеттин орнотуп, аны жүктөөчү көчүргүчтүн башына көрсөтүшүңүз керек.
3. FPGA сүрөтүнүн өлчөмү белгисиз. Сиз Quartus Prime долбоорунун компиляциясынан кийин гана так өлчөмүн биле аласыз. Altera FPGA сүрөтүнүн өлчөмү үчүн жогорку чекти аныкташыңыз керек. Мисалы үчүнample, эгерде FPGA сүрөтүнүн өлчөмү 0x01E00000 азыраак деп эсептелсе, Platform Designer программасында Reset Offset параметрин 0x01E00000 кылып коюңуз, бул да жүктөөчү көчүрүүнүн башталышы.
4. Жакшы долбоорлоо практикасы программалык камсыздоо тиркемеси жаңыртылган учурда FPGA сүрөтүнүн жарым-жартылай өчпөсүн камсыз кылуу үчүн флеш секторунун чектеринде баштапкы абалга келтирүү векторунун офсеттин орнотуудан турат.

Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 53

4. Nios V Processor Configuration and Booting Solutions 726952 | 2025.07.16

4.4.2.2. Коопсуз түзмөк менеджери аркылуу Nios V Processor Bootloader
Secure Device Manager (SDM) аркылуу жүктөгүч - бул процессорду жүктөө үчүн Mailbox Client Altera FPGA IP HAL драйверин колдонгон HAL колдонмо коду. Altera бул жүктөгүч тиркемесин Nios V процессорун жүктөө үчүн SDM негизиндеги түзмөктөрдө QSPI жарк конфигурациясын колдонууда сунуштайт.
Системаны баштапкы абалга келтиргенден кийин, Nios V процессору алгач Bootloaderди SDM аркылуу кичинекей чиптик эстутумдан жүктөйт жана Mailbox Client IP аркылуу QSPI флэш конфигурациясы менен байланышуу үчүн SDM аркылуу жүктөгүчтү ишке ашырат.
SDM аркылуу жүктөгүч төмөнкү милдеттерди аткарат: · QSPI жарк конфигурациясында Nios V программасын табат. · Nios V программасын микросхемадагы RAMга же тышкы RAMга көчүрөт. · Чиптеги RAM ичиндеги Nios V программасына процессордун аткарылышын которот же
тышкы RAM.
Процесс аяктагандан кийин, SDM аркылуу жүктөгүч программаны башкарууну колдонуучу колдонмосуна өткөрүп берет. Altera эстутумду уюштурууну SDM аркылуу Bootloader үчүн Эстутум уюмунда көрсөтүлгөндөй сунуштайт.
Сүрөт 30. SDM процессинин агымы аркылуу жүктөгүч

Конфигурация

Flash

2

Nios V программалык камсыздоо

SDM

SDM-негизделген FPGA түзмөгү

Почта кутусунун кардары IP

FPGA Logic Nios V

4 Тышкы RAM
Nios V программалык камсыздоо

Чипте 4

EMIF

RAM

On-chip Memory

IP

Ниос В

1

Программалык камсыздоо

SDM аркылуу жүктөгүч

3

3

1. Nios V процессору Bootloaderди чиптеги эс тутумдан SDM аркылуу иштетет.
2. SDM аркылуу жүктөгүч конфигурация жарыгы менен байланышат жана Nios V программасын табат.
3. SDM аркылуу жүктөгүч Nios V программасын конфигурация флэштен чиптеги RAM / тышкы RAMга көчүрөт.
4. SDM аркылуу жүктөгүч Nios V процессорунун аткарылышын чиптеги RAM / тышкы RAMдагы Nios V программасына которот.

4.4.3. Nios V процессордук тиркемеси OCRAMдан өз ордунда аткарылат
Бул ыкмада Nios V процессорунун баштапкы абалга келтирилген дареги чиптеги эс тутумдун (OCRAM) негизги дарегине коюлат. Колдонмонун бинардык (.hex) file OCRAMга FPGA конфигурацияланганда, аппараттык дизайн Quartus Prime программасында компиляциялангандан кийин жүктөлөт. Nios V процессору баштапкы абалга келтирилгенден кийин, тиркеме аткарыла баштайт жана кирүү чекитине бутактанат.

Nios® V кыналган процессордук дизайн колдонмосу 54

Пикир жөнөтүү

4. Nios V Processor Configuration and Booting Solutions 726952 | 2025.07.16

Эскертүү:

· OCRAM'дан Execute-In-Place жүктөөчү көчүрмөнү талап кылбайт, анткени Nios V процессордук тиркемеси системаны баштапкы абалга келтирүүдө мурунтан эле бар.
· Altera бул жүктөө ыкмасы үчүн alt_load() функциясын иштетүүнү сунуштайт, андыктан камтылган программа FPGA түзмөгүнүн сүрөтүн кайра конфигурациялабастан баштапкы абалга келтирилгенде дал ошондой иш кылат.
· Системаны баштапкы абалга келтирүүдө .rwdata бөлүмүн көчүрүү үчүн BSP Орнотууларында alt_load() функциясын иштетишиңиз керек. Бул ыкмада инициализацияланган өзгөрмөлөрдүн баштапкы маанилери программанын аткарылышынын үстүнөн жазылбоо үчүн тиешелүү өзгөрмөлөрдөн өзүнчө сакталат.

4.4.4. Nios V процессордук колдонмо TCMден өз ордунда аткарылат
Орунда аткаруу ыкмасы Nios V процессорунун баштапкы дарегин бекем туташкан эс тутумдун (TCM) базалык дарегине орнотот. Колдонмонун бинардык (.hex) file Quartus Prime программасында аппараттык дизайнды түзгөндөн кийин FPGA конфигурациялаганыңызда МТБга жүктөлөт. Nios V процессору баштапкы абалга келтирилгенден кийин, тиркеме аткарыла баштайт жана кирүү чекитине бутактанат.

Эскертүү:

TCMден "Орнунда аткаруу" жүктөөчү көчүрмөнү талап кылбайт, анткени Nios V процессордук тиркемеси системаны баштапкы абалга келтирүүдө мурунтан эле бар.

4.5. Chip жарыгынан (UFM) Nios V процессору жүктөлүүдө

Nios V процессорунун микросхемадагы флэштен (UFM) жүктөө жана аткаруу программасы MAX 10 FPGA түзмөктөрүндө жеткиликтүү. Nios V процессору Ички конфигурация режиминде On-Chip Flash аркылуу төмөнкү эки жүктөө опциясын колдойт:
· Nios V процессордук тиркемеси On-Chip Flashтен ордунда иштейт.
· Nios V процессордук тиркемеси On-Chip Flashтен оперативдик эстутумга жүктөөчү көчүргүч аркылуу көчүрүлөт.

Таблица 33. Тиешелүү жүктөө параметрлери менен колдоого алынган Flash эс тутумдары

Колдоого алынган жүктөө эс тутумдары

Nios V жүктөө ыкмалары

Колдонмонун Runtime жайгашкан жери

Жүктөөчү көчүрмө

MAX 10 гана түзмөк (OnChip Flash IP менен)

Nios V процессордук тиркемеси On-Chip Flashтен орундалат
Nios V процессордук тиркемеси On-Chip Flashтен оперативдик эстутумга жүктөөчү көчүрмөнү колдонуу менен көчүрүлгөн

On-chip Flash (XIP) + OCRAM/ Тышкы оперативдүү эс (жазуу маалымат бөлүмдөрү үчүн)

alt_load() функциясы

OCRAM/ Тышкы RAM

GSFI аркылуу жүктөгүчтү кайра колдонуу

Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 55

4. Nios V Processor Configuration and Booting Solutions 726952 | 2025.07.16

31-сүрөт.

Дизайн, конфигурация жана жүктөө агымы
Дизайн · Platform Designer аркылуу Nios V процессоруна негизделген долбоорду түзүңүз. · Системанын дизайнында тышкы RAM же чиптеги оперативдүү эс бар экендигин текшериңиз.

FPGA конфигурациясы жана компиляциясы
· Platform Designer жана Quartus Prime программасында On-chip Flash IP ичинде бирдей ички конфигурация режимин орнотуңуз. · Nios V процессорун баштапкы абалга келтирүүчү агентти On-chip Flash кылып орнотуңуз. · Өзүңүзгө жаккан UFM баштоо ыкмасын тандаңыз. · Платформа дизайнеринде дизайныңызды түзүңүз. · Долбооруңузду Quartus Prime программасында түзүңүз.

Колдонуучу Колдонмо BSP долбоору · .sopcinfo негизинде Nios V HAL BSP процессорун түзүңүз file Platform Designer тарабынан түзүлгөн. · BSP редакторунда Nios V процессорунун BSP жөндөөлөрүн жана Linker Scriptти түзөтүңүз. · BSP долбоорун түзүү.
Колдонуучу Колдонмо APP долбоору · Nios V процессорунун колдонмо кодун иштеп чыгуу. · Nios V процессордук тиркемесин түзүңүз жана Nios V процессордук тиркемесин түзүңүз (.hex) file. · Intel FPGA On-Chip Flash IP ичинде Эстутум мазмунун инициализациялоо опциясын белгилесеңиз, проектиңизди Quartus Prime программасында кайра компиляциялаңыз.

Программалоо Fileс Конверсия, жүктөө жана иштетүү · On-chip Flash .pof жаратыңыз file Convert Programming колдонуу FileQuartus Prime программасындагы өзгөчөлүк.
· .pof программасы file MAX 10 түзмөгүңүзгө. · Аппаратыңыздын кубаттуулугун айлантыңыз.
4.5.1. MAX 10 FPGA On-Chip Flash Description
MAX 10 FPGA түзмөктөрү эки бөлүккө бөлүнгөн чиптик жаркты камтыйт: · Конфигурациялык Flash эстутум (CFM) — аппараттык камсыздоонун конфигурация маалыматтарын сактайт.
MAX 10 FPGA. · User Flash Memory (UFM) — колдонуучунун маалыматтарын же программалык тиркемелерди сактайт.
MAX 10 түзмөгүнүн UFM архитектурасы жумшак жана катуу IP даректеринин айкалышы. Сиз Quartus Prime программасындагы On-Chip Flash IP Core аркылуу UFMге гана кире аласыз.
On-chip Flash IP өзөгү төмөнкү функцияларды колдойт: · UFM жана CFM секторлорун окуу же жазуу мүмкүнчүлүгү (эгерде Platform Designerде иштетилген болсо)
Avalon MM маалыматтарын жана башкаруу кул интерфейсин колдонуу. · Баракты өчүрүүнү, секторду өчүрүүнү жана секторду жазууну колдойт. · UFM окуу/жазуу мүмкүнчүлүгү үчүн симуляциялык модель ар кандай EDA симуляция куралдарын колдонуу менен.

Nios® V кыналган процессордук дизайн колдонмосу 56

Пикир жөнөтүү

4. Nios V Processor Configuration and Booting Solutions 726952 | 2025.07.16

Таблица 34. MAX 10 FPGA түзмөктөрүндөгү чиптеги Flash аймактары

Flash аймактар

Функционалдык

Конфигурация Flash эс тутуму (CFM0-2 секторлору)

FPGA конфигурациясы file сактоо

Колдонуучунун Flash эс тутуму (UFM0-1 секторлору)

Nios V процессордук колдонмосу жана колдонуучу маалыматтары

MAX 10 FPGA түзмөктөрү бир нече конфигурация режимдерин колдойт жана бул режимдердин айрымдары CFM1 жана CFM2ди кошумча UFM аймагы катары колдонууга мүмкүндүк берет. Төмөнкү таблица MAX 10 FPGA конфигурациялоо режимдеринин негизинде FPGA конфигурациясынын сүрөттөрүнүн сакталышын көрсөтөт.

Таблица 35. FPGA конфигурациясынын сүрөттөрүнүн сакталган жери

Конфигурация режими Кош кысылган сүрөттөр

CFM2 кысылган сүрөт 2

CFM1

CFM0 кысылган сүрөт 1

Бир кысылбаган сүрөт

Virtual UFM

Кысылбаган сүрөт

Эстутумду инициализациялоо менен бир кысылбаган сүрөт

Кысылбаган сүрөт (алдын ала инициализацияланган чиптеги эс тутум мазмуну менен)

Эстутумду инициализациялоо менен бир кысылган сүрөт Кысылган сүрөт (алдын ала инициализацияланган чиптеги эстутум мазмуну менен)

Жалгыз кысылган сүрөт

Virtual UFM

Кысылган сүрөт

MAX 10 FPGAдагы флеш эстутумга кирүү үчүн On-chip Flash IP өзөгүн колдонушуңуз керек. Сиз On-chip Flash IP түзмөгүн Quartus Prime программасына туташтыра аласыз. Nios V жумшак негизги процессору On-chip Flash IP менен байланышуу үчүн Platform Designer өз ара байланыштарын колдонот.
Сүрөт 32. On-chip Flash IP жана Nios V процессорунун ортосундагы байланыш

Эскертүү:

Процессорго жазуу жана өчүрүү операцияларын башкарууну иштетүү үчүн On-chip Flash csr портунун Nios V процессоруна data_manager туташтырылганын текшериңиз.
On-chip Flash IP өзөгү беш флеш секторуна кирүү мүмкүнчүлүгүн камсыздай алат - UFM0, UFM1, CFM0, CFM1 жана CFM2.
UFM жана CFM секторлору жөнүндө маанилүү маалымат.: · CFM секторлору конфигурациялоо (бит агым) маалыматтарын (*.pof) сактоо үчүн арналган.
· Колдонуучунун маалыматтары UFM секторлорунда сакталышы мүмкүн жана Platform Designer куралында туура орнотуулар тандалган болсо, жашырылышы мүмкүн.
· Айрым түзмөктөрдө UFM1 сектору жок. Сиз таблицага кайрылсаңыз болот: UFM жана CFM секторунун өлчөмү ар бир өзүнчө MAX 10 FPGA түзмөгүндөгү жеткиликтүү секторлор үчүн.

Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 57

4. Nios V Processor Configuration and Booting Solutions 726952 | 2025.07.16

· Сиз Single Uncompressed Image конфигурация режимин тандоо менен CFM2ди виртуалдык UFM катары конфигурациялай аласыз.
· Сиз CFM2 жана CFM1ди Single Uncompressed Image конфигурация режимин тандоо менен виртуалдык UFM катары конфигурациялай аласыз.
· Ар бир сектордун өлчөмү тандалган MAX 10 FPGA түзмөктөрүнө жараша өзгөрөт.

Таблица 36.

UFM жана CFM секторунун өлчөмү
Бул таблицада UFM жана CFM массивдеринин өлчөмдөрү келтирилген.

Түзмөк

Сектор боюнча баракчалар

UFM1 UFM0 CFM2 CFM1 CFM0

Барактын өлчөмү (Кбит)

Максималдуу колдонуучу
Флэш эстутумдун көлөмү (Кбит) (3)

Жалпы конфигурация эс тутумунун көлөмү (Кбит)

10М02 3

3

0

0

34 16

96

544

10М04 0

8

41 29 70 16

1248

2240

10М08 8

8

41 29 70 16

1376

2240

10М16 4

4

38 28 66 32

2368

4224

10М25 4

4

52 40 92 32

3200

5888

10М40 4

4

48 36 84 64

5888

10752

10М50 4

4

48 36 84 64

5888

10752

OCRAM өлчөмү (Кбит)
108 189 378 549 675 1260 1638

Тиешелүү маалымат · MAX 10 FPGA конфигурациясынын Колдонуучу колдонмосу · Altera MAX 10 Колдонуучу Flash Memory Колдонуучунун колдонмосу

4.5.2. Nios V процессордук тиркемеси UFMден өз ордунда аткарылат

UFM чечиминен Execute-In-Place чипте эстутумду чектөөнү талап кылган Nios V процессордук колдонмолору үчүн ылайыктуу. alt_load() функциясы BSP жөндөөлөрүнүн негизинде жүктөө эстутумунан RAMга маалымат бөлүмдөрүн (.rodata, .rwdata же .exceptions) көчүрүүчү мини жүктөөчү көчүрмө катары иштейт. Код бөлүмү (.text),
окуу гана бөлүмү болуп саналат, MAX 10 On-chip Flash эстутум аймагында калат. Бул орнотуу RAM колдонууну азайтат, бирок коддун аткарылышын чектеши мүмкүн, анткени флеш эстутумга кирүү чиптеги RAMга караганда жайыраак.

Nios V процессордук тиркемеси UFM секторуна программаланган. Nios V процессорунун баштапкы абалга келтирүү вектору система кайра орнотулгандан кийин UFMден кодду аткаруу үчүн UFM базалык дарегин көрсөтөт.

Эгер сиз колдонмоңуздун мүчүлүштүктөрүн оңдоо үчүн булак деңгээлиндеги мүчүлүштүктөрдү оңдоочуну колдонуп жатсаңыз, аппараттык камсыздоонун үзгүлтүккө учурашы керек. Себеби, UFM кокустук эстутумга кирүү мүмкүнчүлүгүн колдобойт, ал жумшак үзгүлтүккө учуроо үчүн зарыл.

Эскертүү:

MAX 10до өз ордунда аткарып жатканда UFMди өчүрүп же жаза албайсыз. UFMди өчүрүү же жазуу керек болсо, көчүрүүнү жүктөө ыкмасына которуңуз.

(3) Сиз тандаган конфигурация режимине жараша боло турган максималдуу маани.

Nios® V кыналган процессордук дизайн колдонмосу 58

Пикир жөнөтүү

4. Nios V Processor Configuration and Booting Solutions 726952 | 2025.07.16

Сүрөт 33. UFMден Nios V Processor Application XIP

Макс 10 түзмөк

.POF
Nios V Hardware .SOF
Nios V Software .HEX

Quartus программист

On-chip Flash

CFM

Nios V аппараттык

UFM

Nios V программалык камсыздоо

Ички конфигурация

On-chip Flash IP

FPGA логикасы
Nios V процессору

Чиптеги RAM

Тышкы

RAM

EMIF

IP

4.5.2.1. Аппараттык долбоорлоо агымы
Кийинки бөлүмдө On-Chip Flashтен Nios V процессордук тиркемесинин жүктөлүүчү тутумун куруунун этап-этабы менен ыкмасы сүрөттөлөт. мурдагыampтөмөндө MAX 10 түзмөгү менен курулган.
IP компонентинин жөндөөлөрү
1. Quartus Prime жана Platform Designer аркылуу Nios V процессоруңуздун долбоорун түзүңүз. 2. Платформаңызга тышкы RAM же чиптик эс тутум (OCRAM) кошулганын текшериңиз
Дизайнер системасы.

Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 59

4. Nios V Processor Configuration and Booting Solutions 726952 | 2025.07.16
Сүрөт 34. МисampOnChip Flashтен (UFM) Nios V жүктөө үчүн Платформа Дизайнериндеги IP туташуулары

3. On-Chip Flash IP параметринин редакторунда конфигурация режимин төмөнкүлөрдүн бирине конфигурациялоо режимин орнотуңуз: · Бир кысылбаган сүрөт · бир кысылган сүрөт · эстутумду инициализациялоо менен бир кысылган сүрөт · эстутумду инициализациялоо менен бир кысылган сүрөт
Кош кысылган сүрөттөр жөнүндө көбүрөөк маалымат алуу үчүн, MAX 10 FPGA конфигурациясынын Колдонуучу колдонмосун караңыз – Алыскы системаны жаңыртуу.

Эскертүү:

Сиз On-Chip Flash IP ичиндеги ар бир CFM аймактарына Жашыруун мүмкүндүк дайындыңыз.

Сүрөт 35. On-Chip Flash Параметр редакторунда конфигурация режимин тандоо

On-chip Flash IP орнотуулары – UFM инициализациясы Сиз өз каалооңузга жараша төмөнкү ыкмалардын бирин тандай аласыз:

Nios® V кыналган процессордук дизайн колдонмосу 60

Пикир жөнөтүү

4. Nios V Processor Configuration and Booting Solutions 726952 | 2025.07.16

Эскертүү:

Кийинки бөлүмдөрдөгү кадамдар (Программалык камсыздоонун агымы жана программалоо) бул жерде жасаган тандооңузга жараша болот.

· Метод 1: УФМ маалыматтарын компиляция учурунда инициализациялоо
Quartus Prime компиляция учурунда SOFке UFM инициализация маалыматтарын камтыйт. UFM маалыматтарында өзгөрүүлөр болсо, SOF кайра компиляциясы керек.
1. Флеш мазмунду баштоону жана Демейки эмес инициализацияны иштетүүнү белгилеңиз file.

Сүрөт 36. Flash мазмунун инициализациялоо жана демейки эмес инициализацияны иштетүү File

2. Түзүлгөн .hexтин жолун көрсөтүңүз file (elf2hex буйругунан) Колдонуучу hex же mif түзгөн file.
37-сүрөт. .hexти кошуу File Жол

· Метод 2: POF түзүү учурунда түзүлгөн SOF менен UFM маалыматтарын бириктириңиз
UFM маалыматтары программалоону конвертациялоодо түзүлгөн SOF менен бириктирилет fileс. UFM маалыматтары өзгөрсө дагы, SOFти кайра компиляциялоонун кереги жок. Иштеп чыгуу учурунда SOFти кайра компиляциялоонун кереги жок files колдонмодогу өзгөртүүлөр үчүн. Altera колдонмо иштеп чыгуучулар үчүн бул ыкманы сунуштайт.
1. Флэш мазмунду инициализациялоонун белгисин алып салыңыз.
Сүрөт 38. Демейки эмес инициализация менен Flash мазмунун инициализациялоо File

Nios V процессорунун ордунда аткаруу ыкмасы үчүн агенттин жөндөөлөрүн баштапкы абалга келтириңиз
1. Nios V процессорунун параметр редакторунда Reset Agentди On-Chip Flash кылып орнотуңуз.
39-сүрөт. Nios V процессорунун Параметрлер Редакторунун Орнотуулары Reset Agent менен On-Chip Flashке орнотулган

2. Generation диалог терезеси пайда болгондо, HDL жаратууну басыңыз. 3. Чыгарууну көрсөтүңүз file түзүү параметрлерин жана "Гуруу" чыкылдатыңыз.

Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 61

4. Nios V Processor Configuration and Booting Solutions 726952 | 2025.07.16
Quartus Prime Программасынын Орнотуулары 1. Quartus Prime программасында Assignments Device Device жана Pin дегенди басыңыз.
Параметрлер Конфигурация. On-Chip Flash IP орнотуусуна ылайык Конфигурация режимин коюңуз. Сүрөт 40. Quartus Prime программасында конфигурация режимин тандоо

2. Түзмөк жана Төө Параметрлер терезесинен чыгуу үчүн OK басыңыз,
3. Түзмөк терезесинен чыгуу үчүн OK баскычын басыңыз.
4. Долбооруңузду компиляциялоо жана .sof түзүү үчүн Процессияны баштоо Компиляцияны басыңыз file.

Эскертүү:

Эгерде Quartus Prime программасынын жана Platform Designer параметринин редакторундагы конфигурация режиминин жөндөөсү башкача болсо, Quartus Prime долбоору төмөнкү ката билдирүүсү менен ишке ашпай калат.

41-сүрөт.

Ар кандай конфигурация режимин орнотуу үчүн ката билдирүүсү Ката (14740): Атомдогу конфигурация режими “q_sys:q_sys_inst| altera_onchip_flash:onchip_flash_1|altera_onchip_flash_block: altera_onchip_flash_block|ufm_block долбооруна туура келбейт”. Долбоордун жөндөөсүнө дал келүү үчүн Qsys тутумун жаңыртыңыз жана кайра түзүңүз.

Тектеш маалымат MAX 10 FPGA конфигурациясынын Колдонуучунун колдонмосу

4.5.2.2. Программалык камсыздоону долбоорлоо агымы
Бул бөлүм Nios V процессорунун программалык долбоорун түзүү жана куруу үчүн дизайн агымын камсыз кылат. Ыкчамдалган куруу агымын камсыз кылуу үчүн, сиз дизайн долбооруңузда окшош каталог дарагын түзүүгө чакырылат. Төмөнкү программалык дизайн агымы ушул каталог дарагына негизделген.
Программалык камсыздоо долбоорунун каталог дарагын түзүү үчүн, төмөнкү кадамдарды аткарыңыз: 1. Дизайн долбоорунун папкасында программалык камсыздоо деп аталган папканы түзүңүз. 2. Программа папкасында hal_app жана hal_bsp деп аталган эки папканы түзүңүз.
42-сүрөт. Программалык камсыздоо долбоорунун каталог дарагы

Nios® V кыналган процессордук дизайн колдонмосу 62

Пикир жөнөтүү

4. Nios V Processor Configuration and Booting Solutions 726952 | 2025.07.16
Колдонмо BSP долбоорун түзүү
BSP Редакторун ишке киргизүү үчүн, бул кадамдарды аткарыңыз: 1. Nios V Command Shell киргизиңиз. 2. BSP редакторун niosv-bsp-editor буйругу менен чакырыңыз. 3. BSP редакторунда чыкылдатыңыз File BSP долбоорун баштоо үчүн жаңы BSP. 4. Төмөнкү орнотууларды конфигурациялаңыз:
· SOPC маалыматы File аты: SOPCINFO менен камсыз кылуу file (.sopcinfo). · CPU аты: Nios V процессорун тандаңыз. · Операция системасы: Nios V процессорунун иштөө тутумун тандаңыз. · Версия: Демейки катары калтырыңыз. · BSP максаттуу каталогу: BSP долбоорунун каталог жолун тандаңыз. Сенин колуңдан келет
аны алдын ала коюу /software/hal_bsp Демейки жерлерди колдонууну иштетүү. · BSP орнотуулары File аты: BSP Орнотууларынын атын териңиз File. · Кошумча Tcl скрипттери: Кошумча Tcl сценарийин иштетүүнү иштетүү менен BSP Tcl скриптин камсыз кылыңыз. 5. OK басыңыз.
Сүрөт 43. Жаңы BSPди конфигурациялаңыз

BSP редакторун конфигурациялоо жана BSP долбоорун түзүү
Процессордун өзгөчө векторун чиптик эс тутумда (OCRAM) же дизайн тандооңуздун негизинде On-Chip Flashте аныктай аласыз. Үзгүлтүктөрдү иштетүүнү тездетүү үчүн өзгөчө вектордук эстутумду OCRAM/Тышкы RAMга коюу сунушталат. 1. Негизги орнотуулар Advanced hal.linker өтүңүз. 2. Эгерде сиз өзгөчө вектор катары On-Chip Flashти тандасаңыз,
а. Төмөнкү орнотууларды иштетүү:

Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 63

4. Nios V Processor Configuration and Booting Solutions 726952 | 2025.07.16
· allow_code_at_reset · enable_alt_load · enable_alt_load_copy_rodata · enable_alt_load_copy_rwdata 44-сүрөт. Advanced.hal.linker орнотуулары

б. BSP редакторундагы Linker Script өтмөктү чыкылдатыңыз. в. .exceptions жана .text аймактарын Шилтемечи бөлүмдүн аталышына коюңуз
On-chip Flash. г. Шилтеме берүүчү бөлүмдүн аталышынын тизмесиндеги калган аймактарды Чипте орнотуңуз
Эстутум (OCRAM) же тышкы RAM.
45-сүрөт. Линкер чөлкөмүнүн жөндөөлөрү (Вектордук эстутумдун өзгөчөлүгү: Чипте Flash)

3. Эгерде сиз өзгөчө вектор катары OCRAM/Тышкы RAM тандасаңыз, а. Төмөнкү орнотууларды иштетүү: · allow_code_at_reset · enable_alt_load · enable_alt_load_copy_rodata · enable_alt_load_copy_rwdata · enable_alt_load_copy_exception
46-сүрөт. Линкер чөлкөмүнүн жөндөөлөрү (Вектордук эстутумдан өзгөчө: OCRAM/Тышкы RAM)

б. BSP редакторундагы Linker Script өтмөктү чыкылдатыңыз.
в. Шилтеме берүүчү бөлүмдүн аталышындагы.text аймактарын On-Chip Flash кылып коюңуз.
г. Шилтеме берүүчү бөлүмдүн аталышынын тизмесиндеги калган аймактарды чиптик эс тутумга (OCRAM) же тышкы RAMга коюңуз.

Nios® V кыналган процессордук дизайн колдонмосу 64

Пикир жөнөтүү

4. Nios V Processor Configuration and Booting Solutions 726952 | 2025.07.16
47-сүрөт. Шилтемечинин аймагынын жөндөөлөрү (Вектордук эстутумдун өзгөчөлүгү: OCRAM)
4. BSP долбоорун түзүү үчүн Generate басыңыз. Колдонуучунун Колдонмо долбоорун түзүү File 1. Software/hal_app папкасына өтүңүз жана колдонмо булагын түзүңүз
код. 2. Nios V Command Shellди ишке киргизиңиз. 3. CMakeLists.txt тиркемесин түзүү үчүн төмөнкү буйрукту аткарыңыз.
niosv-app –app-dir=software/hal_app –bsp-dir=software/hal_bsp –srcs=software/hal_app/
Колдонуучунун Колдонмо Долбоорун түзүү Сиз Altera FPGAs үчүн Ashling RiscFree IDE же буйрук сабы интерфейси (CLI) аркылуу колдонуучу тиркемесинин долбоорун курууну тандай аласыз. Эгер сиз CLI колдонууну кааласаңыз, төмөнкү буйрукту колдонуп колдонуучу тиркемесин кура аласыз: cmake -G "Unix Makefiles” -B software/hal_app/build -S software/hal_app make -C software/hal_app/build
Колдонмо (.elf) file software/hal_app/build папкасында түзүлгөн. HEX түзүү File Сиз .hex түзүшүңүз керек file колдонмоңуздан .elf file, ошондуктан сиз .pof түзө аласыз file түзмөктөрдү программалоо үчүн ылайыктуу. 1. Nios V Command Shellди ишке киргизиңиз. 2. On-Chip Flashтен Nios V процессордук тиркемесин жүктөө үчүн төмөнкүнү колдонуңуз
колдонмоңуз үчүн ELFти HEXке айландыруу үчүн буйрук сабы. Бул буйрук колдонуучу тиркемесин түзөт (onchip_flash.hex) file. elf2hex software/hal_app/build/ .elf -o onchip_flash.hex
-б -w 8 -e 3. On-Chip Flash IP (Метод 1) ичинде Эстутумдун мазмунун инициализациялоо опциясын белгилесеңиз, аппараттык түзүлүштү кайра компиляциялаңыз. Бул программалык камсыздоонун маалыматтарын (.HEX) SOFке киргизүү file.

Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 65

4. Nios V Processor Configuration and Booting Solutions 726952 | 2025.07.16
4.5.2.3. Программалоо 1. Quartus Primeда чыкылдатыңыз File Convert Programming Fileс. 2. Чыгуу программалоо астында file, Программист объектисин тандаңыз File (.pof) программалоо катары file түрү. 3. Режимди ички конфигурацияга коюңуз.
Сүрөт 48. Convert Programming File Орнотуулар
4. Options/Boot info… дегенди басыңыз, MAX 10 Device Options терезеси пайда болот. 5. On-chip Flash IP ичиндеги Flash мазмунунун жөндөөлөрүнүн негизинде, аткарыңыз
төмөнкү кадамдардын бири: · Флэш мазмунду инициализациялоо белгиленсе (1-ыкма), UFM инициализация маалыматы
Quartus Prime компиляциясы учурунда SOFке киргизилген. — UFM булагы үчүн Page_0 тандаңыз: опциясы. OK басыңыз жана өтүңүз
кийинки. Сүрөт 49. Flash мазмунун инициализациялоо текшерилсе, UFM булагы үчүн Page_0 орнотуу

Nios® V кыналган процессордук дизайн колдонмосу 66

Пикир жөнөтүү

4. Nios V Processor Configuration and Booting Solutions 726952 | 2025.07.16
· Флэш мазмунду инициализациялоо белгиленбесе (2-ыкма), Load Memory тандаңыз file UFM булагы опциясы үчүн. Түзүлгөн On-chip Flash HEXти карап чыгыңыз file (onchip_flash.hex) ичинде File жол: жана OK басыңыз. Бул кадам UFM маалыматтарын SOFга өзүнчө кошот file программалоо учурунда file конверсия.
Сүрөт 50. Жүктөө эс тутумун орнотуу File Flash мазмунун инициализациялоо белгиленбесе, UFM булагы үчүн

6. Convert Programming File диалог терезеси, киргизүү fileс бөлүмүн айландыруу үчүн, Кошууну чыкылдатыңыз File… жана түзүлгөн Quartus Prime .sofду көрсөтүңүз file.
51-сүрөт. Киргизүү Files Convert Программалоодо Convert FileS Single Image Mode үчүн

7. .pof түзүү үчүн Generate басыңыз file. 8. .pof программасы file MAX 10 түзмөгүңүзгө. 9. Аппаратыңыздын электр кубатын айлантыңыз.

4.5.3. Nios V процессордук тиркемеси Boot Copier аркылуу UFMден RAMга көчүрүлгөн

Altera бул чечимди MAX 10 FPGA Nios V процессор тутумунун конструкциялары үчүн сунуштайт, мында колдонмо программалык камсыздоону иштеп чыгуунун бир нече итерациясы жана системанын жогорку көрсөткүчтөрү талап кылынат. Жүктөөчү көчүргүч UFM ичинде баштапкы абалга келтирүү вектору менен бирдей даректе жайгашкан. Nios V тиркемеси жүктөөчү көчүргүчтүн жанында жайгашкан.

Бул жүктөө опциясы үчүн, Nios V процессору тиркемени UFM секторунан OCRAMга же тышкы RAMга көчүрүү үчүн системаны баштапкы абалга келтиргенден кийин жүктөөчү көчүрмөнү ишке ашыра баштайт. Көчүрүү аяктагандан кийин, Nios V процессору программаны башкарууну тиркемеге өткөрүп берет.

Эскертүү:

Колдонулган жүктөөчү көчүргүч GSFI аркылуу жүктөгүч менен бирдей.

Пикир жөнөтүү

Nios® V кыналган процессордук дизайн колдонмосу 67

4. Nios V Processor Configuration and Booting Solutions 726952 | 2025.07.16

Сүрөт 52. Nios V тиркемесинин UFMден RAMга көчүрүлгөн жүктөөчү көчүрмөсү аркылуу

Макс 10 түзмөк

.POF
Nios V Hardware .SOF
Nios V Software .HEX
Жүктөөчү .SREC

Quartus программист

Тышкы RAM
Nios V программалык камсыздоо

On-chip Flash

CFM

Nios V Hardwa

Документтер / Ресурстар

altera Nios V камтылган процессору [pdf] Колдонуучунун колдонмосу
Nios V, Nios Vm, Nios Vg, Nios Vc, Nios V кыналган процессор, Nios V, камтылган процессор, процессор

Шилтемелер

Комментарий калтырыңыз

Сиздин электрондук почта дарегиңиз жарыяланбайт. Талап кылынган талаалар белгиленген *