altera Nios V Embedded Processor
ዝርዝሮች
- የምርት ስም: ኒዮስ ቪ ፕሮሰሰር
- Software Compatibility: Quartus Prime Software and Platform Designer
- የአቀነባባሪ አይነት: Altera FPGA
- የማህደረ ትውስታ ስርዓት፡ ተለዋዋጭ እና የማይነቃነቅ ማህደረ ትውስታ
- የመገናኛ በይነገጽ: UART ወኪል
Nios V Processor Hardware System Design
To design the Nios V Processor hardware system, follow these steps:
- Create Nios V Processor system design using Platform Designer.
- ስርዓቱን ወደ Quartus Prime ፕሮጀክት ያዋህዱት።
- Design memory system including volatile and non-volatile memory.
- ሰዓቶችን ይተግብሩ እና ምርጥ ልምዶችን ዳግም ያስጀምሩ።
- ለተቀላጠፈ ሥራ ነባሪ እና UART ወኪሎችን ይመድቡ።
Nios V Processor Software System Design
ለNios V Processor የሶፍትዌር ስርዓቱን ለመንደፍ፡-
- ለNios V Processor የሶፍትዌር ልማት ፍሰትን ይከተሉ።
- Create Board Support Package Project and Application Project.
Nios V Processor Configuration and Booting Solutions
የኒዮስ ቪ ፕሮሰሰርን ለማዋቀር እና ለማስነሳት፡-
- Understand the introduction to configuration and booting solutions.
- አፕሊኬሽኖችን አገናኝ ለሌለው ክዋኔ።
About the Nios® V Embedded Processor
1.1. Altera® FPGA እና የተከተቱ ፕሮሰሰሮች በላይview
Altera FPGA መሳሪያዎች ብዙ አማራጮችን እየሰጡ እንደ ሙሉ ማይክሮፕሮሰሰር የሚሰራ አመክንዮ መተግበር ይችላሉ።
በዲስክሪት ማይክሮፕሮሰሰር እና በአልቴራ FPGA መካከል ያለው ጠቃሚ ልዩነት Altera FPGA ጨርቅ ሲበራ ምንም አይነት አመክንዮ አለመያዙ ነው። የኒዮስ® ቪ ፕሮሰሰር በRISC-V ዝርዝር ላይ የተመሰረተ ለስላሳ የአእምሮአዊ ንብረት (IP) ፕሮሰሰር ነው። ሶፍትዌሮችን በኒዮስ ቪ ፕሮሰሰርን መሰረት ባደረገ ሲስተም ከማሄድዎ በፊት የ Altera FPGA መሳሪያን ኒዮስ ቪ ፕሮሰሰር ካለው የሃርድዌር ዲዛይን ጋር ማዋቀር አለቦት። እንደ ዲዛይኑ መስፈርቶች መሰረት የኒዮስ ቪ ፕሮሰሰርን በአልቴራ ኤፍፒጂኤ ላይ በማንኛውም ቦታ ማስቀመጥ ይችላሉ።
የእርስዎ Altera® FPGA IP-based inbedded system እንደ የተለየ ማይክሮፕሮሰሰር ላይ የተመሰረተ ስርዓት እንዲሰራ ለማስቻል ስርዓትዎ የሚከተሉትን ማካተት አለበት፡- · AJTAG በይነገጽ Altera FPGA ውቅር፣ ሃርድዌር እና ሶፍትዌርን ለመደገፍ
ማረም · ሃይል የሚጨምር Altera FPGA ማዋቀር ዘዴ
የእርስዎ ስርዓት እነዚህ ችሎታዎች ካሉት፣ በአልቴራ FPGA ውስጥ ከተጫነ ቀድሞ ከተፈተነ የሃርድዌር ንድፍ ንድፍዎን ማጥራት መጀመር ይችላሉ። Altera FPGAን መጠቀም ችግሮችን ለመፍታት ወይም አዲስ ተግባር ለመጨመር ንድፍዎን በፍጥነት እንዲቀይሩ ያስችልዎታል። የስርዓትዎን ጄ በመጠቀም Altera FPGA ን እንደገና በማዋቀር እነዚህን አዳዲስ የሃርድዌር ዲዛይኖች በቀላሉ መሞከር ይችላሉ።TAG በይነገጽ.
ጄTAG በይነገጽ የሃርድዌር እና የሶፍትዌር ልማትን ይደግፋል። ጄን በመጠቀም የሚከተሉትን ተግባራት ማከናወን ይችላሉTAG በይነገጽ፡ · Altera FPGAን አዋቅር · ሶፍትዌሮችን አውርድና ማረም · ከAltera FPGA ጋር በ UART በሚመስል በይነገጽ ይገናኙ (ጄTAG UART
ተርሚናል) · ሃርድዌርን ማረም (በሲግናል ታፕ የተከተተ ሎጂክ ተንታኝ) · የፕሮግራም ፍላሽ ማህደረ ትውስታ
Altera FPGAን በNios V ፕሮሰሰር ላይ የተመሰረተ ንድፍ ካዋቀሩት በኋላ፣ የሶፍትዌር ልማት ፍሰቱ ለተለየ የማይክሮ መቆጣጠሪያ ዲዛይኖች ፍሰት ጋር ተመሳሳይ ነው።
ተዛማጅ መረጃ · AN 985: Nios V Processor Tutorial
ቀላል የኒዮስ ቪ ፕሮሰሰር ሲስተም ስለመፍጠር እና የሄሎ አለም መተግበሪያን ስለማሄድ ፈጣን ጅምር መመሪያ።
© Altera ኮርፖሬሽን. Altera፣ Altera አርማ፣ የ‹a› አርማ እና ሌሎች Altera ምልክቶች የአልቴራ ኮርፖሬሽን የንግድ ምልክቶች ናቸው። Altera በማንኛውም ጊዜ ያለምንም ማስታወቂያ በማናቸውም ምርቶች እና አገልግሎቶች ላይ ለውጦችን የማድረግ መብቱ የተጠበቀ ነው። Altera በማመልከቻው ወይም በማናቸውም መረጃ፣ ምርት ወይም አገልግሎት በአልቴራ በጽሁፍ ከተስማማ በስተቀር ምንም አይነት ሃላፊነት ወይም ተጠያቂነት አይወስድም። የAltera ደንበኞች በማናቸውም የታተመ መረጃ ላይ ከመታመንዎ በፊት እና ለምርቶች ወይም አገልግሎቶች ትእዛዝ ከማስቀመጥዎ በፊት የቅርብ ጊዜውን የመሳሪያ ዝርዝር መግለጫዎችን እንዲያገኙ ይመከራሉ። *ሌሎች ስሞች እና የንግድ ምልክቶች እንደሌሎች ንብረት ሊጠየቁ ይችላሉ።
1. ስለ Nios® V የተከተተ ፕሮሰሰር 726952 | 2025.07.16
· የኒዮስ ቪ ፕሮሰሰር ማመሳከሪያ ማንዋል ስለ ኒዮስ ቪ ፕሮሰሰር አፈጻጸም መለኪያዎች፣ ፕሮሰሰር አርክቴክቸር፣ የፕሮግራም አወጣጥ ሞዴል እና ዋና አተገባበር መረጃ ይሰጣል።
· የተከተተ ፔሪፈራል የአይፒ ተጠቃሚ መመሪያ · ኒዮስ ቪ ፕሮሰሰር ሶፍትዌር ገንቢ መመሪያ
የኒዮስ ቪ ፕሮሰሰር ሶፍትዌር ልማት አካባቢን፣ ያሉትን መሳሪያዎች እና በNios V ፕሮሰሰር የሚሰራውን ሶፍትዌር የመገንባት ሂደት ይገልጻል። · አሽሊንግ* RiscFree* የተቀናጀ ልማት አካባቢ (IDE) ለአልትራ ኤፍፒጂኤዎች የተጠቃሚ መመሪያ RiscFree* የተቀናጀ ልማት አካባቢ (IDE) ለአልትራ FPGAs አርም*-የተመሰረተ HPS እና Nios V ኮር ፕሮሰሰር ይገልጻል። · ኒዮስ ቪ ፕሮሰሰር Altera FPGA IP የመልቀቂያ ማስታወሻዎች
1.2. Quartus® Prime ሶፍትዌር ድጋፍ
የኒዮስ ቪ ፕሮሰሰር ግንባታ ፍሰት ለ Quartus® Prime Pro Edition ሶፍትዌር እና Quartus Prime Standard Edition ሶፍትዌር የተለየ ነው። ስለ ልዩነቶቹ የበለጠ መረጃ ለማግኘት AN 980፡ Nios V Processor Quartus Prime ሶፍትዌር ድጋፍን ይመልከቱ።
ተዛማጅ መረጃ AN 980፡ Nios V Processor Quartus Prime Software ድጋፍ
1.3. ኒዮስ ቪ ፕሮሰሰር ፍቃድ መስጠት
እያንዳንዱ የኒዮስ ቪ ፕሮሰሰር ተለዋጭ የፍቃድ ቁልፍ አለው። አንዴ የፍቃድ ቁልፉን ካገኙ በኋላ ለሁሉም የኒዮስ ቪ ፕሮሰሰር ፕሮጄክቶች ጊዜው የሚያበቃበት ቀን ድረስ ተመሳሳይ የፍቃድ ቁልፍ መጠቀም ይችላሉ። የኒዮስ ቪ ፕሮሰሰር Altera FPGA IP ፍቃዶችን በዜሮ ዋጋ ማግኘት ይችላሉ።
የኒዮስ ቪ ፕሮሰሰር ፍቃድ ቁልፍ ዝርዝር በአልትራ ኤፍፒጂኤ የራስ አገልግሎት ፍቃድ መስጫ ማዕከል ውስጥ ይገኛል። ለግምገማ ወይም ለነጻ ፍቃድ ይመዝገቡ የሚለውን ትር ጠቅ ያድርጉ እና ጥያቄውን ለማቅረብ ተጓዳኝ አማራጮችን ይምረጡ።
ምስል 1. Altera FPGA የራስ አገልግሎት ፍቃድ መስጫ ማዕከል
በፍቃድ ቁልፎቹ የሚከተሉትን ማድረግ ይችላሉ:
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 7
1. ስለ Nios® V የተከተተ ፕሮሰሰር 726952 | 2025.07.16
· በስርዓትዎ ውስጥ የኒዮስ ቪ ፕሮሰሰርን ይተግብሩ። · የኒዮስ ቪ ፕሮሰሰር ሲስተም ባህሪን አስመስለው። · እንደ መጠን እና ፍጥነት ያሉ የንድፍ ተግባራትን ያረጋግጡ። · የመሣሪያ ፕሮግራም ማመንጨት fileኤስ. · መሣሪያን ያቅዱ እና ዲዛይኑን በሃርድዌር ያረጋግጡ።
በአሽሊንግ * RiscFree* IDE ለ Altera FPGAs ሶፍትዌር ለመስራት ፍቃድ አያስፈልገዎትም።
ተዛማጅ መረጃ · Altera FPGA ራስን አገልግሎት ፈቃድ ማዕከል
የኒዮስ ቪ ፕሮሰሰር Altera FPGA IP ፍቃድ ቁልፎችን ስለማግኘት የበለጠ መረጃ ለማግኘት። Altera FPGA ሶፍትዌር መጫን እና ፍቃድ ስለ Altera FPGA ሶፍትዌር ፍቃድ ስለመስጠት እና ቋሚ ፍቃድ እና የአውታረ መረብ ፍቃድ አገልጋይ ስለማቋቋም ለበለጠ መረጃ።
1.4. የተከተተ የስርዓት ንድፍ
የሚከተለው ምስል ሁለቱንም የሃርድዌር እና የሶፍትዌር ልማትን ጨምሮ ቀለል ያለ የኒዮስ ቪ ፕሮሰሰርን መሰረት ያደረገ የስርዓት ንድፍ ፍሰት ያሳያል።
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 8
ግብረ መልስ ላክ
1. ስለ Nios® V የተከተተ ፕሮሰሰር 726952 | 2025.07.16
ምስል 2.
ኒዮስ ቪ ፕሮሰሰር ስርዓት ንድፍ ፍሰት
የስርዓት ጽንሰ-ሀሳብ
የስርዓት መስፈርቶችን ይተንትኑ
ኒዮስ® ቪ
ፕሮሰሰር ኮርስ እና መደበኛ ክፍሎች
ስርዓትን ይግለጹ እና ያመንጩ
መድረክ ዲዛይነር
የሃርድዌር ፍሰት፡ ኢንቴል ኳርትስ ዋና ፕሮጄክትን ያዋህዱ እና ያጠናክሩ
የሶፍትዌር ፍሰት፡ የኒዮስ ቪ ፕሮፖዛል ሶፍትዌርን ይገንቡ እና ይገንቡ
የሃርድዌር ፍሰት፡ የ FPGA ንድፍ አውርድ
ወደ ዒላማ ቦርድ
የሶፍትዌር ፍሰት፡ የኒዮስ ቪ ፕሮሰሰር ሶፍትዌርን ይሞክሩ እና ያርሙ
ሶፍትዌር ምንም አይያሟላም?
አዎ
ሃርድዌር ምንም አይያሟላም? አዎ
ስርዓት ተጠናቋል
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 9
726952 | 2025.07.16 ግብረ መልስ ላክ
2. ኒዮስ ቪ ፕሮሰሰር ሃርድዌር ሲስተም ዲዛይን ከኳርተስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር ጋር
ምስል 3.
የሚከተለው ንድፍ የተለመደውን የኒዮስ ቪ ፕሮሰሰር ሃርድዌር ንድፍ ያሳያል። የኒዮስ ቪ ፕሮሰሰር ሲስተም የሃርድዌር ዲዛይን ፍሰት
ጀምር
ኒዮስ ቪ ኮርስ እና መደበኛ አካላት
በኒዮስ ቪ ላይ የተመሰረተ ስርዓትን ለመንደፍ የመድረክ ዲዛይነርን ይጠቀሙ
የፕላትፎርም ዲዛይነር ንድፍ ይፍጠሩ
የፕላትፎርም ዲዛይነር ስርዓትን ከ Intel Quartus Prime ፕሮጀክት ጋር ያዋህዱ
የፒን ቦታዎችን, የጊዜ መስፈርቶችን እና ሌሎች የንድፍ ገደቦችን ይመድቡ
በ Intel Quartus Prime ውስጥ ሃርድዌርን ለዒላማ መሣሪያ ያሰባስቡ
ለማውረድ ዝግጁ
2.1. ከፕላትፎርም ዲዛይነር ጋር የኒዮስ ቪ ፕሮሰሰር ሲስተም ዲዛይን መፍጠር
የኳርተስ ፕራይም ሶፍትዌር የፕላትፎርም ዲዛይነር ስርዓት ውህደት መሳሪያን ያካትታል የኒዮስ ቪ ፕሮሰሰር IP ኮር እና ሌሎች አይፒዎችን ወደ Altera FPGA ስርዓት ዲዛይን የመወሰን እና የማዋሃድ ስራን ቀላል ያደርገዋል። የፕላትፎርም ዲዛይነር ከተጠቀሰው ከፍተኛ-ደረጃ ግንኙነት በራስ-ሰር የመገናኘት ሎጂክ ይፈጥራል። የተገናኘው አውቶማቲክ የስርዓት ደረጃ HDL ግንኙነቶችን የመግለጽ ጊዜ የሚፈጅ ተግባርን ያስወግዳል።
© Altera ኮርፖሬሽን. Altera፣ Altera አርማ፣ የ‹a› አርማ እና ሌሎች Altera ምልክቶች የአልቴራ ኮርፖሬሽን የንግድ ምልክቶች ናቸው። Altera በማንኛውም ጊዜ ያለምንም ማስታወቂያ በማናቸውም ምርቶች እና አገልግሎቶች ላይ ለውጦችን የማድረግ መብቱ የተጠበቀ ነው። Altera በማመልከቻው ወይም በማናቸውም መረጃ፣ ምርት ወይም አገልግሎት በአልቴራ በጽሁፍ ከተስማማ በስተቀር ምንም አይነት ሃላፊነት ወይም ተጠያቂነት አይወስድም። የAltera ደንበኞች በማናቸውም የታተመ መረጃ ላይ ከመታመንዎ በፊት እና ለምርቶች ወይም አገልግሎቶች ትእዛዝ ከማስቀመጥዎ በፊት የቅርብ ጊዜውን የመሳሪያ ዝርዝር መግለጫዎችን እንዲያገኙ ይመከራሉ። *ሌሎች ስሞች እና የንግድ ምልክቶች እንደሌሎች ንብረት ሊጠየቁ ይችላሉ።
2. ኒዮስ ቪ ፕሮሰሰር ሃርድዌር ሲስተም ዲዛይን ከኳርተስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር ጋር
726952 | 2025.07.16
የሲስተም ሃርድዌር መስፈርቶችን ከመረመርክ በኋላ፣ ኒዮስ ቪ ፕሮሰሰር ኮር፣ ሚሞሪ እና ሌሎች ስርዓቶችህ የሚፈልጓቸውን ክፍሎች ለመለየት Quartus Prime ን ትጠቀማለህ። የፕላትፎርም ዲዛይነር በሃርድዌር ሲስተም ውስጥ ያሉትን ክፍሎች ለማዋሃድ የግንኙነት ሎጂክን በራስ-ሰር ያመነጫል።
2.1.1. ፈጣን ኒዮስ ቪ ፕሮሰሰር Altera FPGA አይፒ
You can instantiate any of the processor IP cores in Platform Designer IP Catalog Processors and Peripherals Embedded Processors.
የእያንዳንዱ ፕሮሰሰር አይፒ ኮር በልዩ አርክቴክቸር ላይ በመመስረት የተለያዩ የውቅር አማራጮችን ይደግፋል። የንድፍ ፍላጎቶችዎን በተሻለ ለማስማማት እነዚህን አወቃቀሮች መግለጽ ይችላሉ።
ሠንጠረዥ 1.
ከዋና ተለዋጮች ባሻገር የማዋቀር አማራጮች
የማዋቀር አማራጮች
ኒዮስ ቪ/ሲ ፕሮሰሰር
ኒዮስ ቪ/ኤም ፕሮሰሰር
የአጠቃቀም ዳግም ማስጀመር ጥያቄን ያርሙ
—
ወጥመዶች፣ ልዩ ሁኔታዎች እና መቆራረጦች
ሲፒዩ አርክቴክቸር
ኢ.ሲ.ሲ
መሸጎጫዎች፣ የዳርቻ ክልሎች እና TCMs
—
—
ብጁ መመሪያዎች
—
—
የመቆለፊያ ደረጃ
—
—
ኒዮስ ቪ/ግ ፕሮሰሰር
2.1.1.1. ፈጣን ኒዮስ ቪ/ሲ የታመቀ ማይክሮ መቆጣጠሪያ Altera FPGA IP ምስል 4. Nios V/c Compact Microcontroller Altera FPGA IP
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 11
2. ኒዮስ ቪ ፕሮሰሰር ሃርድዌር ሲስተም ዲዛይን ከኳርተስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር ጋር
726952 | 2025.07.16
2.1.1.1.1. የሲፒዩ አርክቴክቸር ትር
ሠንጠረዥ 2.
የሲፒዩ አርክቴክቸር ትር
ባህሪ
መግለጫ
የአቫሎን በይነገጽን ያንቁ አቫሎን በይነገጽን ለትምህርት አስተዳዳሪ እና ለውሂብ አስተዳዳሪ ያነቃል። ከተሰናከለ, ስርዓቱ AXI4-Lite በይነገጽን ይጠቀማል.
mhartid CSR ዋጋ
· ልክ ያልሆነ የአይፒ አማራጭ። · በኒዮስ ቪ/ሲ ፕሮሰሰር ውስጥ mhartid CSR እሴትን አይጠቀሙ።
2.1.1.1.2. ዳግም ማስጀመር ጥያቄ ትርን ተጠቀም
ሠንጠረዥ 3.
የዳግም አስጀምር ጥያቄ ትር መለኪያን ተጠቀም
ዳግም ማስጀመር ጥያቄ ትርን ተጠቀም
መግለጫ
የዳግም ማስጀመሪያ ጥያቄ በይነገጽን ያክሉ
· ይህንን አማራጭ በኒዮስ ቪ ፕሮሰሰር ሲስተም ውስጥ ያሉ ሌሎች አካላትን ሳይነካ የኒዮስ ቪ ፕሮሰሰርን ወደ ዳግም ለማስጀመር አንድ የሀገር ውስጥ ማስተር ሊጠቀምበት የሚችልባቸውን የሀገር ውስጥ ዳግም ማስጀመሪያ ወደቦችን ለማጋለጥ ያንቁ።
· የዳግም ማስጀመሪያ በይነገጽ የግቤት ዳግም ማስጀመሪያ ሲግናል እና የውጤት ack ሲግናልን ያካትታል።
· የ Resetreq ሲግናልን በማረጋገጥ ወደ Nios V ፕሮሰሰር ኮር ዳግም ማስጀመር መጠየቅ ይችላሉ።
· የዳግም ማስጀመሪያ ሲግናል ፕሮሰሰሩ የአክ ሲግናል እስኪያረጋግጥ ድረስ መቆየት አለበት። ምልክቱ ተረጋግጦ እንዲቆይ ማድረግ አለመቻል ፕሮሰሰሩ ባልተወሰነ ሁኔታ ውስጥ እንዲገኝ ሊያደርግ ይችላል።
· የኒዮስ ቪ ፕሮሰሰር የአክ ሲግናልን በማረጋገጥ ዳግም ማስጀመር ስኬታማ እንደሆነ ምላሽ ይሰጣል።
· ፕሮሰሰሩ በተሳካ ሁኔታ ዳግም ከተጀመረ በኋላ፣ የዳግም ማስጀመሪያ ሲግናል እስኪገለጥ ድረስ የአክ ሲግናሉ ማረጋገጫ በየጊዜው ብዙ ጊዜ ሊከሰት ይችላል።
2.1.1.1.3. ወጥመዶች፣ ልዩ ሁኔታዎች እና መስተጓጎሎች ትር
ሠንጠረዥ 4.
ወጥመዶች፣ የተለዩ እና የሚያቋርጡ የትር መለኪያዎች
ወጥመዶች፣ ልዩ ሁኔታዎች እና መቆራረጦች
መግለጫ
ወኪል ዳግም አስጀምር
የዳግም ማስጀመሪያ ኮድ የሚገኝበት የዳግም ማስጀመሪያ ቬክተር (የኒዮስ ቪ ፕሮሰሰር ዳግም ማስጀመሪያ አድራሻ) የሚያስተናግደው ማህደረ ትውስታ።
· ማንኛውንም የማስታወሻ ሞጁል ከኒዮስ ቪ ፕሮሰሰር መመሪያ ማስተር ጋር የተገናኘ እና በኒዮስ ቪ ፕሮሰሰር ቡት ፍሰት የሚደገፈውን እንደ ሪሴቶት ወኪል መምረጥ ይችላሉ።
ማካካሻን ዳግም አስጀምር
ከተመረጠው የዳግም ማስጀመሪያ ወኪል አድራሻ አንጻር የዳግም ማስጀመሪያውን የቬክተር ማካካሻ ይገልጻል። · የፕላትፎርም ዲዛይነር ለዳግም ማስጀመሪያው ዋጋ በራስ-ሰር ያቀርባል።
ማስታወሻ፡-
የፕላትፎርም ዲዛይነር ፍፁም አማራጭን ይሰጣል፣ ይህም በ Reset Offset ውስጥ ፍጹም አድራሻ እንዲገልጹ ያስችልዎታል። የዳግም ማስጀመሪያው ቬክተር ከፕሮሰሰር ሲስተም እና ንኡስ ሲስተም ውጭ በሚገኝበት ጊዜ ይህንን አማራጭ ይጠቀሙ።
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 12
ግብረ መልስ ላክ
2. ኒዮስ ቪ ፕሮሰሰር ሃርድዌር ሲስተም ዲዛይን ከኳርተስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር ጋር
726952 | 2025.07.16
2.1.1.1.4. ECC ትር
ሠንጠረዥ 5.
ECC ትር
ኢ.ሲ.ሲ
ስህተት ፈልጎ ማግኘት እና ሁኔታን ሪፖርት ማድረግን አንቃ
መግለጫ
ለኒዮስ ቪ ፕሮሰሰር ውስጣዊ ራም ብሎኮች የ ECC ባህሪን ተግባራዊ ለማድረግ ይህንን አማራጭ ያንቁ። · የኢሲሲ ባህሪያት እስከ 2-ቢት ስህተቶችን ፈልገው በሚከተለው ባህሪ ላይ ተመስርተው ምላሽ ይሰጣሉ።
- ሊስተካከል የሚችል ስህተት 1-ቢት ከሆነ, በማቀነባበሪያው ቧንቧው ውስጥ ያለውን ስህተት ካስተካከለ በኋላ ማቀነባበሪያው መስራቱን ይቀጥላል. ይሁን እንጂ እርማቱ በምንጭ ትውስታዎች ውስጥ አይንጸባረቅም.
- ስህተቱ የማይታረም ከሆነ ፕሮሰሰሩ በማቀነባበሪያ ቧንቧ መስመር እና በምንጭ ትውስታዎች ውስጥ ሳያስተካክል መስራቱን ይቀጥላል፣ ይህም ፕሮሰሰሩ ወደማይታወቅ ሁኔታ እንዲገባ ሊያደርግ ይችላል።
2.1.1.2. ፈጣን Nios V/m ማይክሮ መቆጣጠሪያ Altera FPGA IP ምስል 5. Nios V/m ማይክሮ መቆጣጠሪያ Altera FPGA IP
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 13
2. ኒዮስ ቪ ፕሮሰሰር ሃርድዌር ሲስተም ዲዛይን ከኳርተስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር ጋር
726952 | 2025.07.16
2.1.1.2.1. ትርን ማረም
ሠንጠረዥ 6.
የትር መለኪያዎችን ያርሙ
ትርን ማረም
መግለጫ
ማረም አንቃ
ከማረም ሞዱል ዳግም ማስጀመርን አንቃ
ጄን ለመጨመር ይህንን አማራጭ ያንቁTAG የዒላማ ግንኙነት ሞጁል ወደ Nios V ፕሮሰሰር. · ጄTAG የዒላማ ግንኙነት ሞጁል ከኒዮስ ቪ ፕሮሰሰር ጋር ለመገናኘት በ ውስጥ ይፈቅዳል
JTAG የ FPGA በይነገጽ ፒን. · ግንኙነቱ የሚከተሉትን መሰረታዊ ችሎታዎች ይሰጣል።
- የኒዮስ ቪ ፕሮሰሰርን ይጀምሩ እና ያቁሙ - መዝገቦችን እና ማህደረ ትውስታን ይፈትሹ እና ያርትዑ። — የኒዮስ ቪ መተግበሪያን ያውርዱ .elf file ወደ ፕሮሰሰር ማህደረ ትውስታ በሂደት ጊዜ በኩል
niosv-ማውረድ. — በኒዮስ ቪ ፕሮሰሰር ላይ የሚሰራውን አፕሊኬሽን ያርሙ · dm_agent ወደብን ከአቀነባባሪው መመሪያ እና ከዳታ አውቶቡስ ጋር ያገናኙ። በሁለቱም አውቶቡሶች መካከል ያለው የመሠረት አድራሻ ተመሳሳይ መሆኑን ያረጋግጡ።
· dbg_reset_out እና ndm_reset_in portsን ለማጋለጥ ይህንን አማራጭ ያንቁ። · ጄTAG አራሚ ወይም niosv-download -r ትዕዛዝ dbg_reset_out ያስነሳል፣ ይህም
የኒዮስ ቪ ፕሮሰሰር ከዚህ ወደብ ጋር የሚገናኙትን የስርዓት ክፍሎችን ዳግም እንዲያስጀምር ያስችለዋል። · ዳግም ከማስጀመር ይልቅ dbg_reset_outን ከ ndm_reset_in ጋር ማገናኘት አለቦት
በይነገጽ ወደ ፕሮሰሰር ኮር እና የሰዓት ቆጣሪ ሞጁል ዳግም ማስጀመርን ለማስጀመር። የማይታወቅ ባህሪን ለመከላከል በይነገጽን ዳግም ለማስጀመር dbg_reset_out በይነገጽ ማገናኘት የለብህም።
2.1.1.2.2. ዳግም ማስጀመር ጥያቄ ትርን ተጠቀም
ሠንጠረዥ 7.
የዳግም አስጀምር ጥያቄ ትር መለኪያን ተጠቀም
ዳግም ማስጀመር ጥያቄ ትርን ተጠቀም
መግለጫ
የዳግም ማስጀመሪያ ጥያቄ በይነገጽን ያክሉ
· ይህንን አማራጭ በኒዮስ ቪ ፕሮሰሰር ሲስተም ውስጥ ያሉ ሌሎች አካላትን ሳይነካ የኒዮስ ቪ ፕሮሰሰርን ወደ ዳግም ለማስጀመር አንድ የሀገር ውስጥ ማስተር ሊጠቀምበት የሚችልባቸውን የሀገር ውስጥ ዳግም ማስጀመሪያ ወደቦችን ለማጋለጥ ያንቁ።
· የዳግም ማስጀመሪያ በይነገጽ የግቤት ዳግም ማስጀመሪያ ሲግናል እና የውጤት ack ሲግናልን ያካትታል።
· የ Resetreq ሲግናልን በማረጋገጥ ወደ Nios V ፕሮሰሰር ኮር ዳግም ማስጀመር መጠየቅ ይችላሉ።
· የዳግም ማስጀመሪያ ሲግናል ፕሮሰሰሩ የአክ ሲግናል እስኪያረጋግጥ ድረስ መቆየት አለበት። ምልክቱ ተረጋግጦ እንዲቆይ ማድረግ አለመቻል ፕሮሰሰሩ ባልተወሰነ ሁኔታ ውስጥ እንዲገኝ ሊያደርግ ይችላል።
· የዳግም ማስጀመሪያ ምልክት በአርሚ ሁነታ ማረጋገጥ በአቀነባባሪው ሁኔታ ላይ ምንም ተጽእኖ የለውም።
· የኒዮስ ቪ ፕሮሰሰር የአክ ሲግናልን በማረጋገጥ ዳግም ማስጀመር ስኬታማ እንደሆነ ምላሽ ይሰጣል።
· ፕሮሰሰሩ በተሳካ ሁኔታ ዳግም ከተጀመረ በኋላ፣ የዳግም ማስጀመሪያ ሲግናል እስኪገለጥ ድረስ የአክ ሲግናሉ ማረጋገጫ በየጊዜው ብዙ ጊዜ ሊከሰት ይችላል።
2.1.1.2.3. ወጥመዶች፣ ልዩ ሁኔታዎች እና መስተጓጎሎች ትር
ሠንጠረዥ 8.
ወጥመዶች፣ ልዩ ሁኔታዎች እና መስተጓጎሎች ትር
ወጥመዶች፣ ልዩ ሁኔታዎች እና መስተጓጎሎች ትር
መግለጫ
ወኪል ዳግም አስጀምር
የዳግም ማስጀመሪያ ኮድ የሚገኝበት የዳግም ማስጀመሪያ ቬክተር (የኒዮስ ቪ ፕሮሰሰር ዳግም ማስጀመሪያ አድራሻ) የሚያስተናግደው ማህደረ ትውስታ።
· ማንኛውንም የማስታወሻ ሞጁል ከኒዮስ ቪ ፕሮሰሰር መመሪያ ማስተር ጋር የተገናኘ እና በኒዮስ ቪ ፕሮሰሰር ቡት ፍሰት የሚደገፈውን እንደ ሪሴቶት ወኪል መምረጥ ይችላሉ።
የማካካሻ መቋረጥ ሁነታን ዳግም አስጀምር
ከተመረጠው የዳግም ማስጀመሪያ ወኪል አድራሻ አንጻር የዳግም ማስጀመሪያውን የቬክተር ማካካሻ ይገልጻል። · የፕላትፎርም ዲዛይነር ለዳግም ማስጀመሪያው ዋጋ በራስ-ሰር ያቀርባል።
ቀጥታ ወይም ቬክተር የተደረገ የማቋረጥ መቆጣጠሪያ አይነት ይግለጹ። ማሳሰቢያ፡ የኒዮስ ቪ/ኤም ቧንቧ የሌለው ፕሮሰሰር የቬክተር ማቋረጦችን አይደግፍም።
ስለዚህ ፕሮሰሰሩ ቧንቧ ባልሆነ ሁነታ ላይ ሲሆን የቬክተር ማቋረጫ ሁነታን ከመጠቀም ይቆጠቡ።
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 14
ግብረ መልስ ላክ
2. ኒዮስ ቪ ፕሮሰሰር ሃርድዌር ሲስተም ዲዛይን ከኳርተስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር ጋር
726952 | 2025.07.16
ማስታወሻ፡-
የፕላትፎርም ዲዛይነር ፍፁም አማራጭን ይሰጣል፣ ይህም በ Reset Offset ውስጥ ፍጹም አድራሻ እንዲገልጹ ያስችልዎታል። የዳግም ማስጀመሪያው ቬክተር ከፕሮሰሰር ሲስተም እና ንኡስ ሲስተም ውጭ በሚገኝበት ጊዜ ይህንን አማራጭ ይጠቀሙ።
2.1.1.2.4. ሲፒዩ አርክቴክቸር
ሠንጠረዥ 9.
የሲፒዩ አርክቴክቸር ትር መለኪያዎች
ሲፒዩ አርክቴክቸር
መግለጫ
በሲፒዩ ውስጥ የቧንቧ ዝርጋታ ያንቁ
· ይህንን አማራጭ በፓይፕፐሊንድ የተሰራውን ኒዮስ ቪ/ኤም ፕሮሰሰርን ለማፋጠን ያንቁ። — አይፒሲ ከፍ ባለ የሎጂክ አካባቢ ዋጋ ከፍ ያለ እና ዝቅተኛ የFmax ድግግሞሽ።
· በቧንቧ ያልተሰራ ኒዮስ ቪ/ሜ ፕሮሰሰርን በፍጥነት ለመስራት ይህን አማራጭ ያሰናክሉ። - ልክ እንደ Nios V/c ፕሮሰሰር ተመሳሳይ ዋና አፈጻጸም አለው። - ማረም እና ማቋረጥ ችሎታን ይደግፋል - ዝቅተኛ አመክንዮ አካባቢ እና ከፍ ያለ የFmax ድግግሞሽ ዝቅተኛ አይፒሲ ዋጋ።
የአቫሎን በይነገጽን አንቃ
አቫሎን በይነገጽ ለመመሪያ አስተዳዳሪ እና የውሂብ አስተዳዳሪን ያነቃል። ከተሰናከለ, ስርዓቱ AXI4-Lite በይነገጽን ይጠቀማል.
mhartid CSR ዋጋ
· የሃርት መታወቂያ መመዝገቢያ (mhartid) ዋጋው በነባሪነት 0 ነው። · በ0 እና 4094 መካከል ያለውን እሴት ይመድቡ። · ከAltera FPGA Avalon Mutex Core HAL API ጋር የሚስማማ።
ተዛማጅ መረጃ የተከተተ የፔሪፈራል IP ተጠቃሚ መመሪያ - Intel FPGA Avalon® Mutex Core
2.1.1.2.5. ECC ትር
ሠንጠረዥ 10. ECC ታብ
ECC ስህተትን ፈልጎ ማግኘት እና ሁኔታን ሪፖርት ማድረግን አንቃ
መግለጫ
ለኒዮስ ቪ ፕሮሰሰር ውስጣዊ ራም ብሎኮች የ ECC ባህሪን ተግባራዊ ለማድረግ ይህንን አማራጭ ያንቁ። · የኢሲሲ ባህሪያት እስከ 2-ቢት ስህተቶችን ፈልገው በሚከተለው ባህሪ ላይ ተመስርተው ምላሽ ይሰጣሉ።
- ሊስተካከል የሚችል ስህተት 1-ቢት ከሆነ, በማቀነባበሪያው ቧንቧው ውስጥ ያለውን ስህተት ካስተካከለ በኋላ ማቀነባበሪያው መስራቱን ይቀጥላል. ይሁን እንጂ እርማቱ በምንጭ ትውስታዎች ውስጥ አይንጸባረቅም.
- ስህተቱ የማይታረም ከሆነ ፕሮሰሰሩ በማቀነባበሪያ ቧንቧ መስመር እና በምንጭ ትውስታዎች ውስጥ ሳያስተካክል መስራቱን ይቀጥላል፣ ይህም ፕሮሰሰሩ ወደማይታወቅ ሁኔታ እንዲገባ ሊያደርግ ይችላል።
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 15
2. ኒዮስ ቪ ፕሮሰሰር ሃርድዌር ሲስተም ዲዛይን ከኳርተስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር ጋር
726952 | 2025.07.16
2.1.1.3. ፈጣን Nios V/g አጠቃላይ ዓላማ ፕሮሰሰር Altera FPGA IP
ምስል 6. Nios V/g አጠቃላይ ዓላማ ፕሮሰሰር Altera FPGA IP - ክፍል 1
ምስል 7.
Nios V/g አጠቃላይ ዓላማ ፕሮሰሰር Altera FPGA IP – ክፍል 2 (የኮር ደረጃ መቆራረጥ መቆጣጠሪያን አንቃን ያጥፉ)
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 16
ግብረ መልስ ላክ
2. ኒዮስ ቪ ፕሮሰሰር ሃርድዌር ሲስተም ዲዛይን ከኳርተስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር ጋር
726952 | 2025.07.16
ምስል 8.
Nios V/g አጠቃላይ ዓላማ ፕሮሰሰር Altera FPGA IP – ክፍል 2 (የኮር ደረጃ መቆራረጥ መቆጣጠሪያን አንቃ)
ምስል 9. Nios V/g አጠቃላይ ዓላማ ፕሮሰሰር Altera FPGA IP - ክፍል 3
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 17
2. ኒዮስ ቪ ፕሮሰሰር ሃርድዌር ሲስተም ዲዛይን ከኳርተስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር ጋር
726952 | 2025.07.16
ምስል 10. Nios V/g አጠቃላይ ዓላማ ፕሮሰሰር Altera FPGA IP - ክፍል 4
2.1.1.3.1. ሲፒዩ አርክቴክቸር
ሠንጠረዥ 11. የሲፒዩ አርክቴክቸር መለኪያዎች
የሲፒዩ አርክቴክቸር ትር ተንሳፋፊ ነጥብ ክፍልን አንቃ
መግለጫ በአቀነባባሪው ኮር ውስጥ ተንሳፋፊ ነጥብ አሃድ ("F" ቅጥያ) ለመጨመር ይህንን አማራጭ ያንቁ።
የቅርንጫፍ ትንበያን አንቃ
ለቅርንጫፍ መመሪያዎች የማይንቀሳቀስ የቅርንጫፍ ትንበያን (ወደኋላ ተወሰደ እና ወደፊት አልተወሰደም) አንቃ።
mhartid CSR ዋጋ
· የሃርት መታወቂያ መመዝገቢያ (mhartid) ዋጋው በነባሪነት 0 ነው። · በ0 እና 4094 መካከል ያለውን እሴት ይመድቡ። · ከAltera FPGA Avalon Mutex Core HAL API ጋር የሚስማማ።
ለFPU የ FSQRT እና FDIV መመሪያዎችን አሰናክል
· በFPU ውስጥ ተንሳፋፊ ነጥብ ካሬ ስር (FSQRT) እና ተንሳፋፊ ነጥብ ክፍፍል (FDIV) ስራዎችን ያስወግዱ።
· በሁለቱም መመሪያዎች ላይ የሶፍትዌር ማስመሰልን በስራ ጊዜ ይተግብሩ።
ተዛማጅ መረጃ የተከተተ የፔሪፈራል IP ተጠቃሚ መመሪያ - Intel FPGA Avalon® Mutex Core
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 18
ግብረ መልስ ላክ
2. ኒዮስ ቪ ፕሮሰሰር ሃርድዌር ሲስተም ዲዛይን ከኳርተስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር ጋር
726952 | 2025.07.16
2.1.1.3.2. ትርን ማረም
ሠንጠረዥ 12. የትር መለኪያዎችን ማረም
ትርን ማረም
መግለጫ
ማረም አንቃ
ከማረም ሞዱል ዳግም ማስጀመርን አንቃ
ጄን ለመጨመር ይህንን አማራጭ ያንቁTAG የዒላማ ግንኙነት ሞጁል ወደ Nios V ፕሮሰሰር. · ጄTAG የዒላማ ግንኙነት ሞጁል ከኒዮስ ቪ ፕሮሰሰር ጋር ለመገናኘት በ ውስጥ ይፈቅዳል
JTAG የ FPGA በይነገጽ ፒን. · ግንኙነቱ የሚከተሉትን መሰረታዊ ችሎታዎች ይሰጣል።
- የኒዮስ ቪ ፕሮሰሰርን ይጀምሩ እና ያቁሙ - መዝገቦችን እና ማህደረ ትውስታን ይፈትሹ እና ያርትዑ። — የኒዮስ ቪ መተግበሪያን ያውርዱ .elf file ወደ ፕሮሰሰር ማህደረ ትውስታ በሂደት ጊዜ በኩል
niosv-ማውረድ. — በኒዮስ ቪ ፕሮሰሰር ላይ የሚሰራውን አፕሊኬሽን ያርሙ · dm_agent ወደብን ከአቀነባባሪው መመሪያ እና ከዳታ አውቶቡስ ጋር ያገናኙ። በሁለቱም አውቶቡሶች መካከል ያለው የመሠረት አድራሻ ተመሳሳይ መሆኑን ያረጋግጡ።
· dbg_reset_out እና ndm_reset_in portsን ለማጋለጥ ይህንን አማራጭ ያንቁ። · ጄTAG አራሚ ወይም niosv-download -r ትዕዛዝ dbg_reset_out ያስነሳል፣ ይህም
የኒዮስ ቪ ፕሮሰሰር ከዚህ ወደብ ጋር የሚገናኙትን የስርዓት ክፍሎችን ዳግም እንዲያስጀምር ያስችለዋል። · ዳግም ከማስጀመር ይልቅ dbg_reset_outን ከ ndm_reset_in ጋር ማገናኘት አለቦት
በይነገጽ ወደ ፕሮሰሰር ኮር እና የሰዓት ቆጣሪ ሞጁል ዳግም ማስጀመርን ለማስጀመር። የማይታወቅ ባህሪን ለመከላከል በይነገጽን ዳግም ለማስጀመር dbg_reset_out በይነገጽ ማገናኘት የለብህም።
2.1.1.3.3. የመቆለፊያ ደረጃ ትር ሠንጠረዥ 13. የመቆለፊያ ትር
መለኪያዎች የመቆለፊያ ደረጃ ነባሪ ጊዜ ማብቂያ ጊዜን አንቃ የተራዘመ ዳግም ማስጀመሪያ በይነገጽን ያንቁ
መግለጫ · ባለሁለት ኮር መቆለፊያ ስርዓትን አንቃ። በዳግም ማስጀመሪያ መውጫ (በ0 እና 255 መካከል) በፕሮግራም ሊሰራ የሚችል የጊዜ ማብቂያ ነባሪ እሴት። · ለተራዘመ ዳግም ማስጀመሪያ መቆጣጠሪያ አማራጭ የሆነውን የተራዘመ ዳግም ማስጀመሪያ በይነገጽን ያንቁ። · ሲሰናከል fRSmartComp መሰረታዊ ዳግም ማስጀመር መቆጣጠሪያን ተግባራዊ ያደርጋል።
2.1.1.3.4. ዳግም ማስጀመር ጥያቄ ትርን ተጠቀም
ሠንጠረዥ 14. የዳግም ማስጀመሪያ ጥያቄ ትር መለኪያን ተጠቀም
ዳግም ማስጀመር ጥያቄ ትርን ተጠቀም
መግለጫ
የዳግም ማስጀመሪያ ጥያቄ በይነገጽን ያክሉ
· ይህንን አማራጭ በኒዮስ ቪ ፕሮሰሰር ሲስተም ውስጥ ያሉ ሌሎች አካላትን ሳይነካ የኒዮስ ቪ ፕሮሰሰርን ወደ ዳግም ለማስጀመር አንድ የሀገር ውስጥ ማስተር ሊጠቀምበት የሚችልባቸውን የሀገር ውስጥ ዳግም ማስጀመሪያ ወደቦችን ለማጋለጥ ያንቁ።
· የዳግም ማስጀመሪያ በይነገጽ የግቤት ዳግም ማስጀመሪያ ሲግናል እና የውጤት ack ሲግናልን ያካትታል።
· የ Resetreq ሲግናልን በማረጋገጥ ወደ Nios V ፕሮሰሰር ኮር ዳግም ማስጀመር መጠየቅ ይችላሉ።
· የዳግም ማስጀመሪያ ሲግናል ፕሮሰሰሩ የአክ ሲግናል እስኪያረጋግጥ ድረስ መቆየት አለበት። ምልክቱ ተረጋግጦ እንዲቆይ ማድረግ አለመቻል ፕሮሰሰሩ ባልተወሰነ ሁኔታ ውስጥ እንዲገኝ ሊያደርግ ይችላል።
· የዳግም ማስጀመሪያ ምልክት በአርሚ ሁነታ ማረጋገጥ በአቀነባባሪው ሁኔታ ላይ ምንም ተጽእኖ የለውም።
· የኒዮስ ቪ ፕሮሰሰር የአክ ሲግናልን በማረጋገጥ ዳግም ማስጀመር ስኬታማ እንደሆነ ምላሽ ይሰጣል።
· ፕሮሰሰሩ በተሳካ ሁኔታ ዳግም ከተጀመረ በኋላ፣ የዳግም ማስጀመሪያ ሲግናል እስኪገለጥ ድረስ የአክ ሲግናሉ ማረጋገጫ በየጊዜው ብዙ ጊዜ ሊከሰት ይችላል።
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 19
2. ኒዮስ ቪ ፕሮሰሰር ሃርድዌር ሲስተም ዲዛይን ከኳርተስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር ጋር
726952 | 2025.07.16
2.1.1.3.5. ወጥመዶች፣ ልዩ ሁኔታዎች እና መስተጓጎሎች ትር
ሠንጠረዥ 15.
የኮር ደረጃ ማቋረጥ ተቆጣጣሪ ሲጠፋ ወጥመዶች፣ ልዩ ሁኔታዎች እና መስተጓጎሎች ትር
ወጥመዶች፣ ልዩ ሁኔታዎች እና መስተጓጎሎች ትር
ወኪል ዳግም አስጀምር
መግለጫ
የዳግም ማስጀመሪያ ኮድ የሚገኝበት የዳግም ማስጀመሪያ ቬክተር (የኒዮስ ቪ ፕሮሰሰር ዳግም ማስጀመሪያ አድራሻ) የሚያስተናግደው ማህደረ ትውስታ።
· ማንኛውንም የማስታወሻ ሞጁል ከኒዮስ ቪ ፕሮሰሰር መመሪያ ማስተር ጋር የተገናኘ እና በኒዮስ ቪ ፕሮሰሰር ቡት ፍሰት የሚደገፈውን እንደ ሪሴቶት ወኪል መምረጥ ይችላሉ።
ማካካሻን ዳግም አስጀምር
ከተመረጠው የዳግም ማስጀመሪያ ወኪል አድራሻ አንጻር የዳግም ማስጀመሪያውን የቬክተር ማካካሻ ይገልጻል። · የፕላትፎርም ዲዛይነር ለዳግም ማስጀመሪያው ዋጋ በራስ-ሰር ያቀርባል።
የኮር ደረጃ መቆራረጥ መቆጣጠሪያን አንቃ (CLIC)
· CLIC ቅድመ-ክፍት ማቋረጦችን እና ሊዋቀር የሚችል የማቋረጥ ቀስቅሴ ሁኔታን ለመደገፍ ያንቁ።
· ሲነቃ የመድረክ መቆራረጦችን ቁጥር ማዋቀር፣ የመቀስቀሻ ሁኔታዎችን ማዘጋጀት እና አንዳንድ መቆራረጦችን እንደ ቅድመ-ክፍተት መሰየም ይችላሉ።
የማቋረጥ ሁነታ ጥላ ይመዝገቡ Files
የአቋራጭ ዓይነቶችን እንደ ቀጥታ ወይም ቬክተርድ ይግለጹ በማቋረጥ ላይ አውድ መቀየርን ለመቀነስ የጥላ መመዝገቢያን አንቃ።
ሠንጠረዥ 16.
የኮር ደረጃ መቆራረጥ ተቆጣጣሪ ሲበራ ወጥመዶች፣ ልዩ ሁኔታዎች እና መስተጓጎሎች
ወጥመዶች፣ ልዩ ሁኔታዎች እና መቆራረጦች
መግለጫዎች
ወኪል ዳግም አስጀምር
ማካካሻን ዳግም አስጀምር
የኮር ደረጃ መቆራረጥ መቆጣጠሪያን አንቃ (CLIC)
የዳግም ማስጀመሪያ ኮድ የሚገኝበት የዳግም ማስጀመሪያ ቬክተር (የኒዮስ ቪ ፕሮሰሰር ዳግም ማስጀመሪያ አድራሻ) የሚያስተናግደው ማህደረ ትውስታ።
· ማንኛውንም የማስታወሻ ሞጁል ከኒዮስ ቪ ፕሮሰሰር መመሪያ ማስተር ጋር የተገናኘ እና በኒዮስ ቪ ፕሮሰሰር ቡት ፍሰት የሚደገፈውን እንደ ሪሴቶት ወኪል መምረጥ ይችላሉ።
ከተመረጠው የዳግም ማስጀመሪያ ወኪል አድራሻ አንጻር የዳግም ማስጀመሪያውን የቬክተር ማካካሻ ይገልጻል። · የፕላትፎርም ዲዛይነር ለዳግም ማስጀመሪያው ዋጋ በራስ-ሰር ያቀርባል።
· CLIC ቅድመ-ክፍት ማቋረጦችን እና ሊዋቀር የሚችል የማቋረጥ ቀስቅሴ ሁኔታን ለመደገፍ ያንቁ። · ሲነቃ የመድረክ መቆራረጦችን ቁጥር ማዋቀር፣ የመቀስቀስ ሁኔታዎችን ማዘጋጀት፣
እና አንዳንድ ማቋረጦችን እንደ ቅድመ-መከላከያ ይመድቡ።
የማቋረጥ ሁነታ
· የማቋረጫ ዓይነቶችን እንደ Direct፣ Vectored ወይም CLIC ብለው ይግለጹ።
ጥላ ይመዝገቡ Files
· በማቋረጥ ላይ የአውድ መቀያየርን ለመቀነስ የጥላ መመዝገቢያን አንቃ።
· ሁለት አቀራረቦችን ያቀርባል፡-
- የ CLIC የማቋረጥ ደረጃዎች ብዛት
- የ CLIC መቋረጥ ደረጃዎች ብዛት - 1: ይህ አማራጭ የመመዝገቢያ ቁጥር ሲፈልጉ ጠቃሚ ነው file ቅጂዎች በትክክለኛው የM20K ወይም M9K ብሎኮች ብዛት።
የጥላ መመዝገቢያ ለመጠቀም የኒዮስ ቪ ፕሮሰሰርን ያንቁ fileበማቋረጥ ላይ የአውድ መቀያየርን የሚቀንስ።
ስለ ጥላ መመዝገቢያ ለበለጠ መረጃ files፣ የኒዮስ ቪ ፕሮሰሰር ማመሳከሪያ መመሪያን ይመልከቱ።
የፕላትፎርም ማቋረጫ ምንጮች ብዛት
· ከ16 እስከ 2048 ባለው ጊዜ ውስጥ የመድረክ መቋረጥን ቁጥር ይገልጻል።
ማሳሰቢያ፡ CLIC እስከ 2064 የሚደርሱ የማቋረጫ ግብዓቶችን ይደግፋል፣ እና የመጀመሪያዎቹ 16 የማቋረጫ ግብዓቶች እንዲሁ ከመሰረታዊ መቆራረጥ መቆጣጠሪያ ጋር የተገናኙ ናቸው።
CLIC የቬክተር ሰንጠረዥ አሰላለፍ
· በመድረክ መቋረጥ ምንጮች ብዛት ላይ በመመስረት በራስ-ሰር ይወሰናል። · ከተመከረው እሴት በታች የሆነ አሰላለፍ ከተጠቀሙ፣ CLIC አመክንዮ ይጨምራል
የቬክተር ስሌቶችን ለማከናወን ተጨማሪ መጨመሪያን በመጨመር ውስብስብነት. · ከተመከረው እሴት በታች የሆነ አሰላለፍ ከተጠቀሙ፣ ይህ መጨመርን ያስከትላል
በ CLIC ውስጥ የሎጂክ ውስብስብነት.
ቀጠለ…
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 20
ግብረ መልስ ላክ
2. ኒዮስ ቪ ፕሮሰሰር ሃርድዌር ሲስተም ዲዛይን ከኳርተስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር ጋር
726952 | 2025.07.16
ወጥመዶች፣ ልዩ ሁኔታዎች እና መቆራረጦች
የተቆራረጡ ደረጃዎች ብዛት
በየደረጃው የሚቋረጡ ቅድሚያዎች ብዛት
ሊዋቀር የሚችል የማቋረጥ ዋልታነት የድጋፍ ጠርዝ መቀስቀስ መቆራረጦች
መግለጫዎች
· የማቋረጫ ደረጃዎችን ቁጥር ከተጨማሪ ደረጃ 0 ጋር ለመተግበሪያ ኮድ ይገልጻል። የከፍተኛ ደረጃ መቆራረጥ የሩጫ ተቆጣጣሪን ለዝቅተኛ ደረጃ መቆራረጥ ሊያቋርጥ (ቅድመ-ማስወገድ) ይችላል።
· ዜሮ ያልሆኑ የማቋረጫ ደረጃዎች የመቋረጦች ብቸኛ አማራጮች ሲሆኑ የመተግበሪያው ኮድ ሁል ጊዜ ዝቅተኛው ደረጃ ላይ ነው 0. ማሳሰቢያ፡ የአቋራጭ ደረጃ የሩጫ ጊዜ ማዋቀር እና ቅድሚያ የሚሰጠው በአንድ ባለ 8 ቢት መዝገብ ነው። የማቋረጥ ደረጃዎች ቁጥር 256 ከሆነ, የማቋረጥ ቅድሚያውን በሂደት ጊዜ ማዋቀር አይቻልም. አለበለዚያ, የሚዋቀሩ ቅድሚያ የሚሰጣቸው ከፍተኛው ቁጥር 256 / (የማቋረጥ ደረጃዎች ብዛት - 1) ነው.
· የማቋረጥ ቅድሚያ የሚሰጣቸውን ብዛት ይገልፃል፣ ይህም CLIC የሚጠቀመው ቅድመ-ማስወገድ ያልሆኑ የማቋረጥ ተቆጣጣሪዎች የሚጠሩበትን ቅደም ተከተል ለመወሰን ነው። ማሳሰቢያ፡- የተመረጠው የማቋረጥ ደረጃ የሁለትዮሽ እሴቶች ውህደት እና የተመረጠው የማቋረጥ ቅድሚያ ከ 8 ቢት ያነሰ መሆን አለበት።
· በሂደት ጊዜ የማቋረጥ ፖሊነትን እንዲያዋቅሩ ይፈቅድልዎታል። · ነባሪ ፖላሪቲ አዎንታዊ ፖላሪቲ ነው።
· በሂደት ጊዜ የማቋረጥ ቀስቅሴ ሁኔታን እንዲያዋቅሩ ይፈቅድልዎታል፣ ማለትም ከፍተኛ ደረጃ የተቀሰቀሰ ወይም አዎንታዊ-ጠርዝ ተቀስቅሷል (በሚያስተላልፍበት ጊዜ ፖላሪቲ በ Configurable interrupt polarity)።
· ነባሪ ቀስቅሴ ሁኔታ በደረጃ የተቀሰቀሰ መስተጓጎል ነው።
ማስታወሻ፡-
የፕላትፎርም ዲዛይነር ፍፁም አማራጭን ይሰጣል፣ ይህም በ Reset Offset ውስጥ ፍጹም አድራሻ እንዲገልጹ ያስችልዎታል። የዳግም ማስጀመሪያው ቬክተር ከፕሮሰሰር ሲስተም እና ንኡስ ሲስተም ውጭ በሚገኝበት ጊዜ ይህንን አማራጭ ይጠቀሙ።
ተዛማጅ መረጃ Nios® V ፕሮሰሰር ማመሳከሪያ መመሪያ
2.1.1.3.6. የማህደረ ትውስታ ውቅረቶች ትር
ሠንጠረዥ 17. የማህደረ ትውስታ ውቅር ትር መለኪያዎች
ምድብ
የማህደረ ትውስታ ውቅር ትር
መግለጫ
መሸጎጫዎች
የውሂብ መሸጎጫ መጠን
· የውሂብ መሸጎጫውን መጠን ይገልጻል። · ትክክለኛ መጠኖች ከ 0 ኪሎባይት (ኬቢ) እስከ 16 ኪ.ባ. · መጠኑ 0 ኪባ ሲሆን የውሂብ መሸጎጫ ያጥፉ።
መመሪያ መሸጎጫ መጠን
· የመመሪያውን መሸጎጫ መጠን ይገልጻል። · ትክክለኛ መጠኖች ከ0 ኪባ እስከ 16 ኪባ ናቸው። · መጠኑ 0 ኪባ ሲሆን የመመሪያ መሸጎጫ ያጥፉ።
የዳርቻ ክልል A እና B
መጠን
· የዳርቻውን ክልል መጠን ይገልጻል።
ትክክለኛ መጠኖች ከ64 ኪባ እስከ 2 ጊጋባይት (ጂቢ) ወይም ምንም አይደሉም። አንዳቸውንም መምረጥ የዳርቻውን ክልል ያሰናክላል።
የመሠረት አድራሻ
· መጠኑን ከመረጡ በኋላ የአከባቢውን ክልል መሰረታዊ አድራሻ ይገልጻል።
· በአከባቢው ክልል ውስጥ ያሉ ሁሉም አድራሻዎች የማይሸጎጡ የመረጃ መዳረሻዎችን ያመጣሉ ።
· የፔሪፈራል ክልል መነሻ አድራሻ ከአካባቢው ክልል መጠን ጋር መመሳሰል አለበት።
በጥብቅ የተጣመሩ ትውስታዎች
መጠን
· በጥብቅ የተጣመረውን ማህደረ ትውስታ መጠን ይገልጻል። - ትክክለኛ መጠኖች ከ0 ሜባ እስከ 512 ሜባ ናቸው።
የመሠረት አድራሻ ማስጀመር File
· በጥብቅ የተጣመረ ማህደረ ትውስታን መሠረት አድራሻ ይገልጻል። · አጀማመርን ይገልጻል file በጥብቅ ለተጣመረ ማህደረ ትውስታ.
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 21
2. ኒዮስ ቪ ፕሮሰሰር ሃርድዌር ሲስተም ዲዛይን ከኳርተስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር ጋር
726952 | 2025.07.16
ማስታወሻ፡-
መሸጎጫ በነቃ የኒዮስ ቪ ፕሮሰሰር ሲስተም ውስጥ የስርዓት ክፍሎችን በከባቢያዊ ክልል ውስጥ ማስቀመጥ አለቦት። እንደ UART፣ PIO፣ DMA እና ሌሎች ላሉ መሸጎጫ የማይገኝ ግብይትን ለመግለጽ የዳርቻ ክልሎችን መጠቀም ትችላለህ።
2.1.1.3.7. ECC ትር
ሠንጠረዥ 18. ECC ታብ
ECC ስህተትን ፈልጎ ማግኘት እና ሁኔታን ሪፖርት ማድረግን አንቃ
ነጠላ ቢት እርማትን አንቃ
መግለጫ
ለኒዮስ ቪ ፕሮሰሰር ውስጣዊ ራም ብሎኮች የ ECC ባህሪን ተግባራዊ ለማድረግ ይህንን አማራጭ ያንቁ። · የኢሲሲ ባህሪያት እስከ 2-ቢት ስህተቶችን ፈልገው በሚከተለው ባህሪ ላይ ተመስርተው ምላሽ ይሰጣሉ።
- ሊስተካከል የሚችል ነጠላ ቢት ስህተት ከሆነ እና ነጠላ ቢት እርማትን አንቃ ከጠፋ በማቀነባበሪያ ቧንቧው ውስጥ ያለውን ስህተት ካስተካከለ በኋላ ፕሮሰሰሩ መስራቱን ይቀጥላል። ይሁን እንጂ እርማቱ በምንጭ ትውስታዎች ውስጥ አይንጸባረቅም.
- ሊስተካከል የሚችል ነጠላ ቢት ስህተት ከሆነ እና ነጠላ ቢት እርማትን አንቃ ከበራ በማቀነባበሪያ ቧንቧው ውስጥ ያለውን ስህተት እና የምንጭ ትውስታዎችን ካስተካከለ በኋላ ፕሮሰሰሩ መስራቱን ይቀጥላል።
- የማይስተካከል ስህተት ከሆነ ፕሮሰሰሩ ስራውን ያቆማል።
በኮር ውስጥ በተካተቱ የማህደረ ትውስታ ብሎኮች ላይ ነጠላ ቢት እርማትን አንቃ።
2.1.1.3.8. ብጁ መመሪያ ትር
ማስታወሻ፡-
ይህ ትር የሚገኘው ለNios V/g ፕሮሰሰር ኮር ብቻ ነው።
ብጁ መመሪያ Nios V ብጁ መመሪያ የሃርድዌር በይነገጽ ሠንጠረዥ
ኒዮስ ቪ ብጁ መመሪያ ሶፍትዌር ማክሮ ሠንጠረዥ
መግለጫ
· ኒዮስ ቪ ፕሮሰሰር ብጁ የማስተማሪያ አቀናባሪ በይነገጾቹን ለመግለጽ ይህንን ሰንጠረዥ ይጠቀማል።
· የተገለጹ ብጁ የማስተማሪያ አስተዳዳሪ በይነገጾች በልዩ ሁኔታ በኦፕኮድ (CUSTOM0-3) እና 3 ቢት funct7[6:4] የተመሰጠሩ ናቸው።
· በድምሩ እስከ 32 የሚደርሱ የግል ብጁ የማስተማሪያ አስተዳዳሪ በይነገጾችን መግለፅ ይችላሉ።
· ኒዮስ ቪ ፕሮሰሰር ይህንን ሰንጠረዥ ይጠቀማል ብጁ የማስተማሪያ ሶፍትዌር ኢንኮዲንግ ለተወሰኑ ብጁ የማስተማሪያ አስተዳዳሪ በይነገጽ ለመግለጽ ያገለግላል።
· ለእያንዳንዱ የተገለጸ ብጁ መመሪያ ሶፍትዌር ኢንኮዲንግ ኦፕኮድ (CUSTOM0-3) እና 3 ቢት ተግባር7[6፡4] ኢንኮዲንግ በብጁ መመሪያ የሃርድዌር በይነገጽ ሠንጠረዥ ውስጥ ከተገለጸ ብጁ የትምህርት አስተዳዳሪ በይነገጽ ጋር መዛመድ አለባቸው።
· ለአንድ ብጁ መመሪያ ተጨማሪ ኢንኮዲንግን ለመግለጽ funct7[6:4]፣ funct7[3:0] እና funct3[2:0]ን መጠቀም ወይም እንደ ተጨማሪ የማስተማሪያ መከራከሪያዎች እንደ Xs መገለጽ ትችላለህ።
· ኒዮስ ቪ ፕሮሰሰር የተገለጹ ብጁ የማስተማሪያ ሶፍትዌር ኢንኮዲንግዎችን በsystem.h ውስጥ እንደ C-macros እንደተፈጠረ ያቀርባል እና የ R-አይነት RISC-V መመሪያን ይከተሉ።
· ማኒሞኒክስ ብጁ ስሞችን ለመግለጽ ጥቅም ላይ ሊውል ይችላል፡- በsystem.h ውስጥ የተፈጠረውን ሲ-ማክሮስ።
- በ custom_instruction_debug.xml ውስጥ የተፈጠረው የጂዲቢ ማረም ሜሞኒክስ።
ተዛማጅ መረጃ
AN 977: Nios V Processor Custom Instruction ለበለጠ መረጃ የኒዮስ® ቪ ፕሮሰሰርን የአንድ የተወሰነ መተግበሪያ ፍላጎት ለማርካት የሚያስችልዎትን ብጁ መመሪያዎችን ለማግኘት።
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 22
ግብረ መልስ ላክ
2. ኒዮስ ቪ ፕሮሰሰር የሃርድዌር ሲስተም ዲዛይን ከኳርትስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር 726952 | 2025.07.16
2.1.2. የስርዓት አካል ንድፍን መግለጽ
የኒዮስ ቪ ፕሮሰሰር ሲስተም የሃርድዌር ባህሪያትን ለመግለጽ እና የሚፈለጉትን ክፍሎች ለመጨመር የፕላትፎርም ዲዛይነርን ይጠቀሙ። የሚከተለው ዲያግራም መሰረታዊ የኒዮስ ቪ ፕሮሰሰር ሲስተም ዲዛይን ከሚከተሉት ክፍሎች ጋር ያሳያል፡ · ኒዮስ ቪ ፕሮሰሰር ኮር · በቺፕ ማህደረ ትውስታ · ጄTAG UART · የጊዜ ቆጣሪ (አማራጭ) (1)
አዲስ የኦን-ቺፕ ማህደረ ትውስታ ወደ ፕላትፎርም ዲዛይነር ሲስተም ሲታከል፣ በዳግም ማስጀመር ላይ የተጨመሩትን የማህደረ ትውስታ ክፍሎችን ለማንፀባረቅ የማመሳሰል ሲስተም መረጃን ያከናውኑ። በአማራጭ፣ በፕላትፎርም ዲዛይነር ውስጥ ራስ-ሰር ማመሳሰልን ማንቃት ይችላሉ።
ምስል 11. ዘፀampበፕላትፎርም ዲዛይነር ውስጥ የኒዮስ ቪ ፕሮሰሰር ከሌሎች ተጓዳኝ አካላት ጋር መገናኘት
(1) በፕላትፎርም ዲዛይነር ውስጥ ያለውን የውጪውን የጊዜ ቆጣሪ ለመተካት የኒዮስ ቪ የውስጥ ሰዓት ቆጣሪን የመጠቀም አማራጭ አለዎት።
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 23
2. ኒዮስ ቪ ፕሮሰሰር ሃርድዌር ሲስተም ዲዛይን ከኳርተስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር ጋር
726952 | 2025.07.16
በፕላትፎርም ዲዛይነር ስርዓትዎ ውስጥ ወደ ውጭ የሚላኩ ኦፕሬሽን ፒኖችን እንደ ማስተላለፊያ መግለፅ አለብዎት። ለ example, ትክክለኛ የ FPGA ስርዓት ኦፕሬሽን ፒን ዝርዝር ከዚህ በታች ይገለጻል ነገር ግን በዚህ ብቻ አይወሰንም:
· ሰዓት
· ዳግም አስጀምር
· የአይ/ኦ ምልክቶች
2.1.3. የመሠረት አድራሻዎችን እና የማቋረጥ ጥያቄን ቅድሚያ መስጠት
በንድፍ ውስጥ የተጨመሩት አካላት ስርዓትን ለመመስረት እንዴት እንደሚገናኙ ለመለየት ለእያንዳንዱ ወኪል አካል የመሠረት አድራሻዎችን መመደብ እና የማቋረጥ ጥያቄን (IRQ) ቅድሚያዎችን ለጄ መመደብ ያስፈልግዎታልTAG UART እና የጊዜ ቆጣሪው. የፕላትፎርም ዲዛይነር ትዕዛዝ ይሰጣል - የመሠረት አድራሻዎችን መድብ - ይህም በሲስተሙ ውስጥ ላሉ ሁሉም አካላት ትክክለኛ የመሠረት አድራሻዎችን በራስ-ሰር ይመድባል። ነገር ግን በፍላጎቶችዎ መሰረት የመሠረት አድራሻዎችን ማስተካከል ይችላሉ.
የመሠረት አድራሻዎችን ለመመደብ የሚከተሉት መመሪያዎች ናቸው፡
· ኒዮስ ቪ ፕሮሰሰር ኮር ባለ 32-ቢት የአድራሻ ስፋት አለው። የወኪል ክፍሎችን ለመድረስ የመሠረት አድራሻቸው በ0x00000000 እና 0xFFFFFFFF መካከል መሆን አለበት።
· የኒዮስ ቪ ፕሮግራሞች አድራሻዎችን ለማመልከት ተምሳሌታዊ ቋሚዎችን ይጠቀማሉ። ለማስታወስ ቀላል የሆኑ የአድራሻ ዋጋዎችን መምረጥ አያስፈልግም.
· የአንድ-ቢት አድራሻ ልዩነት ያላቸውን አካላት የሚለያዩ የአድራሻ ዋጋዎች የበለጠ ቀልጣፋ ሃርድዌር ያመርታሉ። ሁሉንም የመሠረት አድራሻዎች በተቻለ መጠን በትንሹ የአድራሻ ክልል ውስጥ ማጠቃለል የለብዎትም ምክንያቱም ማጠቃለል አነስተኛ ቀልጣፋ ሃርድዌር ይፈጥራል።
· የፕላትፎርም ዲዛይነር የተለያዩ የማህደረ ትውስታ ክፍሎችን በተከታታይ የማህደረ ትውስታ ክልል ውስጥ ለማሰለፍ አይሞክርም። ለ exampለ፣ ብዙ የኦን-ቺፕ ማህደረ ትውስታ ክፍሎችን እንደ አንድ ተከታታይ የማህደረ ትውስታ ክልል አድራሻ ከፈለጉ፣ የመሠረት አድራሻዎችን በግልፅ መመደብ አለብዎት።
የፕላትፎርም ዲዛይነር እንዲሁ አውቶሜሽን ትዕዛዝ ይሰጣል - ትክክለኛ የሃርድዌር ውጤቶችን ለማምጣት የ IRQ ምልክቶችን የሚያገናኝ የተቆራረጡ ቁጥሮችን ይመድቡ። ነገር ግን፣ IRQsን በብቃት መመደብ የአጠቃላይ ስርዓቱን ምላሽ ባህሪ መረዳትን ይጠይቃል። የመሣሪያ ስርዓት ዲዛይነር ስለምርጥ የ IRQ ምደባ የተማሩ ግምቶችን ማድረግ አይችልም።
ዝቅተኛው የ IRQ እሴት ከፍተኛው ቅድሚያ አለው። በተመጣጣኝ ስርዓት ውስጥ, Altera የሰዓት ቆጣሪው አካል ከፍተኛ ቅድሚያ የሚሰጠው IRQ ማለትም ዝቅተኛው እሴት እንዲኖረው ይመክራል, የስርዓቱን የሰዓት ምልክት ትክክለኛነት ለመጠበቅ.
በአንዳንድ አጋጣሚዎች ከፍ ያለ ቅድሚያ ሊሰጡ ይችላሉ ቅጽበታዊ ገጽ እይታዎች (እንደ ቪዲዮ መቆጣጠሪያዎች)፣ ይህም የሰዓት ቆጣሪ ክፍሎችን ከፍ ያለ የማቋረጥ ፍጥነትን ይፈልጋል።
ተዛማጅ መረጃ
Quartus Prime Pro እትም የተጠቃሚ መመሪያ፡ ከመድረክ ዲዛይነር ጋር ስርዓት ስለመፍጠር ተጨማሪ መረጃ።
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 24
ግብረ መልስ ላክ
2. ኒዮስ ቪ ፕሮሰሰር የሃርድዌር ሲስተም ዲዛይን ከኳርትስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር 726952 | 2025.07.16
2.2. የፕላትፎርም ዲዛይነር ስርዓትን ወደ ኳርትስ ዋና ፕሮጀክት ማዋሃድ
በፕላትፎርም ዲዛይነር ውስጥ የኒዮስ ቪ ሲስተም ዲዛይን ካመነጨ በኋላ የኒዮስ ቪ ሲስተም ሞጁሉን ወደ Quartus Prime FPGA ዲዛይን ፕሮጀክት ለማዋሃድ የሚከተሉትን ተግባራት ያከናውኑ። · በ Quartus Prime ፕሮጀክት ውስጥ የኒዮስ ቪ ሲስተም ሞጁሉን ያፋጥኑ · ምልክቶችን ከኒዮስ ቪ ሲስተም ሞጁል ጋር በ FPGA አመክንዮ ውስጥ ካሉ ሌሎች ምልክቶች ጋር ያገናኙ · አካላዊ ፒን ቦታን ይመድቡ · የ FPGA ንድፍ ይገድቡ
2.2.1. በኳርትስ ፕራይም ፕሮጄክት ውስጥ የኒዮስ ቪ ፕሮሰሰር ሲስተም ሞጁሉን ማፍጠን
የመሳሪያ ስርዓት ዲዛይነር በ Quartus Prime ውስጥ ቅጽበታዊ ገጽ እይታ ያለው የስርዓት ሞጁል ዲዛይን አካል ያመነጫል። የስርዓት ሞጁሉን እንዴት እንደሚፈጽም ለጠቅላላው የኳርትስ ፕራይም ፕሮጄክት በዲዛይን ማስገቢያ ዘዴ ላይ የተመሠረተ ነው። ለ exampለንድፍ ግቤት Verilog HDL ን እየተጠቀሙ ከሆነ የVerilogን መሰረት ያደረገ የስርዓት ሞጁሉን ያፋጥኑ። ለንድፍ መግቢያ የብሎክ ዲያግራም ዘዴን ለመጠቀም ከመረጡ የስርዓት ሞጁሉን ምልክት .bdf ያፋጥኑ file.
2.2.2. ሲግናሎችን በማገናኘት እና አካላዊ ፒን ቦታዎችን መመደብ
የእርስዎን Altera FPGA ንድፍ ከቦርድ-ደረጃ ንድፍዎ ጋር ለማገናኘት የሚከተሉትን ተግባራት ያከናውኑ፡ · ከፍተኛ ደረጃን ይለዩ file ለንድፍዎ እና ምልክቶች ከውጫዊ Altera ጋር ለመገናኘት
FPGA መሣሪያ ካስማዎች. · በቦርድ-ደረጃ ንድፍ የተጠቃሚ መመሪያዎ በኩል የትኞቹን ፒኖች እንደሚገናኙ ይረዱ
schematics. · ምልክቶችን በከፍተኛ ደረጃ ዲዛይን ወደቦች በአልቴራ FPGA መሳሪያ በፒን ይመድቡ
የምደባ መሳሪያዎች.
የእርስዎ የፕላትፎርም ዲዛይነር ስርዓት ከፍተኛ ደረጃ ንድፍ ሊሆን ይችላል። ሆኖም፣ Altera FPGA በተጨማሪ በእርስዎ ፍላጎት ላይ የተመሰረተ ተጨማሪ አመክንዮ ሊያካትት ይችላል እና በዚህም ብጁ ከፍተኛ-ደረጃን ያስተዋውቃል። file. ከፍተኛ ደረጃ file የኒዮስ ቪ ፕሮሰሰር ሲስተም ሞጁሉን ሲግናሎች ከሌላ Altera FPGA ንድፍ አመክንዮ ጋር ያገናኛል።
ተዛማጅ መረጃ Quartus Prime Pro እትም የተጠቃሚ መመሪያ፡ የንድፍ ገደቦች
2.2.3. የ Altera FPGA ንድፍ መገደብ
ትክክለኛው የ Altera FPGA ስርዓት ንድፍ ዲዛይኑ የጊዜ መዘጋትን እና ሌሎች የአመክንዮ ገደቦችን መስፈርቶች የሚያሟላ መሆኑን ለማረጋገጥ የንድፍ ገደቦችን ያካትታል። እነዚህን መስፈርቶች በግልፅ ለማሟላት የAltera FPGA ንድፍዎን በ Quartus Prime ሶፍትዌር ወይም በሶስተኛ ወገን EDA አቅራቢዎች ውስጥ ያሉትን መሳሪያዎች በመጠቀም መገደብ አለብዎት። ጥሩውን የምደባ ውጤት ለማግኘት የኳርተስ ፕራይም ሶፍትዌሩ በቅንጅቱ ወቅት የቀረቡትን ገደቦች ይጠቀማል።
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 25
2. ኒዮስ ቪ ፕሮሰሰር ሃርድዌር ሲስተም ዲዛይን ከኳርተስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር ጋር
726952 | 2025.07.16
ተዛማጅ መረጃ · Quartus Prime Pro እትም የተጠቃሚ መመሪያ፡ የንድፍ ገደቦች · የሶስተኛ ወገን EDA አጋሮች · ኳርተስ ፕራይም ፕሮ እትም የተጠቃሚ መመሪያ፡ የጊዜ ተንታኝ
2.3. የኒዮስ ቪ ፕሮሰሰር ሜሞሪ ሲስተም ዲዛይን ማድረግ
ይህ ክፍል የማህደረ ትውስታ መሳሪያዎችን በፕላትፎርም ዲዛይነር የተከተተ ሲስተም ከኒዮስ ቪ ፕሮሰሰር ጋር ለመምረጥ እና ጥሩ አፈጻጸምን የመምረጥ ምርጥ ልምዶችን ይገልጻል። የማስታወሻ መሳሪያዎች የተካተተውን ስርዓት አጠቃላይ አፈፃፀም ለማሻሻል ወሳኝ ሚና ይጫወታሉ. የተከተተ የስርዓት ማህደረ ትውስታ የፕሮግራሙን መመሪያዎችን እና መረጃዎችን ያከማቻል.
2.3.1. ተለዋዋጭ ማህደረ ትውስታ
በማህደረ ትውስታ አይነት ውስጥ ዋነኛው ልዩነት ተለዋዋጭነት ነው. ተለዋዋጭ ማህደረ ትውስታ ይዘቱን የሚይዘው ለማህደረ ትውስታ መሳሪያው ኃይል በሚያቀርቡበት ጊዜ ብቻ ነው። ኃይሉን እንዳነሱት ማህደረ ትውስታው ይዘቱን ያጣል.
Exampከተለዋዋጭ ማህደረ ትውስታ ራም፣ መሸጎጫ እና መመዝገቢያዎች ናቸው። እነዚህ ፈጣን የማህደረ ትውስታ ዓይነቶች የሩጫ አፈጻጸምን ይጨምራሉ። አልቴራ የኒዮስ ቪ ፕሮሰሰር መመሪያዎችን በ RAM ውስጥ እንድትጭን እና እንድታስፈጽም እና ኒዮስ ቪ IP ኮርን ከ On-Chip Memory IP ወይም External Memory Interface IP ጋር በማጣመር ለተሻለ አፈፃፀም ይመክራል።
አፈፃፀሙን ለማሻሻል የኒዮስ ቪ ፕሮሰሰር ዳታ አስተዳዳሪ በይነገጽ አይነትን ወይም ስፋትን ከቡት ራም ጋር በማዛመድ ተጨማሪ የፕላትፎርም ዲዛይነር መላመድ ክፍሎችን ማስወገድ ይችላሉ። ለ example፣ የኦን-ቺፕ ሜሞሪ IIን በ32-ቢት AXI-4 በይነገጽ ማዋቀር ትችላለህ፣ ይህም ከ Nios V የውሂብ አስተዳዳሪ በይነገጽ ጋር ይዛመዳል።
ተዛማጅ መረጃ · የውጭ ማህደረ ትውስታ በይነገጾች የአይፒ ድጋፍ ማእከል · በቺፕ ማህደረ ትውስታ (ራም ወይም ሮም) Altera FPGA IP · On-Chip Memory II (RAM or ROM) Altera FPGA IP · Nios V Processor Application Execute-In-Place ከ OCRAM በገጽ 54
2.3.1.1. የኦን-ቺፕ ማህደረ ትውስታ ውቅር RAM ወይም ROM
Altera FPGA On-Chip Memory አይፒዎችን እንደ RAM ወይም ROM ማዋቀር ይችላሉ። ራም የማንበብ እና የመፃፍ ችሎታን ይሰጣል እና ተለዋዋጭ ተፈጥሮ አለው። ከሆንክ
የኒዮስ ቪ ፕሮሰሰርን ከኦን-ቺፕ ራም በማስነሳት የማስነሻ ይዘት እንደተጠበቀ እና በሩጫ ጊዜ ዳግም ማስጀመር በሚከሰትበት ጊዜ ያልተበላሸ መሆኑን ማረጋገጥ አለብዎት። · የኒዮስ ቪ ፕሮሰሰር ከሮም እየነሳ ከሆነ በኒዮስ ቪ ፕሮሰሰር ላይ ያለ ማንኛውም የሶፍትዌር ስህተት የኦን-ቺፕ ሜሞሪ ይዘቶችን በስህተት መፃፍ አይችልም። ስለዚህ, የቡት ሶፍትዌርን የሙስና አደጋን ይቀንሳል.
ተዛማጅ መረጃ · ኦን-ቺፕ ሜሞሪ (ራም ወይም ሮም) Altera FPGA IP · On-Chip Memory II (RAM or ROM) Altera FPGA IP · Nios V Processor Application Execute-In-Place from OCRAM በገጽ 54
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 26
ግብረ መልስ ላክ
2. ኒዮስ ቪ ፕሮሰሰር የሃርድዌር ሲስተም ዲዛይን ከኳርትስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር 726952 | 2025.07.16
2.3.1.2. መሸጎጫዎች
በቺፕ ላይ ያሉ ትውስታዎች ዝቅተኛ መዘግየት ስላላቸው የመሸጎጫውን ተግባር ለመተግበር በተለምዶ ጥቅም ላይ ይውላሉ። የኒዮስ ቪ ፕሮሰሰር ለትምህርቱ እና ለመረጃ መሸጎጫዎቹ በቺፕ ማህደረ ትውስታ ይጠቀማል። በቺፕ ላይ ያለው የማስታወስ ችሎታ ውሱንነት ብዙውን ጊዜ ለካሼዎች ችግር አይደለም ምክንያቱም በተለምዶ ትንሽ ናቸው.
መሸጎጫዎች በተለምዶ በሚከተሉት ሁኔታዎች ውስጥ ጥቅም ላይ ይውላሉ:
መደበኛ ማህደረ ትውስታ ከቺፕ ውጭ የሚገኝ እና ከቺፕ ማህደረ ትውስታ የበለጠ ረዘም ያለ የመዳረሻ ጊዜ አለው።
· የሶፍትዌር ኮድ አፈጻጸም-ወሳኝ ክፍሎች በመመሪያው መሸጎጫ ውስጥ ሊገቡ ይችላሉ, የስርዓት አፈፃፀምን ያሻሽላል.
· አፈፃፀሙ-ወሳኝ፣ ብዙ ጊዜ ጥቅም ላይ የዋለው የውሂብ ክፍል በመረጃ መሸጎጫ ውስጥ ሊገባ ይችላል፣ የስርዓት አፈጻጸምን ያሻሽላል።
በNios V ፕሮሰሰር ውስጥ መሸጎጫዎችን ማንቃት የማህደረ ትውስታ ተዋረድ ይፈጥራል፣ ይህም የማህደረ ትውስታ መዳረሻ ጊዜን ይቀንሳል።
2.3.1.2.1. የዳርቻ ክልል
እንደ UART፣ I2C እና SPI ያሉ ማንኛቸውም የተከተቱ ተጓዳኝ አይፒዎች መሸጎጥ የለባቸውም። መሸጎጫ በረዥም ተደራሽነት ጊዜ ለተጎዱ ውጫዊ ትውስታዎች በጣም የሚመከር ሲሆን በቺፕ ላይ ያሉ ትውስታዎች በአጭር ጊዜ የመዳረሻ ጊዜያቸው ሊገለሉ ይችላሉ። እንደ UART፣ I2C እና SPI ያሉ ከማስታወሻዎች በስተቀር ማንኛውንም የተከተቱ ተጓዳኝ አይፒዎች መሸጎጫ ማድረግ የለብዎትም። ይህ አስፈላጊ ነው ምክንያቱም ከውጫዊ መሳሪያዎች የሚመጡ ክስተቶች ለምሳሌ ለስላሳ አይፒዎችን የሚያዘምኑ ወኪል መሳሪያዎች በአቀነባባሪው መሸጎጫ አልተያዙም, በተራው ደግሞ በአቀነባባሪው አይቀበሉም. በውጤቱም, እነዚህ ክስተቶች መሸጎጫውን እስኪያጠቡ ድረስ ሳይስተዋል ሊቀሩ ይችላሉ, ይህም በስርዓትዎ ውስጥ ወደ ያልተፈለገ ባህሪ ሊያመራ ይችላል. ለማጠቃለል፣ የማህደረ ትውስታ ካርታ ያለው የተካተተ የፔሪፈራል አይፒዎች ክልል መሸጎጫ የማይቻል ነው እና በአቀነባባሪው ተጓዳኝ ክልሎች ውስጥ መኖር አለበት።
የጎን ክልልን ለማዘጋጀት የሚከተሉትን ደረጃዎች ይከተሉ።
1. በፕላትፎርም ዲዛይነር ውስጥ የስርዓቱን አድራሻ ካርታ ይክፈቱ።
2. ወደ ፕሮሰሰሩ መመሪያ አስተዳዳሪ እና የውሂብ አስተዳዳሪ የአድራሻ ካርታ ይሂዱ።
3. በስርዓትዎ ውስጥ ያሉትን ተጓዳኝ እና ትውስታዎችን ይለዩ።
ምስል 12. ዘፀampየአድራሻ ካርታ
ማስታወሻ፡ ሰማያዊዎቹ ቀስቶች ወደ ትዝታዎች ያመለክታሉ። 4. ተጓዳኝ ክፍሎችን ይመድቡ:
ሀ. ማህደረ ትውስታ እንደ መሸጎጫ ለ. መሸፈኛዎች እንደ የማይገኙ
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 27
2. ኒዮስ ቪ ፕሮሰሰር ሃርድዌር ሲስተም ዲዛይን ከኳርተስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር ጋር
726952 | 2025.07.16
ሠንጠረዥ 19. መሸጎጫ እና የማይገኝ ክልል
የበታች
የአድራሻ ካርታ
ሁኔታ
የዳርቻ ክልል
መጠን
የመሠረት አድራሻ
የተጠቃሚ_መተግበሪያ_mem.s1
0x0 ~ 0x3ffff
መሸጎጫ የሚችል
ኤን/ኤ
ኤን/ኤ
cpu.dm_agent bootcopier_rom.s1
0x40000 ~ 0x4ffff 0x50000 ~ 0x517ff
የማይገኝ መሸጎጫ
65536 ባይት ኤን/ኤ
0x40000 N/A
bootcopier_ram.s1 cpu.timer_sw_agent mailbox.avmm
0x52000 ~ 0x537ff 0x54000 ~ 0x5403f 0x54040 ~ 0x5407f
መሸጎጫ የማይገኝ መሸጎጫ የማይገኝ
144 ባይት (ደቂቃ መጠን 65536 ባይት ነው)
0x54000
sysid_qsys_0.የቁጥጥር_ባሪያ
0x54080 ~ 0x54087
የማይሸሽ
uart.avalon_jtag_ባሪያ
0x54088 ~ 0x5408f
የማይሸሽ
5. የዳርቻ ክልሎችን ከተወሰኑ መጠኖች ጋር አስተካክል፡-
· ለቀድሞውample, መጠኑ 65536 ባይት ከሆነ, ከ 0x10000 ባይት ጋር ይዛመዳል. ስለዚህ, የተፈቀደው የመሠረት አድራሻ የ 0x10000 ብዜት መሆን አለበት.
· CPU.dm_agent 0x40000 የሆነ የመሠረት አድራሻ ይጠቀማል ይህም የ0x10000 ብዜት ነው። በውጤቱም, የፔሪፈራል ክልል A, በ 65536 ባይት መጠን እና የመሠረት አድራሻ 0x40000, መስፈርቶቹን ያሟላል.
· በ 0x54000 ላይ የማይገኙ ክልሎች ስብስብ የመሠረት አድራሻ የ 0x10000 ብዜት አይደለም. እነሱን ወደ 0x60000 ወይም ሌላ የ 0x10000 ብዜት መመደብ አለብዎት። ስለዚህ 65536 ባይት መጠን ያለው እና 0x60000 የመሠረት አድራሻ ያለው ፔሪፌራል ክልል ቢ መስፈርቱን ያሟላል።
ሠንጠረዥ 20. መሸጎጫ እና መሸጎጫ የሌለው ክልል ከእንደገና መመደብ ጋር
የበታች
የአድራሻ ካርታ
ሁኔታ
የዳርቻ ክልል
መጠን
የመሠረት አድራሻ
የተጠቃሚ_መተግበሪያ_mem.s1
0x0 ~ 0x3ffff
መሸጎጫ የሚችል
ኤን/ኤ
ኤን/ኤ
cpu.dm_ወኪል
0x40000 ~ 0x4ffff
የማይገኝ 65536 ባይት
0x40000
bootcopier_rom.s1
0x50000 ~ 0x517ff
መሸጎጫ የሚችል
ኤን/ኤ
ኤን/ኤ
bootcopier_ram.s1 cpu.timer_sw_agent mailbox.avmm sysid_qsys_0.control_slave
0x52000 ~ 0x537ff 0x60000 ~ 0x6003f 0x60040 ~ 0x6007f 0x60080 ~ 0x60087
መሸጎጫ የማይገኝ መሸጎጫ የማይገኝ
144 ባይት (ደቂቃ መጠን 65536 ባይት ነው)
0x60000
uart.avalon_jtag_ባሪያ
0x60088 ~ 0x6008f
የማይሸሽ
2.3.1.3. በጥብቅ የተጣመረ ማህደረ ትውስታ
የተጣመሩ ትዝታዎች (TCMs) ዝቅተኛ መዘግየት ለሥራው ተስማሚ እንዲሆኑ ስለሚያደርጋቸው በቺፕ ማህደረ ትውስታ በመጠቀም ይተገበራሉ። TCMs በተለመደው የአድራሻ ቦታ ላይ የተነደፉ ትውስታዎች ናቸው ነገር ግን ለማይክሮፕሮሰሰር የተወሰነ በይነገጽ ያላቸው እና ከፍተኛ አፈጻጸም ያለው ዝቅተኛ መዘግየት የመሸጎጫ ማህደረ ትውስታ ባህሪያት አላቸው። TCM ለውጫዊ አስተናጋጅ የበታች በይነገጽ ያቀርባል። ፕሮሰሰር እና ውጫዊ አስተናጋጅ TCM ን ለመቆጣጠር ተመሳሳይ የፍቃድ ደረጃ አላቸው።
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 28
ግብረ መልስ ላክ
2. ኒዮስ ቪ ፕሮሰሰር ሃርድዌር ሲስተም ዲዛይን ከኳርተስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር ጋር
726952 | 2025.07.16
ማስታወሻ፡-
የ TCM የበታች ወደብ ከውጭ አስተናጋጅ ጋር ሲገናኝ በአቀነባባሪው ኮር ውስጥ ከተመደበው የመሠረት አድራሻ በተለየ የመሠረት አድራሻ ሊታይ ይችላል። Altera ሁለቱንም አድራሻዎች ወደ ተመሳሳይ እሴት እንዲያስተካክል ይመክራል።
2.3.1.4. ውጫዊ ማህደረ ትውስታ በይነገጽ (EMIF)
EMIF (ውጫዊ ማህደረ ትውስታ በይነገጽ) ከSRAM (ስታቲክ ራንደም አክሰስ ሜሞሪ) ጋር ተመሳሳይ ነው የሚሰራው፣ ነገር ግን ተለዋዋጭ ነው እና ይዘቱን ለመጠበቅ በየጊዜው ማደስን ይፈልጋል። በ EMIF ውስጥ ያሉት ተለዋዋጭ የማህደረ ትውስታ ህዋሶች በSRAM ውስጥ ካሉት የማይንቀሳቀሱ የማስታወሻ ህዋሶች በጣም ያነሱ ናቸው፣ ይህም ከፍተኛ አቅም እና ዝቅተኛ ዋጋ ያላቸው የማህደረ ትውስታ መሳሪያዎችን ያስከትላል።
ከማደስ መስፈርት በተጨማሪ፣ EMIF ብዙ ጊዜ ልዩ ተቆጣጣሪ ሃርድዌርን የሚጠይቁ የተወሰኑ የበይነገጽ መስፈርቶች አሉት። እንደ SRAM፣ ቋሚ የአድራሻ መስመሮች ስብስብ ካለው፣ EMIF የማስታወሻ ቦታውን ወደ ባንኮች፣ ረድፎች እና አምዶች ያደራጃል። በባንኮች እና ረድፎች መካከል መቀያየር የተወሰነ ወጪን ያስተዋውቃል፣ ስለዚህ EMIFን በብቃት ለመጠቀም የማስታወሻ መዳረሻዎችን በጥንቃቄ ማዘዝ አለብዎት። EMIF የረድፍ እና የአምድ አድራሻዎችን በተመሳሳይ የአድራሻ መስመሮች ላይ በማባዛት ለአንድ የተወሰነ EMIF መጠን የሚያስፈልጉትን የፒን ብዛት ይቀንሳል።
እንደ DDR፣ DDR2፣ DDR3፣ DDR4 እና DDR5 ያሉ ባለከፍተኛ ፍጥነት የ EMIF ስሪቶች PCB ዲዛይነሮች ሊያስቡባቸው የሚገቡ ጥብቅ የሲግናል ታማኝነት መስፈርቶችን ይጥላሉ።
የ EMIF መሳሪያዎች በጣም ወጪ ቆጣቢ እና ከፍተኛ አቅም ካላቸው ራም አይነቶች ውስጥ ይመደባሉ ይህም ተወዳጅ አማራጭ ያደርጋቸዋል። የ EMIF በይነገጽ ቁልፍ አካል EMIF አይፒ ነው፣ እሱም ከማባዛት፣ ከማደስ እና በረድፍ እና ባንኮች መካከል መቀያየርን በተመለከተ ስራዎችን ያስተዳድራል። ይህ ንድፍ በውስጡ ያለውን ውስጣዊ አርክቴክቸር መረዳት ሳያስፈልገው ቀሪው ስርዓቱ EMIFን እንዲደርስ ያስችለዋል።
ተዛማጅ መረጃ የውጭ ማህደረ ትውስታ በይነገጽ የአይፒ ድጋፍ ማእከል
2.3.1.4.1. አድራሻ Span Extender IP
የአድራሻ Span Extender Altera FPGA አይፒ በአድራሻ ምልክታቸው ስፋት ከሚፈቅደው በላይ ትልቅ ወይም ትንሽ የአድራሻ ካርታ እንዲደርሱ በማስታወሻ ካርታ የተሰሩ አስተናጋጆችን ይፈቅዳል። የአድራሻ ስፓን ኤክስቴንደር አይፒ አድራሻውን ወደ ብዙ የተለያዩ መስኮቶች በመክፈሉ አስተናጋጁ ተገቢውን የማስታወሻ ክፍል በመስኮቱ በኩል ማግኘት ይችላል።
የአድራሻ ስፓን ማራዘሚያ የአስተናጋጅ እና የወኪል ስፋቶችን ወደ 32-ቢት እና 64ቢት ውቅር አይገድበውም። ከ1-64 ቢት የአድራሻ መስኮቶች ያሉት የአድራሻ ስፓን ኤክስቴንደርን መጠቀም ይችላሉ።
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 29
2. ኒዮስ ቪ ፕሮሰሰር ሃርድዌር ሲስተም ዲዛይን ከኳርተስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር ጋር
726952 | 2025.07.16
ምስል 13. አድራሻ Span Extender Altera FPGA IP
ወኪል ቃል አድራሻ
አድራሻ Span Extender
A
የካርታ ሠንጠረዥ
የመቆጣጠሪያ ወደብ ኤ
…
የቁጥጥር መመዝገቢያ 0 የቁጥጥር መመዝገቢያ Z-1
የተስፋፋ አስተናጋጅ አድራሻ ኤች
ተዛማጅ መረጃ
Quartus® Prime Pro እትም የተጠቃሚ መመሪያ፡የፕላትፎርም ዲዛይነር ርዕስን ተመልከት አድራሻ Span Extender Intel® FPGA IP ለበለጠ መረጃ።
2.3.1.4.2. አድራሻ ስፓን ኤክስቴንደር አይፒን ከኒዮስ ቪ ፕሮሰሰር ጋር መጠቀም
ባለ 32-ቢት ኒዮስ ቪ ፕሮሰሰር እስከ 4 ጂቢ የአድራሻ ስፋት ማስተናገድ ይችላል። EMIF ከ4ጂቢ በላይ የማህደረ ትውስታ መጠን ከያዘ ከፍተኛውን የሚደገፈውን የአድራሻ ወሰን በልጧል፣የፕላትፎርም ዲዛይነር ስርዓቱን እንደ ስህተት አድርጎታል። ይህንን ችግር ለመፍታት የአድራሻ ስፓን ኤክስቴንደር አይፒ ያስፈልጋል አንድ ነጠላ የኤኤምኤፍ አድራሻ ቦታ ወደ ብዙ ትናንሽ መስኮቶች በመከፋፈል።
Altera የሚከተሉትን መለኪያዎች እንዲያስቡ ይመክራል.
ሠንጠረዥ 21. የአድራሻ ስፓን ማራዘሚያ መለኪያዎች
መለኪያ
የሚመከሩ ቅንብሮች
የውሂብ ዱካ ስፋት
የተዘረጋው የማስተር ባይት አድራሻ ስፋት
ከ32-ቢት ፕሮሰሰር ጋር የሚያገናኝ 32-ቢት ይምረጡ። እንደ EMIF ማህደረ ትውስታ መጠን ይወሰናል.
የባሪያ ቃል አድራሻ ስፋት Burstcount ስፋት
2 ጂቢ ወይም ከዚያ ያነሰ ይምረጡ። የኒዮስ ቪ ፕሮሰሰር ቀሪ የአድራሻ ጊዜ ለሌላ ለተከተቱ ለስላሳ አይፒዎች ተጠብቋል።
በ 1 ይጀምሩ እና አፈጻጸምን ለማሻሻል ይህንን እሴት ቀስ በቀስ ይጨምሩ።
የንዑስ መስኮቶች ብዛት
EMIFን ከኒዮስ ቪ ፕሮሰሰር ጋር እንደ መመሪያ እና ዳታ ማህደረ ትውስታ እያገናኙ ከሆነ 1 ንዑስ መስኮት ይምረጡ። ኒዮስ ቪ ፕሮሰሰር ከEMIF በሚሰራበት ጊዜ በበርካታ ንዑስ መስኮቶች መካከል መቀያየር አደገኛ ነው።
የባሪያ መቆጣጠሪያ ወደብን አንቃ
እንደ መመሪያ እና/ወይም የውሂብ ማህደረ ትውስታ EMIFን ከኒዮስ ቪ ፕሮሰሰር ጋር እያገናኙት ከሆነ የባሪያ መቆጣጠሪያ ወደቡን ያሰናክሉ። እንደ ንዑስ መስኮቶች ብዛት ተመሳሳይ ስጋቶች።
ከፍተኛ በመጠባበቅ ላይ ያሉ ንባቦች
በ 1 ይጀምሩ እና አፈጻጸምን ለማሻሻል ይህንን እሴት ቀስ በቀስ ይጨምሩ።
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 30
ግብረ መልስ ላክ
2. ኒዮስ ቪ ፕሮሰሰር የሃርድዌር ሲስተም ዲዛይን ከኳርትስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር 726952 | 2025.07.16
ምስል 14. መመሪያ እና የውሂብ አስተዳዳሪን ከአድራሻ Span Extender ጋር ማገናኘት
ምስል 15. የአድራሻ ካርታ
የአድራሻ ስፓን ማራዘሚያ ሙሉውን የ EMIF 8GB ማህደረ ትውስታ ቦታ ማግኘት እንደሚችል ልብ ይበሉ። ነገር ግን፣ በአድራሻ ስፓን ኤክስቴንደር በኩል፣ ኒዮስ ቪ ፕሮሰሰር የ EMIF የመጀመሪያ 1 ጂቢ ማህደረ ትውስታ ቦታ ብቻ ማግኘት ይችላል።
ምስል 16. ቀላል የማገጃ ንድፍ
መድረክ ዲዛይነር ስርዓት
የቀረው 3 ጂቢ
የኒዮስ ቪ ፕሮሰሰር አድራሻ
span የተከተተ ነው
NNioios sVV ፒፕሮሮሴሴሶሶር አር
M
ለስላሳ አይፒዎች በተመሳሳይ ስርዓት.
1 ጂቢ መስኮት
የአድራሻ ስፓን
S
ማራዘሚያ
M
የመጀመሪያው 1 ጊባ ብቻ
የ EMIF ማህደረ ትውስታ ከኒዮስ ቪ ጋር ተገናኝቷል
EMIF
ፕሮሰሰር.
8 ጊባ
S
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 31
2. ኒዮስ ቪ ፕሮሰሰር ሃርድዌር ሲስተም ዲዛይን ከኳርተስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር ጋር
726952 | 2025.07.16
2.3.1.4.3. አድራሻ Span Extender Linker Memory Deviceን መግለጽ 1. የአድራሻ ስፓን ማራዘሚያ (EMIF)ን እንደ ዳግም ማስጀመሪያ ቬክተር ይግለጹ። በአማራጭ፣ የኒዮስ ቪ ፕሮሰሰር ዳግም ማስጀመሪያ ቬክተርን ለሌሎች ትውስታዎች ለምሳሌ OCRAM ወይም ፍላሽ መሳሪያዎች መመደብ ይችላሉ።
ምስል 17. እንደ ቬክተር ዳግም ማስጀመር ብዙ አማራጮች
ነገር ግን የቦርድ ድጋፍ ፓኬጅ (BSP) አርታኢ የአድራሻ ስፓን ማራዘሚያ (EMIF)ን እንደ ትክክለኛ ማህደረ ትውስታ በራስ ሰር መመዝገብ አይችልም። በመረጡት ምርጫ ላይ በመመስረት, በሚቀጥሉት ምስሎች ላይ እንደሚታየው ሁለት የተለያዩ ሁኔታዎችን ታያለህ. ምስል 18. የአድራሻ Span Extender (EMIF) ቬክተርን ዳግም በማስጀመር ጊዜ የቢኤስፒ ስህተት
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 32
ግብረ መልስ ላክ
2. ኒዮስ ቪ ፕሮሰሰር የሃርድዌር ሲስተም ዲዛይን ከኳርትስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር 726952 | 2025.07.16
ምስል 19. ሌሎች ትውስታዎችን እንደ ቬክተር ዳግም ማስጀመር ሲገልጹ EMIF ይጎድላል
2. በBSP Linker ስክሪፕት ትሩ ላይ የአድራሻ ስፓን ኤክስቴንደርን (EMIF) ን እራስዎ መጨመር አለቦት።
3. እነዚህን ደረጃዎች ይከተሉ:
ሀ. የማህደረ ትውስታ ካርታውን በመጠቀም የአድራሻ ስፓን ማራዘሚያውን የአድራሻ ወሰን ይወስኑ (ዘample በሚከተለው ስእል የአድራሻ ስፓን ኤክስቴንደርን ከ0x0 እስከ 0x3fff_ffff ይጠቀማል።
ምስል 20. የማስታወሻ ካርታ
ለ. የማህደረ ትውስታ መሳሪያ አክል የሚለውን ጠቅ ያድርጉ እና በንድፍዎ ማህደረ ትውስታ ካርታ ላይ ባለው መረጃ መሰረት ይሙሉ፡ i. የመሣሪያ ስም፡ emif_ddr4. ማስታወሻ፡ ተመሳሳይ ስም ከማህደረ ትውስታ ካርታ መቅዳትዎን ያረጋግጡ። ii. የመሠረት አድራሻ: 0x0 iii. መጠን: 0x40000000
ሐ. አዲስ የአገናኝ ማህደረ ትውስታ ክልል ለማከል አክል የሚለውን ጠቅ ያድርጉ፡
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 33
2. ኒዮስ ቪ ፕሮሰሰር ሃርድዌር ሲስተም ዲዛይን ከኳርተስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር ጋር
726952 | 2025.07.16
ሠንጠረዥ 22. የሊንከር ማህደረ ትውስታ ክልል መጨመር
እርምጃዎች
ቬክተርን ዳግም አስጀምር
emif_ddr4
ሌሎች ትውስታዎች
1
ዳግም ማስጀመር የሚባል አዲስ የሊንከር ሜሞሪ ክልል ያክሉ። አዲስ የሊንከር ማህደረ ትውስታ ክልል ለ
· የክልል ስም፡ ዳግም አስጀምር
emif_ddr4.
· የክልል መጠን: 0x20
· የክልል ስም፡ emif_ddr4
· የማህደረ ትውስታ መሳሪያ፡ emif_ddr4
· የክልል መጠን: 0x40000000
· የማህደረ ትውስታ ማካካሻ፡ 0x0
· የማህደረ ትውስታ መሳሪያ፡ emif_ddr4
· የማህደረ ትውስታ ማካካሻ፡ 0x0
2
አዲስ የሊንከር ማህደረ ትውስታ ክልል ለ
የሚቀረው emif_ddr4.
· የክልል ስም፡ emif_ddr4
· የክልል መጠን: 0x3ffffffe0
· የማህደረ ትውስታ መሳሪያ፡ emif_ddr4
· የማህደረ ትውስታ ማካካሻ፡ 0x20
ምስል 21. ሊንከር ክልል አድራሻ ስፓን ኤክስቴንደር (EMIF)ን እንደ ቬክተር ዳግም ሲያስጀምር
ምስል 22. ሊንከር ክልል ሌሎች ትውስታዎችን እንደ ቬክተር ዳግም ማስጀመር ሲገልጹ
መ. አንዴ emif_ddr4 ወደ BSP ከተጨመረ ለማንኛውም የሊንከር ክፍል መምረጥ ይችላሉ።
ምስል 23. የተጨመረ አድራሻ Span Extender (EMIF) በተሳካ ሁኔታ
ሠ. ስለ ማህደረ ትውስታ መሳሪያ emif_ddr4 የሚሰጠውን ማስጠንቀቂያ በSOPC ንድፍ ውስጥ አይታይም።
ረ. BSP ን ለመፍጠር ይቀጥሉ።
ተዛማጅ መረጃ የኒዮስ ቪ ፕሮሰሰር የማስነሻ ዘዴዎች መግቢያ በገጽ 51
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 34
ግብረ መልስ ላክ
2. ኒዮስ ቪ ፕሮሰሰር የሃርድዌር ሲስተም ዲዛይን ከኳርትስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር 726952 | 2025.07.16
2.3.2. የማይለዋወጥ ማህደረ ትውስታ
የማይለዋወጥ ማህደረ ትውስታ ኃይሉ ሲጠፋ ይዘቱን ይይዛል, ይህም ስርዓቱ ከስርዓት ሃይል ዑደት በኋላ ማምጣት ያለበትን መረጃ ለማከማቸት ጥሩ ምርጫ ያደርገዋል. የማይለዋወጥ ማህደረ ትውስታ በተለምዶ ፕሮሰሰር ቡት-ኮድ፣ ቀጣይነት ያለው መተግበሪያ መቼት እና Altera FPGA ውቅር ውሂብን ያከማቻል። ምንም እንኳን የማይለዋወጥ ማህደረ ትውስታ አድቫን ቢኖረውምtagኃይሉን በሚያስወግዱበት ጊዜ ውሂቡን ማቆየት ፣ ከተለዋዋጭ ማህደረ ትውስታ ጋር ሲነፃፀር በጣም ቀርፋፋ ነው ፣ እና ብዙ ጊዜ የበለጠ ውስብስብ የመፃፍ እና የማጥፋት ሂደቶች አሉት። የማይለዋወጥ የማስታወስ ችሎታም እንዲሁ አብዛኛውን ጊዜ የሚጠፋው ለተወሰነ ጊዜ ብቻ ሲሆን ከዚያ በኋላ ሊወድቅ ይችላል።
Exampየማይለዋወጥ ማህደረ ትውስታ ሁሉንም አይነት ፍላሽ፣ EPROM እና EEPROM ያካትታል። Altera Altera FPGA bitstreams እና Nios V ፕሮግራም ምስሎችን በማይለዋወጥ ማህደረ ትውስታ ውስጥ እንዲያከማቹ ይመክራል እና ተከታታይ ፍላሽ ለኒዮስ ቪ ፕሮሰሰሮች የማስነሻ መሳሪያ ይጠቀሙ።
ተዛማጅ መረጃ
· አጠቃላይ ሲሪያል ፍላሽ በይነገጽ Altera FPGA IP የተጠቃሚ መመሪያ
· የመልእክት ሳጥን ደንበኛ Altera FPGA IP የተጠቃሚ መመሪያ · MAX® 10 የተጠቃሚ ፍላሽ ማህደረ ትውስታ የተጠቃሚ መመሪያ፡ በቺፕ ፍላሽ Altera FPGA IP Core
2.4. ምርጥ ልምዶችን ሰዓቶች እና ዳግም ያስጀምራል
የኒዮስ ቪ ፕሮሰሰር ሰዓት እና ዳግም ማስጀመሪያ ጎራ ከሚገናኘው እያንዳንዱ አካል ጋር እንዴት እንደሚገናኙ መረዳት አስፈላጊ ነው። ቀላል የኒዮስ ቪ ፕሮሰሰር ሲስተም በአንድ የሰዓት ጎራ ይጀምራል፣ እና ፈጣን የሰዓት ጎራ ከዘገምተኛ ሰአት ጎራ ጋር ሲጋጭ ከብዙ ሰአት ጎራ ስርዓት ጋር ሊወሳሰብ ይችላል። እነዚህ የተለያዩ ጎራዎች እንዴት ከዳግም ማስጀመር ውጭ እንደሚሆኑ ልብ ይበሉ እና ምንም ስውር ችግሮች አለመኖራቸውን ያረጋግጡ።
ለተሻለ ልምምድ፣ Altera የኒዮስ ቪ ፕሮሰሰር እና የማስነሻ ማህደረ ትውስታን በተመሳሳይ የሰዓት ጎራ ውስጥ ማስቀመጥ ይመክራል። በጣም ቀርፋፋ የሰዓት ጎራ ውስጥ ከሚኖረው ማህደረ ትውስታ ሲነሳ የኒዮስ ቪ ፕሮሰሰር በፈጣን የሰዓት ጎራ ውስጥ ዳግም ከማስጀመር አይልቀቁት፣ ይህ ደግሞ የመመሪያ ስህተት ሊፈጥር ይችላል። የፕላትፎርም ዲዛይነር በነባሪነት ከሚያቀርበው በላይ የሆነ በእጅ ቅደም ተከተል ሊፈልጉ ይችላሉ፣ እና በእርስዎ የአጠቃቀም ጉዳይ ላይ በመመስረት የመልቀቅ ቶፖሎጂን በዚህ መሰረት ያቅዱ። ስርዓትዎ ከወጣ እና ለተወሰነ ጊዜ ከሄደ በኋላ ዳግም ማስጀመር ከፈለጉ፣ የስርዓት ዳግም ማስጀመሪያ ቅደም ተከተል እና የዳግም ማስጀመሪያ ማስጀመሪያን ለመለጠፍ ተመሳሳይ ግምትዎችን ይተግብሩ።
2.4.1. ስርዓት ጄTAG ሰዓት
በእያንዳንዱ የኒዮስ ቪ ፕሮሰሰር ሲስተም ውስጥ የሰዓት ገደቦችን መግለጽ አስፈላጊ የሥርዓት ዲዛይን ግምት ውስጥ የሚገባ እና ለትክክለኛነት እና የመወሰን ባህሪ ያስፈልጋል። የኳርተስ ፕራይም ጊዜ ተንታኝ በኢንዱስትሪ ደረጃ ገደቦችን፣ ትንታኔዎችን እና የሪፖርት ማቅረቢያ ዘዴን በመጠቀም በንድፍዎ ውስጥ ያሉትን ሁሉንም አመክንዮዎች የጊዜ አፈጻጸም ለማረጋገጥ የማይንቀሳቀስ የጊዜ ትንታኔን ያከናውናል።
Example 1. መሰረታዊ 100 ሜኸ ሰአት ከ50/50 የስራ ዑደት እና 16 ሜኸዝ ጄ ጋርTAG ሰዓት
**************************************************************************************************************************************************************************************************************************************************************************************************************************************************************************************************************************************************************************************************************************************************************************************************************************************************************************************************TAG ሰዓት #************************
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 35
2. ኒዮስ ቪ ፕሮሰሰር ሃርድዌር ሲስተም ዲዛይን ከኳርተስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር ጋር
726952 | 2025.07.16
create_clock -name {altera_reserved_tck} -period 62.500 [get_ports {altera_reserved_tck}] set_clock_groups -asynchronous -group [get_clocks {altera_reserved_tck}] ተዛማጅ መረጃ የኳርትስ ዋና ጊዜ ተንታኝ የምግብ ማብሰያ መጽሐፍ
2.4.2. የጥያቄ በይነገጽን ዳግም አስጀምር
የኒዮስ ቪ ፕሮሰሰር የአማራጭ ዳግም ማስጀመር ጥያቄ ተቋምን ያካትታል። የዳግም ማስጀመሪያ ጥያቄ ተቋሙ reset_req እና reset_req_ack ምልክቶችን ያካትታል።
በፕላትፎርም ዲዛይነር ውስጥ ያለውን የዳግም ማስጀመር ጥያቄ ለማንቃት፡ 1. የኒዮስ ቪ ፕሮሰሰር IP ፓራሜትር አርታዒን አስጀምር። 2. የአጠቃቀም ዳግም ማስጀመሪያ ጥያቄ መቼት ላይ የአክል ዳግም ማስጀመሪያ መጠየቂያ በይነገጽን ያብሩ
አማራጭ.
ምስል 24. የኒዮስ ቪ ፕሮሰሰር ዳግም ማስጀመር ጥያቄን አንቃ
የreset_req ምልክቱ እንደ መቋረጥ ይሰራል። reset_reqን ሲያስረግጡ ወደ ዋናው ዳግም ለማስጀመር እየጠየቁ ነው። ዋናው ሥራውን ለማጠናቀቅ ማንኛውንም የላቀ የአውቶቡስ ግብይት ይጠብቃል። ለ example, በመጠባበቅ ላይ ያለ የማህደረ ትውስታ መዳረሻ ግብይት ካለ, ዋናው የተሟላ ምላሽ ይጠብቃል. በተመሳሳይ፣ ኮር ማንኛውም በመጠባበቅ ላይ ያለ የመመሪያ ምላሽ ይቀበላል ነገር ግን የreset_req ምልክቱን ከተቀበለ በኋላ የመመሪያ ጥያቄን አይሰጥም።
የዳግም ማስጀመሪያ ክዋኔው የሚከተለውን ፍሰት ያካትታል፡ 1. ሁሉንም በመጠባበቅ ላይ ያሉ ስራዎችን ያጠናቅቁ 2. የውስጥ ቧንቧ መስመርን ያጥቡ 3. የፕሮግራም ቆጣሪውን ወደ ዳግም ማስጀመሪያው ቬክተር ያቀናብሩ 4. ዋናውን ዳግም ያስጀምሩ አጠቃላይ የዳግም ማስጀመሪያ ክዋኔ ጥቂት የሰዓት ዑደቶችን ይወስዳል። reset_req reset_req_ack እስኪረጋገጥ ድረስ የዳግም ማስጀመር ስራ በተሳካ ሁኔታ መጠናቀቁን ያሳያል። ይህን አለማድረግ የኮር ግዛት የማይወስን ይሆናል።
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 36
ግብረ መልስ ላክ
2. ኒዮስ ቪ ፕሮሰሰር የሃርድዌር ሲስተም ዲዛይን ከኳርትስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር 726952 | 2025.07.16
2.4.2.1. የተለመዱ የአጠቃቀም ጉዳዮች
የኒዮስ ቪ ፕሮሰሰር ኮር ከዳግም ማስጀመሪያው ቬክተር የፕሮግራሙን አፈፃፀም እንዳይጀምር ለመከላከል የreset_req ሲግናልን ከኃይል-ማብራት ማረጋገጥ ትችላለህ። በዚህ አጋጣሚ አጠቃላይ ንዑስ ስርዓቱ ንጹህ የሃርድዌር ዳግም ማስጀመር ሊያጋጥመው ይችላል። የኒዮስ ቪ ፕሮሰሰር ላልተወሰነ ጊዜ በዳግም ማስጀመሪያ የጥያቄ ሁኔታ ውስጥ ሌሎች FPGA አስተናጋጆች የማቀናበሪያውን የማስነሻ ማህደረ ትውስታን እስኪያስጀምሩ ድረስ ይቆያል።
· የኒዮስ ቪ ፕሮሰሰር ኮርን ቀሪውን ሲስተሙን ሳያስተጓጉል ዳግም ማስጀመር ባለበት ሲስተም የሬሴት_req ሲግናል የኮር የአሁኑን ስራ በንፅህና ለማስቆም እና ስርዓቱ reset_req_ack ሲግናል ከለቀቀ በኋላ ፕሮሰሰሩን ከሬሴት ቬክተር እንደገና ማስጀመር ይችላሉ።
· አንድ የውጭ አስተናጋጅ የሚከተሉትን ተግባራት አፈፃፀም ለማቃለል የዳግም ማስጀመሪያ ጥያቄ በይነገጽን መጠቀም ይችላል።
- የአሁኑን የኒዮስ ቪ ፕሮሰሰር ፕሮግራም አቁም።
- አዲስ ፕሮግራም ወደ ኒዮስ ቪ ፕሮሰሰር ማስነሻ ማህደረ ትውስታ ይጫኑ።
- ፕሮሰሰር አዲሱን ፕሮግራም ማስኬድ እንዲጀምር ይፍቀዱለት።
የreq_ack ሲግናል ሁኔታን ለመከታተል Altera የጊዜ ማብቂያ ዘዴን እንድትተገብር ይመክራል። የኒዮስ ቪ ፕሮሰሰር ኮር ገደብ በሌለው የጥበቃ ሁኔታ ውስጥ ከወደቀ እና ባልታወቀ ምክንያት ከቆመ፣ reset_req_ack ላልተወሰነ ጊዜ ማረጋገጥ አይችልም። የጊዜ ማብቂያ ዘዴ የሚከተሉትን ለማድረግ ያስችልዎታል:
· የማገገሚያ ጊዜ ማብቂያ ጊዜን ይግለጹ እና የስርዓት መልሶ ማግኛን በስርዓት ደረጃ ዳግም በማስጀመር ያከናውኑ።
· የሃርድዌር ደረጃ ዳግም ማስጀመርን ያከናውኑ።
2.4.3. የመልቀቂያ አይፒን ዳግም ያስጀምሩ
Altera SDM ላይ የተመሰረቱ መሳሪያዎች ዋናውን የጨርቅ አመክንዮ በበርካታ ዘርፎች የሚያሰራጭ ትይዩ፣ ሴክተር ላይ የተመሰረተ አርክቴክቸር ይጠቀማሉ። Altera የ Reset Release Altera FPGA IPን ወደ ዳግም ማስጀመሪያ ወረዳ የመጀመሪያ ግብዓቶች አንዱ አድርገው እንዲጠቀሙ ይመክራል። Intel® SDM ላይ የተመሰረቱ መሳሪያዎች Stratix® 10 እና AgilexTM መሳሪያዎችን ያካትታሉ። የቁጥጥር እገዳ ላይ የተመሰረቱ መሳሪያዎች በዚህ መስፈርት አይነኩም።
ተዛማጅ መረጃ
AN 891፡ ዳግም ማስጀመሪያውን Altera FPGA IP በመጠቀም
2.5. ነባሪ ወኪል መመደብ
የመሣሪያ ስርዓት ዲዛይነር እንደ የስህተት ምላሽ ነባሪ ወኪል ሆኖ የሚያገለግል ነባሪ ወኪል እንዲገልጹ ያስችልዎታል። እርስዎ የመረጡት ነባሪ ወኪል የአድራሻ ካርታው ውስጥ ዲኮድ ያልተደረጉ መዳረሻዎችን ለሚሞክሩ አስተናጋጆች የስህተት ምላሽ አገልግሎት ይሰጣል።
የሚከተሉት ሁኔታዎች ዲኮድ ያልሆነ ክስተት ያስነሳሉ፡
· የአውቶቡስ ግብይት ደህንነት ሁኔታ ጥሰት
· ያልተገለጸ የማህደረ ትውስታ ክልል የግብይት መዳረሻ
· ልዩ ክስተት እና ወዘተ.
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 37
2. ኒዮስ ቪ ፕሮሰሰር ሃርድዌር ሲስተም ዲዛይን ከኳርተስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር ጋር
726952 | 2025.07.16
ያልተገለፀ ግብይት ወደ ነባሪው ወኪሉ ሲቀየር እና በኋላ ለኒዮስ ቪ ፕሮሰሰር በስህተት ምላሽ ሲሰጥ እንደዚህ ያሉ ክስተቶችን ለማስተናገድ ነባሪ ወኪል መመደብ አለበት።
ተዛማጅ መረጃ
· የኳርትስ ፕራይም ፕሮ እትም የተጠቃሚ መመሪያ፡ መድረክ ዲዛይነር። ነባሪ ወኪል መሰየም
· የኳርትስ ፕራይም ፕሮ እትም የተጠቃሚ መመሪያ፡ መድረክ ዲዛይነር። የስህተት ምላሽ Slave Altera FPGA IP
· Github – ለQsys ተጨማሪ ዳግም ማስጀመሪያ አካላት
2.6. ለህትመት የ UART ወኪል መመደብ
ማተም የሶፍትዌር አፕሊኬሽኑን ለማረም እንዲሁም የስርዓትዎን ሁኔታ ለመከታተል ይጠቅማል። Altera እንደ ጅምር መልእክት፣ የስህተት መልእክት እና የሶፍትዌር አፕሊኬሽኑ አፈጻጸም ያሉ መሰረታዊ መረጃዎችን ማተምን ይመክራል።
በሚከተሉት ሁኔታዎች የህትመት () ላይብረሪ ተግባርን ከመጠቀም ይቆጠቡ፡- የህትመት () ላይብረሪ ምንም አስተናጋጅ የማያነብ ከሆነ አፕሊኬሽኑ እንዲቆም ያደርገዋል።
ይህ በጄTAG UART ብቻ። የህትመት () ቤተ-መጽሐፍት ከፍተኛ መጠን ያለው የፕሮግራም ማህደረ ትውስታን ይጠቀማል።
2.6.1. ድንኳኖችን መከላከል በጄTAG UART
ሠንጠረዥ 23. በባህላዊ UART እና በጄ መካከል ያሉ ልዩነቶችTAG UART
UART አይነት ባህላዊ UART
መግለጫ
የውጭ አስተናጋጅ እየሰማ እንደሆነ ምንም ይሁን ምን ተከታታይ ውሂብ ያስተላልፋል። ምንም አስተናጋጅ ተከታታይ ውሂቡን ካላነበበ ውሂቡ ይጠፋል።
JTAG UART
የተላለፈውን ውሂብ ወደ የውጽአት ቋት ይጽፋል እና ባዶ ለማድረግ ከጠባቂው ለማንበብ በውጫዊ አስተናጋጅ ላይ ይተማመናል።
ጄTAG የ UART አሽከርካሪ የውጤት ቋት ሲሞላ ይጠብቃል። ጄTAG የ UART ሹፌር ተጨማሪ አስተላላፊ ውሂብ ከመጻፉ በፊት የውጭ አስተናጋጅ ከውፅዓት ቋት ለማንበብ ይጠብቃል። ይህ ሂደት የማስተላለፊያ ውሂብን መጥፋት ይከላከላል.
ነገር ግን፣ የስርዓት ማረም አስፈላጊ በማይሆንበት ጊዜ፣ ለምሳሌ በምርት ጊዜ፣ የተከተቱ ስርዓቶች ያለ አስተናጋጅ ፒሲ ከጄ ጋር ተያይዘዋል።TAG UART ስርዓቱ ጄን ከመረጠTAG UART እንደ UART ወኪል፣ ምንም አይነት የውጭ አስተናጋጅ ስላልተገናኘ የማቆም ስርዓትን ሊያስከትል ይችላል።
መቆምን ለመከላከል በጄTAG UART፣ ከሚከተሉት አማራጮች ተግብር፡
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 38
ግብረ መልስ ላክ
2. ኒዮስ ቪ ፕሮሰሰር ሃርድዌር ሲስተም ዲዛይን ከኳርተስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር ጋር
726952 | 2025.07.16
ሠንጠረዥ 24. በመቆም ላይ መከላከል በጄTAG UART
አማራጮች
ምንም UART በይነገጽ እና ሾፌር የለም
ሌላ የ UART በይነገጽ እና ነጂ ይጠቀሙ
ጄን ጠብቅTAG UART በይነገጽ (ያለ አሽከርካሪ)
በሃርድዌር ልማት ወቅት (በፕላትፎርም ዲዛይነር)
በሶፍትዌር ልማት ጊዜ (በቦርድ ድጋፍ ጥቅል አርታኢ)
ጄን አስወግድTAG UART ከስርዓቱ
hal.stdin፣ hal.stdout እና hal.stderr እንደ ምንም ያዋቅሩ።
ጄ ተካTAG UART ከሌሎች ለስላሳዎች ጋር hal.stdin፣ hal.stdout እና hal.stderr አዋቅር
UART አይፒ
ከሌሎች ለስላሳ UART IP ጋር.
ጄን ጠብቅTAG በስርዓቱ ውስጥ UART
· hal.stdin፣ hal.stdout እና hal.stderr በቦርድ የድጋፍ ጥቅል አርታዒ ውስጥ እንደሌሉ ያዋቅሩ።
ጄን አሰናክልTAG UART ሾፌር በ BSP ሾፌር ትር ውስጥ።
2.7. ጄTAG ምልክቶች
የኒዮስ ቪ ፕሮሰሰር ማረም ሞጁል ጄን ይጠቀማልTAG ለሶፍትዌር ELF ማውረድ እና የሶፍትዌር ማረም በይነገጽ። ንድፍዎን በJTAG በይነገጽ ፣ ጄTAG ሲግናሎች TCK፣ TMS፣ TDI እና TDO እንደ ዲዛይኑ አካል ሆነው ተተግብረዋል። ጄን በመግለጽ ላይTAG በእያንዳንዱ የኒዮስ ቪ ፕሮሰሰር ሲስተም ውስጥ ያሉ የሲግናል ገደቦች አስፈላጊ የስርዓት ዲዛይን ግምት ውስጥ የሚገባ እና ለትክክለኛነት እና ለመወሰን ባህሪ ያስፈልጋል።
Altera የማንኛውም የንድፍ ስርዓት የሰዓት ድግግሞሽ ከጄ ቢያንስ አራት እጥፍ እንዲሆን ይመክራል።TAG የኦን-ቺፕ መሣሪያ (OCI) ኮር በትክክል መስራቱን ለማረጋገጥ የሰዓት ድግግሞሽ።
ተዛማጅ መረጃ · Quartus® ዋና ጊዜ ተንታኝ የምግብ አዘገጃጀት መጽሐፍ፡ ጄTAG ምልክቶች
ስለ ጄTAG የጊዜ ገደቦች መመሪያዎች. · ኬዲቢ፡ ለምን ኒዮስቭ-ማውረጃ ከቧንቧ ባልሆነ የNios® V/m ፕሮሰሰር በ
JTAG ድግግሞሽ 24MHz ወይም 16Mhz?
2.8. የመድረክ ዲዛይነር ስርዓት አፈጻጸምን ማመቻቸት
የፕላትፎርም ዲዛይነር ለአልትራ ኤፍፒጂኤ ዲዛይኖች የስርዓቱን ትስስር አፈፃፀም ለማሻሻል መሳሪያዎችን ያቀርባል።
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 39
2. ኒዮስ ቪ ፕሮሰሰር ሃርድዌር ሲስተም ዲዛይን ከኳርተስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር ጋር
726952 | 2025.07.16
ምስል 25. ማመቻቸት Exampሌስ
የቀድሞampበሥዕሉ ላይ የሚታየው የሚከተሉትን ደረጃዎች ያሳያል ።
1. በማስቀመጥ ወሳኝ መንገዶችን ለማቃለል የቧንቧ መስመር ድልድይ ይጨምራል፡ ሀ. በመመሪያው አስተዳዳሪ እና በተወካዮቹ መካከል ለ. በመረጃ አስተዳዳሪው እና በወኪሎቹ መካከል
2. እውነተኛ ባለሁለት ወደብ ኦን-ቺፕ ራም ያመልክቱ፣ እያንዳንዱ ወደብ ለመመሪያው አስተዳዳሪ እና ለዳታ አስተዳዳሪው እንደቅደም ተከተላቸው።
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 40
ግብረ መልስ ላክ
2. ኒዮስ ቪ ፕሮሰሰር የሃርድዌር ሲስተም ዲዛይን ከኳርትስ ፕራይም ሶፍትዌር እና መድረክ ዲዛይነር 726952 | 2025.07.16
ያሉትን መሳሪያዎች ጥቅም ላይ ለማዋል እና የእያንዳንዱን ትግበራ ግብይት ቴክኒኮችን የሚያቀርቡትን የሚከተሉትን ተዛማጅ ማገናኛዎች ይመልከቱ።
ተዛማጅ መረጃ · Quartus® Prime Pro እትም የተጠቃሚ መመሪያ፡ መድረክ ዲዛይነር
ለበለጠ መረጃ የፕላትፎርም ዲዛይነር ስርዓት አፈጻጸምን ማሻሻል የሚለውን ርዕስ ተመልከት። · Quartus® Prime Standard Edition የተጠቃሚ መመሪያ፡የፕላትፎርም ዲዛይነር ለበለጠ መረጃ የመድረክ ዲዛይነር ስርዓት አፈጻጸምን ማሻሻል የሚለውን ርዕስ ተመልከት።
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 41
726952 | 2025.07.16 ግብረ መልስ ላክ
3. ኒዮስ ቪ ፕሮሰሰር ሶፍትዌር ስርዓት ንድፍ
ይህ ምዕራፍ የኒዮስ ቪ ፕሮሰሰር ሶፍትዌር ልማት ፍሰት እና የእርስዎን የተከተተ የንድፍ ስርዓት ለማዳበር ሊጠቀሙባቸው የሚችሏቸውን የሶፍትዌር መሳሪያዎችን ይገልጻል። ይዘቱ እንደ ማለፊያ ሆኖ ያገለግላልview የኒዮስ ቪ ፕሮሰሰር ሶፍትዌር ስርዓት ከመዘርጋቱ በፊት።
ምስል 26. የሶፍትዌር ዲዛይን ፍሰት
ጀምር
BSP አርታዒን በመጠቀም በፕላትፎርም ዲዛይነር ውስጥ ይፍጠሩ
የኒዮስ ቪ ትዕዛዝ ሼልን በመጠቀም BSP ይፍጠሩ
የ CMake ግንባታን ይፍጠሩ File የኒዮስ ቪ ትዕዛዝ ሼልን በመጠቀም
ማስታወሻ፡-
BSP እና Application CMake Buildን ያስመጡ File
የኒዮስ ቪ ፕሮሰሰር መተግበሪያን በመጠቀም ይገንቡ
RiscFree አይዲኢ ለኢንቴል FPGA
ማንኛውንም በመጠቀም የኒዮስ ቪ ፕሮሰሰር መተግበሪያን ይገንቡ
የትዕዛዝ-መስመር ምንጭ ኮድ አርታዒ, CMake እና Make
ያዛል
መጨረሻ
Altera ለሶፍትዌር ልማት እና ማረም የ Altera FPGA ማጎልበቻ ኪት ወይም ብጁ ፕሮቶታይፕ ቦርድ እንድትጠቀም ይመክራል። ብዙ ተጓዳኝ እና የስርዓተ-ደረጃ ባህሪያት የሚገኙት ሶፍትዌርዎ በትክክለኛው ሰሌዳ ላይ ሲሰራ ብቻ ነው።
© Altera ኮርፖሬሽን. Altera፣ Altera አርማ፣ የ‹a› አርማ እና ሌሎች Altera ምልክቶች የአልቴራ ኮርፖሬሽን የንግድ ምልክቶች ናቸው። Altera በማንኛውም ጊዜ ያለምንም ማስታወቂያ በማናቸውም ምርቶች እና አገልግሎቶች ላይ ለውጦችን የማድረግ መብቱ የተጠበቀ ነው። Altera በማመልከቻው ወይም በማናቸውም መረጃ፣ ምርት ወይም አገልግሎት በአልቴራ በጽሁፍ ከተስማማ በስተቀር ምንም አይነት ሃላፊነት ወይም ተጠያቂነት አይወስድም። የAltera ደንበኞች በማናቸውም የታተመ መረጃ ላይ ከመታመንዎ በፊት እና ለምርቶች ወይም አገልግሎቶች ትእዛዝ ከማስቀመጥዎ በፊት የቅርብ ጊዜውን የመሳሪያ ዝርዝር መግለጫዎችን እንዲያገኙ ይመከራሉ። *ሌሎች ስሞች እና የንግድ ምልክቶች እንደሌሎች ንብረት ሊጠየቁ ይችላሉ።
3. ኒዮስ ቪ ፕሮሰሰር ሶፍትዌር ስርዓት ንድፍ 726952 | 2025.07.16
3.1. የኒዮስ ቪ ፕሮሰሰር ሶፍትዌር ልማት ፍሰት
3.1.1. የቦርድ ድጋፍ ጥቅል ፕሮጀክት
የኒዮስ ቪ ቦርድ ድጋፍ ጥቅል (ቢኤስፒ) ፕሮጀክት በስርዓተ-ተኮር የድጋፍ ኮድ የያዘ ልዩ ቤተ-መጽሐፍት ነው። BSP በNios V ፕሮሰሰር ሃርድዌር ሲስተም ውስጥ ለአንድ ፕሮሰሰር የተበጀ የሶፍትዌር አሂድ ጊዜ አካባቢን ይሰጣል።
የኳርትስ ፕራይም ሶፍትዌር የ BSPን ባህሪ የሚቆጣጠሩ ቅንብሮችን ለመቀየር የኒዮስ ቪ ቦርድ ድጋፍ ጥቅል አርታዒ እና የ niosv-bsp መገልገያ መሳሪያዎችን ያቀርባል።
BSP የሚከተሉትን ንጥረ ነገሮች ይዟል፡- · የሃርድዌር አብstraction ንብርብር · የመሣሪያ ነጂዎች · አማራጭ የሶፍትዌር ፓኬጆች · አማራጭ የእውነተኛ ጊዜ ኦፕሬቲንግ ሲስተም
3.1.2. የመተግበሪያ ፕሮጀክት
የኒዮስ ቪሲ/ሲ++ አፕሊኬሽን ፕሮጀክት የሚከተሉት ባህሪያት አሉት፡ · የምንጭ ኮድ ስብስብ እና CMakeLists.txt ያካትታል።
— CMakeLists.txt የምንጭ ኮድ ያጠናቅራል እና ከ BSP እና ከአንድ ወይም ከዛ በላይ አማራጭ ቤተ-መጻሕፍት ጋር ያገናኘዋል፣ አንድ .elf ለመፍጠር። file
· ከምንጩ አንዱ files የተግባር ዋና () ይዟል. · በቤተመጻሕፍት እና በቢኤስፒዎች ውስጥ ተግባራትን የሚጠራ ኮድ ያካትታል።
Altera መተግበሪያ CMakeLists.txt እና RiscFree IDE ለ Altera FPGAs ለመፍጠር በ Eclipse ላይ በተመሰረተ አካባቢ ውስጥ የምንጭ ኮድን ለማሻሻል በ Quartus Prime ሶፍትዌር መገልገያ መሳሪያዎች ውስጥ niosv-app utility መሳሪያን ያቀርባል።
3.2. Altera FPGA የተከተተ ልማት መሳሪያዎች
የኒዮስ ቪ ፕሮሰሰር ለሶፍትዌር ልማት የሚከተሉትን መሳሪያዎች ይደግፋል፡ · ስዕላዊ የተጠቃሚ በይነገጽ (GUI) - በ ውስጥ የሚገኙት ግራፊክ ማጎልበቻ መሳሪያዎች
ሁለቱም ዊንዶውስ * እና ሊኑክስ * ኦፕሬቲንግ ሲስተም (OS)። — የኒዮስ ቪ ቦርድ ድጋፍ ጥቅል አርታዒ (ኒዮስ ቪ ቢኤስፒ አርታዒ) - አሽሊንግ ሪስፍሪ አይዲኢ ለ Altera FPGAs · የትዕዛዝ መስመር መሳሪያዎች (CLI) - ከኒዮስ ቪ ትዕዛዝ ሼል የተጀመሩ የልማት መሳሪያዎች። እያንዳንዱ መሳሪያ ከትዕዛዝ መስመሩ ሊደረስበት በሚችል የእርዳታ መልክ የራሱን ሰነዶች ያቀርባል. የኒዮስ ቪ ትዕዛዝ ሼልን ይክፈቱ እና የሚከተለውን ትዕዛዝ ይተይቡ: - እገዛ view የእገዛ ምናሌ. - ኒዮስ ቪ መገልገያ መሳሪያዎች - File የመቀየሪያ መሳሪያዎች ቅርጸት - ሌሎች መገልገያዎች
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 43
3. ኒዮስ ቪ ፕሮሰሰር ሶፍትዌር ስርዓት ንድፍ 726952 | 2025.07.16
ሠንጠረዥ 25. GUI መሳሪያዎች እና የትዕዛዝ-መስመር መሳሪያዎች ተግባራት ማጠቃለያ
ተግባር
GUI መሣሪያ
የትእዛዝ መስመር መሣሪያ
BSP መፍጠር
ኒዮስ ቪ ቢኤስፒ አርታዒ
· በ Quartus Prime Pro እትም ሶፍትዌር፡ niosv-bsp -c -s=<.qsys file> -t= [አማራጮች] ቅንብሮች.bsp
· በ Quartus Prime Standard Edition ሶፍትዌር፡ niosv-bsp -c -s=<.sopcinfo file> -t= [አማራጮች] ቅንብሮች.bsp
ነባሩን .bsp በመጠቀም BSP መፍጠር file
BSP በማዘመን ላይ
የኒዮስ ቪ ቢኤስፒ አርታዒ ኒዮስ ቪ ቢኤስፒ አርታኢ
niosv-bsp -g [አማራጮች] settings.bsp niosv-bsp -u [አማራጮች] settings.bsp
BSP በመመርመር ላይ
ኒዮስ ቪ ቢኤስፒ አርታዒ
niosv-bsp -q -E= [አማራጮች] ቅንብሮች.bsp
መተግበሪያ መፍጠር
–
niosv-app -a= -b= -s= fileማውጫ> [OPTIONS]
የተጠቃሚ ቤተ-መጽሐፍት መፍጠር
–
niosv-app -l= -s= files ማውጫ> -p= [አማራጮች]
አፕሊኬሽኑን ማሻሻል የተጠቃሚ ቤተ መፃህፍትን ማሻሻል መተግበሪያ መገንባት
RiscFree IDE ለ Altera FPGAs
RiscFree IDE ለ Altera FPGAs
RiscFree IDE ለ Altera FPGAs
ማንኛውም የትዕዛዝ-መስመር ምንጭ አርታዒ
ማንኛውም የትዕዛዝ-መስመር ምንጭ አርታዒ
· ማድረግ · cmake
የተጠቃሚ ቤተ-መጽሐፍት መገንባት
RiscFree IDE ለ Altera FPGAs
· ማድረግ · cmake
መተግበሪያን በማውረድ ላይ ELF
.እልፍን መለወጥ file
RiscFree IDE ለ Altera FPGAs
–
niosv-ማውረድ
· elf2flash · elf2hex
ተዛማጅ መረጃ
አሽሊንግ ሪስክ ነፃ የተቀናጀ ልማት አካባቢ (IDE) ለአልትራ ኤፍፒጂኤዎች የተጠቃሚ መመሪያ
3.2.1. የኒዮስ ቪ ፕሮሰሰር ቦርድ ድጋፍ ጥቅል አርታዒ
የሚከተሉትን ተግባራት ለማከናወን የኒዮስ ቪ ፕሮሰሰር ቢኤስፒ አርታዒን መጠቀም ይችላሉ፡- · የኒዮስ ቪ ፕሮሰሰር BSP ፕሮጄክት ይፍጠሩ ወይም ያሻሽሉ · ቅንብሮችን ፣ አገናኝ ክልሎችን እና የክፍል ካርታዎችን ያርትዑ · የሶፍትዌር ፓኬጆችን እና የመሳሪያ ነጂዎችን ይምረጡ።
የBSP አርታዒ ችሎታዎች የ niosv-bsp መገልገያዎችን አቅም ያካትታሉ። በBSP አርታኢ ውስጥ የተፈጠረ ማንኛውም ፕሮጀክት የትእዛዝ-መስመር መገልገያዎችን በመጠቀም ሊፈጠር ይችላል።
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 44
ግብረ መልስ ላክ
3. ኒዮስ ቪ ፕሮሰሰር ሶፍትዌር ስርዓት ንድፍ 726952 | 2025.07.16
ማስታወሻ፡-
ለ Quartus Prime Standard Edition ሶፍትዌር፣ የ BSP አርታዒ GUIን ለመጥራት AN 980፡ Nios V Processor Quartus Prime Software ድጋፍን ይመልከቱ።
የቢኤስፒ አርታዒን ለማስጀመር የሚከተሉትን ደረጃዎች ይከተሉ፡- 1. የፕላትፎርም ዲዛይነርን ይክፈቱ እና ወደ File ምናሌ.
ሀ. ያለውን የBSP ቅንብር ለመክፈት file፣ ክፈትን ጠቅ ያድርጉ… b. አዲስ BSP ለመፍጠር፣ አዲስ BSP ን ጠቅ ያድርጉ… 2. የBSP አርታዒ ትሩን ይምረጡ እና ተገቢውን ዝርዝር ያቅርቡ።
ምስል 27. BSP አርታዒን አስጀምር
ተዛማጅ መረጃ AN 980፡ Nios V Processor Quartus Prime Software ድጋፍ
3.2.2. RiscFree IDE ለ Altera FPGAs
RiscFree IDE ለ Altera FPGAs ለኒዮስ ቪ ፕሮሰሰር በግርዶሽ ላይ የተመሰረተ IDE ነው። Altera በዚህ አይዲኢ ውስጥ የኒዮስ ቪ ፕሮሰሰር ሶፍትዌር እንዲያዘጋጁ ይመክራል በሚከተሉት ምክንያቶች፡- ባህሪያቱ ተዘጋጅተው ከኒዮስ ቪ ጋር ተኳሃኝ ሆነው ተረጋግጠዋል።
የአቀነባባሪ ግንባታ ፍሰት. · እርስዎን በሚያስችል ሁሉም አስፈላጊ የመሳሪያ ሰንሰለት እና ደጋፊ መሳሪያዎች የታጠቁ
የኒዮስ ቪ ፕሮሰሰር ልማትን በቀላሉ ለመጀመር።
ተዛማጅ መረጃ Ashling RiscFree የተቀናጀ ልማት አካባቢ (IDE) ለ Altera FPGAs የተጠቃሚ መመሪያ
3.2.3. የኒዮስ ቪ መገልገያ መሳሪያዎች
በትእዛዝ መስመር የተተየቡ ወይም በስክሪፕት ውስጥ የተካተቱ የኒዮስ ቪ ፕሮግራሞችን መፍጠር፣ ማሻሻል እና መገንባት ይችላሉ። በዚህ ክፍል ውስጥ የተገለጹት የኒዮስ ቪ የትእዛዝ መስመር መሳሪያዎች በ /niosv/ቢን ማውጫ።
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 45
3. ኒዮስ ቪ ፕሮሰሰር ሶፍትዌር ስርዓት ንድፍ 726952 | 2025.07.16
ሠንጠረዥ 26. ኒዮስ ቪ መገልገያ መሳሪያዎች
የትእዛዝ-መስመር መሳሪያዎች
ማጠቃለያ
niosv-app niosv-bsp niosv-አውርድ niosv-shell niosv-ቁልል-ሪፖርት
የመተግበሪያ ፕሮጀክት ለማመንጨት እና ለማዋቀር።
የBSP ቅንብሮችን ለመፍጠር ወይም ለማዘመን file እና BSP ይፍጠሩ fileኤስ. ELFን ለማውረድ file ወደ Nios® V ፕሮሰሰር።
የኒዮስ ቪ ትዕዛዝ ሼልን ለመክፈት። ለመተግበሪያዎ .elf ለክምችት ወይም ለክምር አጠቃቀም ያለውን የግራ-ማህደረ ትውስታ ቦታ ለእርስዎ ለማሳወቅ።
3.2.4. File የመቀየሪያ መሳሪያዎች ቅርጸት
File መረጃን ከአንድ መገልገያ ወደ ሌላ ሲያስተላልፍ የቅርጸት ልወጣ አንዳንድ ጊዜ አስፈላጊ ነው። የ file የቅርጸት መለወጫ መሳሪያዎች በ ውስጥ ናቸው
የሶፍትዌር መጫኛ ማውጫ>/niosv/bin directory.
ሠንጠረዥ 27. File የመቀየሪያ መሳሪያዎች ቅርጸት
የትእዛዝ መስመር መሳሪያዎች elf2flash elf2hex
ማጠቃለያ .elfን ለመተርጎም file ወደ .srec ቅርጸት ለፍላሽ ማህደረ ትውስታ ፕሮግራም. .እልፍን ለመተርጎም file ለማህደረ ትውስታ ጅምር ወደ ሄክስ ቅርጸት።
3.2.5. ሌሎች የመገልገያ መሳሪያዎች
ኒዮስ ቪ ፕሮሰሰርን መሰረት ያደረገ ስርዓት ሲገነቡ የሚከተሉትን የትዕዛዝ መስመር መሳሪያዎች ሊፈልጉ ይችላሉ። እነዚህ የትዕዛዝ-መስመር መሳሪያዎች በኢንቴል የተሰጡ ናቸው። /quartus/bin ወይም ከ የተገኘ
ክፍት ምንጭ መሳሪያዎች.
ሠንጠረዥ 28. ሌሎች የትእዛዝ መስመር መሳሪያዎች
የትእዛዝ-መስመር መሳሪያዎች
ዓይነት
ማጠቃለያ
juart-ተርሚናል
ኢንቴል የቀረበ
stdout እና stderr ለመከታተል እና ለNios® V ፕሮሰሰር ግብዓት ለማቅረብ
stdin በኩል subsystem. ይህ መሳሪያ ለጄTAG UART IP ከ Nios® V ፕሮሰሰር ጋር ሲገናኝ።
openocd
ኢንቴል-የቀረበው OpenOCDን ለማስፈጸም።
openocd-cfg-gen
በIntel የቀረበ · የOpenOCD ውቅር ለመፍጠር file. · ጄ ለማሳየትTAG የሰንሰለት መሳሪያ መረጃ ጠቋሚ.
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 46
ግብረ መልስ ላክ
726952 | 2025.07.16 ግብረ መልስ ላክ
4. የኒዮስ ቪ ፕሮሰሰር ውቅር እና የማስነሳት መፍትሄዎች
ከተለያዩ የማህደረ ትውስታ ቦታዎች ሶፍትዌሮችን እንዲነሳ እና እንዲሰራ የኒዮስ ቪ ፕሮሰሰርን ማዋቀር ይችላሉ። የማስነሻ ማህደረ ትውስታ ባለአራት ተከታታይ ፔሪፈራል በይነገጽ (QSPI) ፍላሽ፣ ኦን-ቺፕ ማህደረ ትውስታ (OCRAM) ወይም በጥብቅ የተጣመረ ማህደረ ትውስታ (TCM) ነው።
ተዛማጅ መረጃ · የኃይል አነሳስ ሁኔታዎች በገጽ 193 · የኃይል አነሳስ ቀስቅሴዎች
ስለ ሃይል መጨመር ቀስቅሴዎች የበለጠ መረጃ ለማግኘት።
4.1. መግቢያ
የኒዮስ ቪ ፕሮሰሰር ሁለት አይነት የማስነሻ ሂደቶችን ይደግፋል፡- · Execute-in-Place (XIP) alt_load() ተግባርን በመጠቀም · ቡት ኮፒን በመጠቀም ወደ RAM የተቀዳ ፕሮግራም። የኒዮስ ቪ የተከተቱ ፕሮግራሞች ልማት በሃርድዌር abstraction Layer (HAL) ላይ የተመሰረተ ነው። ኤችኤል አግባብነት ያላቸውን ማገናኛ ክፍሎችን ከቡት ማህደረ ትውስታ ወደ የሩጫ ጊዜ ቦታቸው በሚነሳበት ጊዜ የሚገለብጥ ትንሽ የቡት ጫኝ ፕሮግራም (እንዲሁም ቡት ኮፒየር በመባልም ይታወቃል) ያቀርባል። የቦርድ ድጋፍ ፓኬጅ (BSP) አርታኢ ቅንጅቶችን በማቀናበር ፕሮግራሙን እና የመረጃ ማህደረ ትውስታን የሚሄዱበትን ጊዜ መግለጽ ይችላሉ። ይህ ክፍል የሚከተለውን ይገልጻል፡- · የኒዮስ ቪ ፕሮሰሰር ሲስተሙን በዚህ መሰረት የሚጀምር የኒዮስ ቪ ፕሮሰሰር ማስነሻ ኮፒ
የቡት ሜሞሪ ምርጫ · የኒዮስ ቪ ፕሮሰሰር ማስነሻ አማራጮች እና አጠቃላይ ፍሰት · Nios V ፕሮግራሚንግ መፍትሄዎች ለተመረጠው የማስነሻ ማህደረ ትውስታ
4.2. መተግበሪያዎችን ማገናኘት።
የኒዮስ ቪ ፕሮሰሰር ፕሮጄክትን ሲያመነጩ፣ የቢኤስፒ አርታዒው ሁለት ተያያዥነት ያላቸውን ማገናኛ ያመነጫል። files: · linker.x: የአገናኝ ትእዛዝ file የመነጨው መተግበሪያ ያደረገውfile ይጠቀማል
የ.elf binary ለመፍጠር file. · linker.h: ስለ ማገናኛ ማህደረ ትውስታ አቀማመጥ መረጃ ይዟል. በBSP ፕሮጀክት ላይ የሚያደርጓቸው ሁሉም የአገናኝ ቅንብር ማሻሻያዎች የእነዚህን ሁለት አገናኝ ይዘቶች ላይ ተጽዕኖ ያሳድራሉ። fileኤስ. እያንዳንዱ የኒዮስ ቪ ፕሮሰሰር መተግበሪያ የሚከተሉትን የአገናኝ ክፍሎችን ይይዛል።
© Altera ኮርፖሬሽን. Altera፣ Altera አርማ፣ የ‹a› አርማ እና ሌሎች Altera ምልክቶች የአልቴራ ኮርፖሬሽን የንግድ ምልክቶች ናቸው። Altera በማንኛውም ጊዜ ያለምንም ማስታወቂያ በማናቸውም ምርቶች እና አገልግሎቶች ላይ ለውጦችን የማድረግ መብቱ የተጠበቀ ነው። Altera በማመልከቻው ወይም በማናቸውም መረጃ፣ ምርት ወይም አገልግሎት በአልቴራ በጽሁፍ ከተስማማ በስተቀር ምንም አይነት ሃላፊነት ወይም ተጠያቂነት አይወስድም። የAltera ደንበኞች በማናቸውም የታተመ መረጃ ላይ ከመታመንዎ በፊት እና ለምርቶች ወይም አገልግሎቶች ትእዛዝ ከማስቀመጥዎ በፊት የቅርብ ጊዜውን የመሳሪያ ዝርዝር መግለጫዎችን እንዲያገኙ ይመከራሉ። *ሌሎች ስሞች እና የንግድ ምልክቶች እንደሌሎች ንብረት ሊጠየቁ ይችላሉ።
4. Nios V Processor Configuration እና Booting Solutions 726952 | 2025.07.16
ሠንጠረዥ 29. የሊንከር ክፍሎች
.ጽሑፍ
አገናኝ ክፍሎች
.ሮዳታ
.rwdata
.ቢኤስ
.ክምር
.መቆለል
መግለጫዎች የሚፈጸም ኮድ። በፕሮግራሙ አፈፃፀም ውስጥ ጥቅም ላይ የዋለ ማንኛውም ተነባቢ-ብቻ ውሂብ። በፕሮግራሙ አፈጻጸም ውስጥ ጥቅም ላይ የዋለ የተነበበ-ጽሑፍ ውሂብ ያከማቻል. ያልታወቀ የማይንቀሳቀስ ውሂብ ይዟል። በተለዋዋጭ የተመደበ ማህደረ ትውስታ ይዟል። የተግባር-ጥሪ መለኪያዎችን እና ሌሎች ጊዜያዊ መረጃዎችን ያከማቻል።
ተጨማሪ አገናኝ ክፍሎችን ወደ .elf ማከል ይችላሉ file ብጁ ኮድ እና ውሂብ ለመያዝ. እነዚህ የአገናኝ ክፍሎች ከአካላዊ ማህደረ ትውስታ መሳሪያዎች እና አድራሻዎች ጋር ተዛምደው የተገለጹት በተሰየሙ የማህደረ ትውስታ ክልሎች ውስጥ ተቀምጠዋል። በነባሪ፣ የቢኤስፒ አርታዒ እነዚህን ማገናኛ ክፍሎች በራስ ሰር ያመነጫል። ነገር ግን፣ ለአንድ የተወሰነ መተግበሪያ የአገናኝ ክፍሎችን መቆጣጠር ይችላሉ።
4.2.1. የማገናኘት ባህሪ
ይህ ክፍል የBSP አርታዒ ነባሪ የማገናኘት ባህሪን እና የአገናኝ ባህሪን እንዴት መቆጣጠር እንደሚቻል ይገልጻል።
4.2.1.1. ነባሪ BSP ማገናኘት።
በBSP ውቅር ወቅት መሳሪያዎቹ የሚከተሉትን ደረጃዎች በራስ ሰር ያከናውናሉ፡
1. የማህደረ ትውስታ ክልል ስሞችን መድብ፡ ለእያንዳንዱ የስርዓት ማህደረ ትውስታ መሳሪያ ስም መድቡ እና እያንዳንዱን ስም ወደ ማገናኛው ያክሉ file እንደ ማህደረ ትውስታ ክልል.
2. ትልቁን ማህደረ ትውስታን ያግኙ፡ በአገናኝ መንገዱ ውስጥ ትልቁን የተነበበ እና ፃፍ የማህደረ ትውስታ ክልልን ይለዩ file.
3. የአገናኝ ክፍሎችን መድብ፡ በቀደመው ደረጃ በተገለፀው የማስታወሻ ክልል ውስጥ ነባሪውን የአገናኝ ክፍሎችን (.text, .rodata, .rwdata, .bss, .heap እና .stack) ያስቀምጡ.
4. ጻፍ files: linker.x እና linker.h ይፃፉ files.
በተለምዶ የማገናኛ ክፍል ምደባ እቅድ በሶፍትዌር ልማት ሂደት ውስጥ ይሰራል ምክንያቱም ማህደረ ትውስታው በቂ ከሆነ አፕሊኬሽኑ እንደሚሰራ ዋስትና ተሰጥቶታል።
የነባሪ የማገናኘት ባህሪ ደንቦች በ Altera-generated Tcl ስክሪፕቶች bsp-set-defaults.tcl እና bsp-linker-utils.tcl ውስጥ ይገኛሉ። /niosv/scripts/bsp-defaults ማውጫ። የ niosv-bsp ትዕዛዝ እነዚህን ስክሪፕቶች ይጠራል። እነዚህን ስክሪፕቶች በቀጥታ አያሻሽሉ.
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 48
ግብረ መልስ ላክ
4. Nios V Processor Configuration እና Booting Solutions 726952 | 2025.07.16
4.2.1.2. ሊዋቀር የሚችል BSP ማገናኘት።
በBSP አርታኢ በሊንከር ስክሪፕት ትር ውስጥ ነባሪውን የማገናኘት ባህሪን ማስተዳደር ይችላሉ። የሚከተሉትን ዘዴዎች በመጠቀም የአገናኝ ስክሪፕቱን ያካሂዱ፡ · የማህደረ ትውስታ ክልል ይጨምሩ፡ የማህደረ ትውስታ ክልል ስምን ወደ አካላዊ ማህደረ ትውስታ መሳሪያ ያዘጋጃል። · የክፍል ካርታ አክል፡ የአንድን ክፍል ስም ወደ ማህደረ ትውስታ ክልል ያዘጋጃል። ቢኤስፒ
አርታኢ ይፈቅድልዎታል። view የማስታወሻ ካርታው ለውጦችን ከማድረግ በፊት እና በኋላ.
4.3. ኒዮስ ቪ ፕሮሰሰር የማስነሻ ዘዴዎች
በ Altera FPGA መሳሪያዎች ውስጥ የኒዮስ ቪ ፕሮሰሰርን ለማስነሳት ጥቂት ዘዴዎች አሉ። የኒዮስ ቪ ፕሮሰሰርን የማስነሳት ዘዴዎች እንደ ፍላሽ ማህደረ ትውስታ ምርጫ እና እንደ መሳሪያ ቤተሰቦች ይለያያሉ።
ሠንጠረዥ 30. የሚደገፉ ፍላሽ ትውስታዎች ከሚመለከታቸው የማስነሻ አማራጮች ጋር
የሚደገፉ የማስነሻ ትውስታዎች
መሳሪያ
ኦን-ቺፕ ፍላሽ (ለውስጣዊ ውቅር)
ከፍተኛው 10 መሳሪያዎች ብቻ (በቺፕ ፍላሽ አይ ፒ)
አጠቃላይ ዓላማ QSPI ፍላሽ (ለተጠቃሚ ውሂብ ብቻ)
ሁሉም የሚደገፉ የFPGA መሳሪያዎች (ከአጠቃላይ ሲሪያል ፍላሽ በይነገጽ FPGA IP ጋር)
የQSPI ፍላሽ ውቅር (ለገቢር ተከታታይ ውቅር)
በብሎክ ላይ የተመሰረተ ቁጥጥር
መሳሪያዎች (ከአጠቃላይ ጋር
ተከታታይ ፍላሽ በይነገጽ ኢንቴል FPGA IP)(2)
ኒዮስ ቪ ፕሮሰሰር የማስነሻ ዘዴዎች
የመተግበሪያው ጊዜ የሚቆይበት ቦታ
ቡት መቅጃ
የኒዮስ ቪ ፕሮሰሰር አፕሊኬሽን ከኦን-ቺፕ ፍላሽ በቦታ ተፈፅሟል
ኦን-ቺፕ ፍላሽ (XIP) + OCRAM/ ውጫዊ ራም (ለሚፃፍ የውሂብ ክፍሎች)
alt_load() ተግባር
የኒዮስ ቪ ፕሮሰሰር አፕሊኬሽን ከኦን-ቺፕ ፍላሽ ወደ ራም የተቀዳ ቡት ኮፒን በመጠቀም
OCRAM / ውጫዊ ራም
Bootloader በ GSFI በኩል እንደገና መጠቀም
የኒዮስ ቪ ፕሮሰሰር መተግበሪያ ከአጠቃላይ ዓላማ QSPI ፍላሽ በቦታ ላይ ተፈፃሚ ይሆናል።
አጠቃላይ ዓላማ QSPI ፍላሽ (XIP) + OCRAM/ ውጫዊ ራም (ለሚጻፍ የውሂብ ክፍሎች)
alt_load() ተግባር
የኒዮስ ቪ ፕሮሰሰር አፕሊኬሽን ከአጠቃላይ አላማ ከ QSPI ፍላሽ ወደ ራም የተቀዳ ቡት ኮፒን በመጠቀም
OCRAM / ውጫዊ ራም
ቡት ጫኚ በ GSFI በኩል
የኒዮስ ቪ ፕሮሰሰር መተግበሪያ ከውቅር QSPI ፍላሽ በቦታ ላይ ተፈፀመ
ውቅር QSPI ፍላሽ (XIP) + OCRAM/ ውጫዊ ራም (ለሚጻፍ የውሂብ ክፍሎች)
alt_load() ተግባር
የኒዮስ ቪ ፕሮሰሰር መተግበሪያ የማስነሻ ኮፒን በመጠቀም ከውቅር QSPI ፍላሽ ወደ ራም ተገልብጧል
OCRAM/ ውጫዊ ራም ማስነሻ በ GSFI በኩል ቀጥሏል…
(2) ወደ AN 980፡ Nios V Processor Quartus Prime የሶፍትዌር ድጋፍ ለመሳሪያ ዝርዝር።
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 49
4. Nios V Processor Configuration እና Booting Solutions 726952 | 2025.07.16
የሚደገፉ የማስነሻ ትውስታዎች
ኦን-ቺፕ ማህደረ ትውስታ (OCRAM) በጥብቅ የተጣመረ ማህደረ ትውስታ (TCM)
መሳሪያ
በኤስዲኤም ላይ የተመሰረቱ መሳሪያዎች (ከመልእክት ሳጥን ደንበኛ ኢንቴል FPGA IP ጋር)። (2)
ሁሉም የሚደገፉ Altera FPGA መሳሪያዎች (2)
ሁሉም የሚደገፉ Altera FPGA መሳሪያዎች(2)
ኒዮስ ቪ ፕሮሰሰር የማስነሻ ዘዴዎች
የኒዮስ ቪ ፕሮሰሰር መተግበሪያ የማስነሻ ኮፒን በመጠቀም ከውቅር QSPI ፍላሽ ወደ ራም ተገልብጧል
የኒዮስ ቪ ፕሮሰሰር አፕሊኬሽን ከ OCRAM በቦታ ተፈፅሟል
የኒዮስ ቪ ፕሮሰሰር አፕሊኬሽን በቦታ ላይ ከቲ.ሲ.ኤም
የመተግበሪያው ጊዜ የሚቆይበት ቦታ
ቡት መቅጃ
OCRAM/ ውጫዊ ራም ጫኝ በኤስዲኤም በኩል
OCRAM
alt_load() ተግባር
መመሪያ TCM (XIP) የለም + ውሂብ TCM (ለሚጻፍ የውሂብ ክፍሎች)
ምስል 28. Nios V Processor Boot Flow
ዳግም አስጀምር
ቬክተርን ዳግም ለማስጀመር ፕሮሰሰር ይዝላል (የቡት ኮድ ጅምር)
የመተግበሪያ ኮድ ወደ ሌላ ማህደረ ትውስታ ቦታ ሊገለበጥ ይችላል (እንደ ማስነሻ አማራጮች ላይ በመመስረት)
የማስነሻ ኮድ ፕሮሰሰሩን ያስጀምራል።
በቡት አማራጮች ላይ በመመስረት የማስነሻ ኮዱ ለውሂብ/ኮድ የመጀመሪያ እሴቶችን ወደ ሌላ ማህደረ ትውስታ ቦታ (alt_load) ሊቀዳ ይችላል።
የማስነሻ ኮድ የመተግበሪያውን ኮድ እና የውሂብ ማህደረ ትውስታ ቦታ ያስጀምራል።
የማስነሻ ኮድ ሁሉንም የስርዓት ክፍሎች በ HAL ሾፌሮች (alt_main) ያስጀምራል።
ወደ ዋናው መግቢያ
ተዛማጅ መረጃ · አጠቃላይ ሲሪያል ፍላሽ በይነገጽ Altera FPGA IP የተጠቃሚ መመሪያ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 50
ግብረ መልስ ላክ
4. Nios V Processor Configuration እና Booting Solutions 726952 | 2025.07.16
· የመልእክት ሳጥን ደንበኛ Altera FPGA IP የተጠቃሚ መመሪያ · AN 980: Nios V Processor Quartus Prime ሶፍትዌር ድጋፍ
4.4. የኒዮስ ቪ ፕሮሰሰር የማስነሻ ዘዴዎች መግቢያ
የኒዮስ ቪ ፕሮሰሰር ሲስተሞች ፕሮሰሰሩ የመተግበሪያውን ፕሮግራም መተግበር ከመጀመሩ በፊት የሶፍትዌር ምስሎችን በስርዓት ማህደረ ትውስታ ውስጥ እንዲዋቀሩ ይጠይቃሉ። ለነባሪ የአገናኝ ክፍሎች ወደ Linker ክፍሎች ይመልከቱ።
የቢኤስፒ አርታኢ የሚከተሉትን ተግባራት የሚያከናውን የአገናኝ ስክሪፕት ያመነጫል፡ · ፕሮሰሰር ሶፍትዌሩ በአገናኝ ቅንጅቶቹ መሰረት መገናኘቱን ያረጋግጣል።
የ BSP አርታዒ እና ሶፍትዌሩ በማህደረ ትውስታ ውስጥ የት እንደሚኖር ይወስናል. · የአቀነባባሪውን ኮድ ክልል በማህደረ ትውስታ ክፍል ውስጥ በ
የተመደቡ የማህደረ ትውስታ ክፍሎች.
የሚከተለው ክፍል ያሉትን የኒዮስ ቪ ፕሮሰሰር ማስነሻ ዘዴዎችን በአጭሩ ይገልጻል።
4.4.1. የኒዮስ ቪ ፕሮሰሰር አፕሊኬሽን ከቡት ፍላሽ አስፈፃሚ
Altera የፍላሽ መቆጣጠሪያዎችን የነደፈው የቡት ፍላሽ አድራሻ ቦታ ወዲያውኑ ወደ ኒዮስ ቪ ፕሮሰሰር ሲስተም ዳግም ማስጀመር ሲሆን የማስታወሻ መቆጣጠሪያውን ወይም የማህደረ ትውስታ መሳሪያዎችን ማስጀመር ሳያስፈልገው ነው። ይህ የኒዮስ ቪ ፕሮሰሰር ኮዱን ወደ ሌላ ማህደረ ትውስታ አይነት ለመቅዳት ቡት ኮፒውን ሳይጠቀም በቡት መሳሪያዎች ላይ የተከማቸውን የመተግበሪያ ኮድ በቀጥታ እንዲሰራ ያስችለዋል። የፍላሽ ተቆጣጣሪዎቹ፡- ኦን-ቺፕ ፍላሽ በቺፕ ፍላሽ አይ ፒ (በMAX® 10 መሣሪያ ብቻ) · አጠቃላይ ዓላማ QSPI flash with Generic Serial Flash Interface IP · Configuration QSPI flash with Generic Serial Flash Interface IP (ከMAX 10 በስተቀር)
መሳሪያዎች)
የኒዮስ ቪ ፕሮሰሰር አፕሊኬሽን ከቡት ፍላሽ ሲሰራ የቢኤስፒ አርታኢ የሚከተሉትን ተግባራት ያከናውናል፡- · የፅሁፍ አገናኝ ክፍሎችን ወደ ቡት ፍላሽ ሚሞሪ ክልል ያዘጋጃል። · .bss፣.rodata፣ .rwdata፣ .stack እና .heap linker ክፍሎችን ወደ RAM ያዘጋጃል
የማስታወሻ ክልል. የውሂብ ክፍሎችን (.rodata, .rwdata,,.ልዩነቶችን) በስርዓት ዳግም ማስጀመር ላይ ወደ RAM ለመቅዳት የ alt_load() ተግባርን በBSP መቼቶች ውስጥ ማንቃት አለቦት። የኮድ ክፍል (.ጽሑፍ) በቡት ፍላሽ ማህደረ ትውስታ ክልል ውስጥ ይቀራል.
ተዛማጅ መረጃ · አጠቃላይ ሲሪያል ፍላሽ በይነገጽ Altera FPGA IP የተጠቃሚ መመሪያ · Altera MAX 10 የተጠቃሚ ፍላሽ ማህደረ ትውስታ የተጠቃሚ መመሪያ
4.4.1.1. alt_load()
የBSP አርታኢን በመጠቀም በ HAL ኮድ ውስጥ የ alt_load() ተግባርን ማንቃት ይችላሉ።
የማስፈጸሚያ-በ-ቦታ ማስነሻ ፍሰት ላይ ጥቅም ላይ ሲውል alt_load() ተግባር የሚከተሉትን ተግባራት ያከናውናል፡
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 51
4. Nios V Processor Configuration እና Booting Solutions 726952 | 2025.07.16
በBSP ቅንጅቶች ላይ በመመስረት የማህደረ ትውስታ ክፍሎችን ወደ RAM የሚገለብጥ እንደ ሚኒ ቡት ኮፒ ይሰራል።
· የውሂብ ክፍሎችን (.rodata, .rwdata, .ልዩነቶችን) ወደ RAM ይገለበጣል, ነገር ግን የኮድ ክፍሎችን (. ጽሑፍ) አይደለም. የኮድ ክፍል (.ጽሑፍ) ክፍል ተነባቢ-ብቻ ክፍል ነው እና በሚነሳበት ፍላሽ ማህደረ ትውስታ ክልል ውስጥ ይቆያል. ይህ ክፍልፍል የራም አጠቃቀምን ለመቀነስ ይረዳል ነገር ግን የፍላሽ ማህደረ ትውስታ መዳረሻዎች ወደ ቺፕ ራም ከመድረስ የበለጠ ቀርፋፋ ስለሆኑ የኮድ አፈፃፀምን ሊገድብ ይችላል።
የሚከተለው ሠንጠረዥ የBSP አርታዒ ቅንብሮችን እና ተግባራትን ይዘረዝራል።
ሠንጠረዥ 31. የቢኤስፒ አርታዒ ቅንጅቶች
የቢኤስፒ አርታዒ ቅንብር hal.linker.enable_alt_load hal.linker.enable_alt_load_copy_rodata hal.linker.enable_alt_load_copy_rwdata hal.linker.enable_alt_load_copy_exceptions
ተግባር alt_load() ተግባርን ያነቃል። alt_load () ቅጂዎች .rodata ክፍል ወደ RAM. alt_load () ቅጂዎች .rwdata ክፍል ወደ RAM. alt_load () ቅጂዎች .ለየራም ክፍል.
4.4.2. የኒዮስ ቪ ፕሮሰሰር አፕሊኬሽን ከቡት ፍላሽ ወደ ራም የተቀዳ ቡት ኮፒን በመጠቀም
የኒዮስ ቪ ፕሮሰሰር እና HAL ለአብዛኛዎቹ የኒዮስ ቪ ፕሮሰሰር አፕሊኬሽኖች በቂ አገልግሎት የሚሰጥ እና ከኒዮስ ቪ የሶፍትዌር ልማት ፍሰት ጋር ለመተግበር ምቹ የሆነ ቡት ኮፒን ያካትታሉ።
አፕሊኬሽኑ የማስነሻ ኮፒውን ሲጠቀም ሁሉንም የአገናኝ ክፍሎች (.text, .heap, .rwdata, .rodata, .bss, .stack) ወደ ውስጣዊ ወይም ውጫዊ RAM ያዘጋጃል. የኒዮስ ቪ ፕሮሰሰር መተግበሪያን ከቡት ፍላሽ ወደ ውስጣዊ ወይም ውጫዊ ራም ለመቅዳት የቡት ኮፒውን መጠቀም የአፈፃፀም አፈፃፀሙን ለማሻሻል ይረዳል።
ለዚህ የማስነሻ አማራጭ የኒዮስ ቪ ፕሮሰሰር የስርዓት ዳግም ማስጀመር ሲጀምር የማስነሻ ኮፒ ሶፍትዌርን ማከናወን ይጀምራል። ሶፍትዌሩ መተግበሪያውን ከቡት ፍላሽ ወደ ውስጣዊ ወይም ውጫዊ ራም ይገለበጣል. ሂደቱ ከተጠናቀቀ በኋላ የኒዮስ ቪ ፕሮሰሰር የፕሮግራሙን መቆጣጠሪያ ወደ አፕሊኬሽኑ ያስተላልፋል።
ማስታወሻ፡-
የቡት ኮፒው በፍላሽ ከሆነ፣ ሁለቱም አንድ አይነት አገልግሎት ስለሚሰጡ የ alt_load() ተግባር መጠራት አያስፈልግም።
4.4.2.1. ኒዮስ ቪ ፕሮሰሰር ቡት ጫኝ በጠቅላላ ተከታታይ ፍላሽ በይነገጽ
በ GSFI በኩል ያለው ቡት ጫኝ የ QSPI ፍላሽ ማህደረ ትውስታን በብሎክ ላይ የተመሰረቱ መሳሪያዎችን የሚደግፍ የኒዮስ ቪ ፕሮሰሰር ማስነሻ ኮፒ ነው። በ GSFI በኩል ያለው ቡት ጫኝ የሚከተሉትን ባህሪያት ያካትታል:
· የሶፍትዌር አፕሊኬሽኑን በማይለዋወጥ ማህደረ ትውስታ ውስጥ ያገኛል።
· የሶፍትዌር አፕሊኬሽኑን ምስሉን በማሸግ ወደ RAM ገልብጦታል።
· ቅጂው ከተጠናቀቀ በኋላ የፕሮሰሰር አፈፃፀምን በራስ-ሰር ወደ መተግበሪያ ኮድ በ RAM ይቀየራል።
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 52
ግብረ መልስ ላክ
4. Nios V Processor Configuration እና Booting Solutions 726952 | 2025.07.16
የማስነሻ ምስሉ የሚገኘው ከቡት ኮፒው በኋላ ነው። የኒዮስ ቪ ፕሮሰሰር ዳግም ማስጀመሪያ የማካካሻ ነጥቦችን ወደ ማስነሻ ኮፒው መጀመሪያ ማረጋገጥ አለቦት። ምስሉ፡ የማህደረ ትውስታ ካርታ ለQSPI ፍላሽ ከቡት ጫኚ በ GSFI ማህደረ ትውስታ ካርታ ለ QSPI ፍላሽ ከቡት ጫኚ በ GSFI በኩል የፍላሽ ማህደረ ትውስታ ካርታ ለ QSPI ፍላሽ የቡት ኮፒን ሲጠቀሙ ያሳያል። ይህ የማስታወሻ ካርታ የፍላሽ ማህደረ ትውስታ የ FPGA ምስል እና የመተግበሪያውን ሶፍትዌር ያከማቻል.
ሠንጠረዥ 32. ቡት ጫኚ በ GSFI በኩል ለኒዮስ ቪ ፕሮሰሰር ኮር
ኒዮስ ቪ ፕሮሰሰር ኮር
Nios V / m ፕሮሰሰር
ቡት ጫኚ በ GSFI በኩል File አካባቢ
/niosv/components/bootloader/ niosv_m_bootloader.srec
ኒዮስ ቪ/ጂ ፕሮሰሰር
/niosv/components/bootloader/ niosv_g_bootloader.srec
ምስል 29. የማህደረ ትውስታ ካርታ ለ QSPI ፍላሽ ከ Bootloader በ GSFI በኩል
የደንበኛ ውሂብ (*.hex)
የመተግበሪያ ኮድ
ማስታወሻ፡-
የቬክተር ማካካሻን ዳግም አስጀምር
ቡት መቅጃ
0x01E00000
የ FPGA ምስል (*.ሶፍ)
0x00000000
1. የማስታወሻ ካርታው መጀመሪያ ላይ የ FPGA ምስል ተከትሎ የእርስዎ ውሂብ ነው, እሱም የቡት ኮፒ እና የመተግበሪያ ኮድ ያካትታል.
2. በፕላትፎርም ዲዛይነር ውስጥ የኒዮስ ቪ ፕሮሰሰር ዳግም ማስጀመሪያ ማካካሻን ማዘጋጀት እና ወደ ቡት ኮፒው መጀመሪያ መጠቆም አለብዎት።
3. የ FPGA ምስል መጠን አይታወቅም. ትክክለኛውን መጠን ማወቅ የሚችሉት የኳርትስ ፕራይም ፕሮጄክት ከተጠናቀረ በኋላ ብቻ ነው. ለ Altera FPGA ምስል መጠን የላይኛው ወሰን መወሰን አለብህ። ለ example, የ FPGA ምስል መጠን ከ 0x01E00000 ያነሰ ነው ተብሎ ከተገመተ, Reset Offset ወደ 0x01E00000 በፕላትፎርም ዲዛይነር ያቀናብሩ, ይህም የቡት ኮፒው መጀመሪያም ነው.
4. ጥሩ የንድፍ አሰራር የሶፍትዌር አፕሊኬሽኑ ከተዘመነ የ FPGA ምስል በከፊል መሰረዝ እንዳይከሰት ለማረጋገጥ የዳግም ማስጀመሪያ ቬክተር ማካካሻን በፍላሽ ሴክተር ድንበር ላይ ማቀናበርን ያካትታል።
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 53
4. Nios V Processor Configuration እና Booting Solutions 726952 | 2025.07.16
4.4.2.2. Nios V Processor Bootloader በአስተማማኝ የመሣሪያ አስተዳዳሪ በኩል
ቡት ጫኚው ደህንነቱ በተጠበቀ የመሣሪያ አስተዳዳሪ (ኤስዲኤም) የመልእክት ሳጥን ደንበኛ Altera FPGA IP HAL ሾፌር ለአቀነባባሪ ማስነሳት የሚጠቀም የ HAL መተግበሪያ ኮድ ነው። የኒዮስ ቪ ፕሮሰሰርን ለማስነሳት በኤስዲኤም ላይ በተመሰረቱ መሳሪያዎች ውስጥ የQSPI ፍላሽ አወቃቀሩን ሲጠቀሙ Altera ይህንን የማስነሻ ጫኝ መተግበሪያ ይመክራል።
የስርዓት ዳግም ማስጀመር ከጀመረ በኋላ የኒዮስ ቪ ፕሮሰሰር በመጀመሪያ ቡት ጫኚውን በኤስዲኤም በማስነሳት ከትንሽ የኦን-ቺፕ ማህደረ ትውስታ እና የመልእክት ሳጥን ደንበኛ አይፒን በመጠቀም ቡት ጫኚውን በኤስዲኤም በኩል ያስፈጽማል።
በኤስዲኤም በኩል ያለው ቡት ጫኚ የሚከተሉትን ተግባራት ያከናውናል፡ · የኒዮስ ቪ ሶፍትዌርን በ QSPI ፍላሽ ውቅር ውስጥ ያገኛል። · የኒዮስ ቪ ሶፍትዌርን ወደ ኦን-ቺፕ RAM ወይም ውጫዊ ራም ይገለበጣል። · በቺፕ ራም ውስጥ የፕሮሰሰር አፈፃፀምን ወደ Nios V ሶፍትዌር ይቀይራል።
ውጫዊ RAM.
ሂደቱ ከተጠናቀቀ በኋላ ቡት ጫኚው በኤስዲኤም በኩል የፕሮግራሙን ቁጥጥር ወደ ተጠቃሚው መተግበሪያ ያስተላልፋል። Altera የማህደረ ትውስታ ድርጅቱን በSDM በኩል ለቡት ጫኝ ውስጥ በተገለጸው መሰረት ይመክራል።
ምስል 30. ቡት ጫኚ በኤስዲኤም ሂደት ፍሰት
ማዋቀር
ብልጭታ
2
ኒዮስ ቪ ሶፍትዌር
ኤስዲኤም
በኤስዲኤም ላይ የተመሠረተ FPGA መሣሪያ
የመልዕክት ሳጥን ደንበኛ አይፒ
FPGA ሎጂክ Nios V
4 ውጫዊ ራም
ኒዮስ ቪ ሶፍትዌር
ላይ-ቺፕ 4
EMIF
ራም
ኦን-ቺፕ ማህደረ ትውስታ
IP
ኒዮስ ቪ
1
ሶፍትዌር
ቡት ጫኚ በኤስዲኤም በኩል
3
3
1. ኒዮስ ቪ ፕሮሰሰር ቡት ጫኚውን በኤስዲኤም በኩል ከቺፕ ማህደረ ትውስታ ያስኬዳል።
2. ቡት ጫኝ በኤስዲኤም በኩል ከውቅረት ፍላሽ ጋር ይገናኛል እና የኒዮስ ቪ ሶፍትዌርን ያገኛል።
3. ቡት ጫኚ በኤስዲኤም በኩል የኒዮስ ቪ ሶፍትዌርን ከኮንፊግሬሽን ፍላሽ ወደ ላይ-ቺፕ RAM/ውጫዊ ራም ይቀዳል።
4. ቡት ጫኚ በኤስዲኤም በኩል የኒዮስ ቪ ፕሮሰሰር አፈፃፀምን ወደ ኒዮስ ቪ ሶፍትዌር በቺፕ ራም/ውጫዊ ራም ይቀይራል።
4.4.3. የኒዮስ ቪ ፕሮሰሰር አፕሊኬሽን በቦታ ውስጥ ከOCRAM ያስፈጽማል
በዚህ ዘዴ የኒዮስ ቪ ፕሮሰሰር ዳግም ማስጀመሪያ አድራሻ ወደ ኦን-ቺፕ ማህደረ ትውስታ (OCRAM) አድራሻ ተዘጋጅቷል። የመተግበሪያው ሁለትዮሽ (.hex) file የሃርድዌር ዲዛይኑ በ Quartus Prime ሶፍትዌር ውስጥ ከተጠናቀረ በኋላ FPGA ሲዋቀር ወደ OCRAM ይጫናል። አንዴ የኒዮስ ቪ ፕሮሰሰር ዳግም ከጀመረ፣ አፕሊኬሽኑ ስራውን ይጀምራል እና ወደ መግቢያ ነጥብ ቅርንጫፎች።
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 54
ግብረ መልስ ላክ
4. Nios V Processor Configuration እና Booting Solutions 726952 | 2025.07.16
ማስታወሻ፡-
የኒዮስ ቪ ፕሮሰሰር አፕሊኬሽን በስርዓት ዳግም ማስጀመሪያ ላይ ስላለ ከOCRAM ከ OCRAM ቦታ ማስፈጸሚያ አያስፈልግም።
Altera ለዚህ የማስነሻ ዘዴ alt_load () ማንቃትን ይመክራል ስለዚህም የተከተተው ሶፍትዌር የFPGA መሳሪያ ምስልን ሳያስተካክል ዳግም ሲጀምር ተመሳሳይ ባህሪ እንዲኖረው ያደርጋል።
· የ.rwዳታ ክፍል ሲስተም ዳግም ሲጀመር ለመቅዳት የ alt_load() ተግባርን በBSP Settings ውስጥ ማንቃት አለቦት። በዚህ ዘዴ, በፕሮግራሙ አፈፃፀም ላይ መፃፍን ለማስቀረት የመነሻ ተለዋዋጮች የመጀመሪያ ዋጋዎች ከተዛማጅ ተለዋዋጮች ተለይተው ይቀመጣሉ።
4.4.4. የኒዮስ ቪ ፕሮሰሰር መተግበሪያ ከቲ.ሲ.ኤም. በቦታ ውስጥ አስፈፃሚ
የማስፈጸሚያ ዘዴው የኒዮስ ቪ ፕሮሰሰር ዳግም ማስጀመሪያ አድራሻን በጥብቅ የተገናኘ ማህደረ ትውስታ (TCM) አድራሻ ያዘጋጃል። የመተግበሪያው ሁለትዮሽ (.hex) file በ Quartus Prime ሶፍትዌር ውስጥ የሃርድዌር ዲዛይኑን ካጠናቀሩ በኋላ FPGA ን ሲያዋቅሩ በ TCM ውስጥ ተጭኗል። አንዴ የኒዮስ ቪ ፕሮሰሰር ዳግም ከጀመረ፣ አፕሊኬሽኑ ስራውን ይጀምራል እና ወደ መግቢያ ነጥብ ቅርንጫፎች።
ማስታወሻ፡-
Execute-In-Place ከTCM ማስነሻ አይፈልግም ምክንያቱም የኒዮስ ቪ ፕሮሰሰር አፕሊኬሽን በስርዓት ዳግም ማስጀመር ላይ ስላለ።
4.5. ኒዮስ ቪ ፕሮሰሰር ከኦን-ቺፕ ፍላሽ (UFM) መነሳት
ኒዮስ ቪ ፕሮሰሰር ማስነሳት እና ከኦን-ቺፕ ፍላሽ (UFM) ማስኬድ በMAX 10 FPGA መሳሪያዎች ውስጥ ይገኛል። የኒዮስ ቪ ፕሮሰሰር በኦን-ቺፕ ፍላሽ በውስጣዊ ውቅረት ሁነታ የሚከተሉትን ሁለት የማስነሻ አማራጮች ይደግፋል።
· የኒዮስ ቪ ፕሮሰሰር መተግበሪያ ከኦን-ቺፕ ፍላሽ በቦታ ላይ ይሰራል።
· የኒዮስ ቪ ፕሮሰሰር አፕሊኬሽን ከኦን-ቺፕ ፍላሽ ወደ ራም የሚቀዳው ቡት ኮፒውን በመጠቀም ነው።
ሠንጠረዥ 33. የሚደገፉ ፍላሽ ትውስታዎች ከየቡት አማራጮች ጋር
የሚደገፉ የማስነሻ ትውስታዎች
ኒዮስ ቪ የማስነሻ ዘዴዎች
የመተግበሪያው ጊዜ የሚቆይበት ቦታ
ቡት መቅጃ
ማክስ 10 መሳሪያዎች ብቻ (ከOnChip Flash IP ጋር)
የኒዮስ ቪ ፕሮሰሰር አፕሊኬሽን ከኦን-ቺፕ ፍላሽ በቦታ ተፈፅሟል
የኒዮስ ቪ ፕሮሰሰር አፕሊኬሽን ከኦን-ቺፕ ፍላሽ ወደ ራም የተቀዳ ቡት ኮፒን በመጠቀም
ኦን-ቺፕ ፍላሽ (XIP) + OCRAM/ ውጫዊ ራም (ለሚፃፍ የውሂብ ክፍሎች)
alt_load() ተግባር
OCRAM / ውጫዊ ራም
Bootloader በ GSFI በኩል እንደገና መጠቀም
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 55
4. Nios V Processor Configuration እና Booting Solutions 726952 | 2025.07.16
ምስል 31.
ንድፍ፣ ውቅር እና የማስነሳት ፍሰት
ንድፍ · ፕላትፎርም ዲዛይነርን በመጠቀም ኒዮስ ቪ ፕሮሰሰርን መሰረት ያደረገ ፕሮጀክት ይፍጠሩ። · በሲስተሙ ዲዛይኑ ውስጥ ውጫዊ ራም ወይም በቺፕ ራም መኖሩን ያረጋግጡ።
የ FPGA ማዋቀር እና ማጠናቀር
· በፕላትፎርም ዲዛይነር እና በኳርትስ ፕራይም ሶፍትዌሮች ውስጥ በኦን-ቺፕ ፍላሽ አይ ፒ ውስጥ ተመሳሳይ የውስጥ ውቅር ሁነታን ያዘጋጁ። · የኒዮስ ቪ ፕሮሰሰር ዳግም ማስጀመሪያ ወኪል ወደ ኦን-ቺፕ ፍላሽ አዘጋጅ። · የእርስዎን ተመራጭ UFM ማስጀመሪያ ዘዴ ይምረጡ። · ንድፍዎን በፕላትፎርም ዲዛይነር ውስጥ ይፍጠሩ። · ፕሮጀክትዎን በ Quartus Prime ሶፍትዌር ያጠናቅቁ።
የተጠቃሚ መተግበሪያ BSP ፕሮጀክት · በ.sopcinfo ላይ በመመስረት የኒዮስ ቪ ፕሮሰሰር HAL BSP ይፍጠሩ file በፕላትፎርም ዲዛይነር የተፈጠረ። · የኒዮስ ቪ ፕሮሰሰር BSP መቼቶችን እና Linker Scriptን በቢኤስፒ አርታዒ ያርትዑ። · የBSP ፕሮጀክት መፍጠር።
የተጠቃሚ መተግበሪያ APP ፕሮጀክት · የኒዮስ ቪ ፕሮሰሰር መተግበሪያ ኮድ ይፍጠሩ። · የኒዮስ ቪ ፕሮሰሰር መተግበሪያን ሰብስብ እና የኒዮስ ቪ ፕሮሰሰር መተግበሪያን (.hex) ያመነጫል። file. · በIntel FPGA On-Chip ፍላሽ አይ ፒ ውስጥ የማህደረ ትውስታ ይዘት ምርጫን ካረጋገጡ ፕሮጀክትዎን በ Quartus Prime ሶፍትዌር ውስጥ እንደገና ያጠናቅቁ።
ፕሮግራም ማውጣት Files ልወጣ፣ አውርድና አሂድ · በቺፕ ፍላሽ .pof መፍጠር file Convert Programming በመጠቀም Fileበ Quartus Prime ሶፍትዌር ውስጥ ያለው ባህሪ.
· የ .pof ፕሮግራም file ወደ የእርስዎ MAX 10 መሣሪያ። · የሃርድዌርዎን የኃይል ዑደት ያሽከርክሩት።
4.5.1. MAX 10 FPGA በቺፕ ፍላሽ መግለጫ
MAX 10 FPGA መሳሪያዎች በቺፕ ላይ በሁለት ክፍሎች የተከፈለ ፍላሽ ይይዛሉ፡- · ውቅር ፍላሽ ማህደረ ትውስታ (ሲኤፍኤም) — የሃርድዌር ውቅር መረጃን ለማከማቸት
ከፍተኛው 10 FPGAs የተጠቃሚ ፍላሽ ማህደረ ትውስታ (UFM) - የተጠቃሚውን ውሂብ ወይም የሶፍትዌር መተግበሪያዎችን ያከማቻል።
የMAX 10 መሣሪያ የ UFM አርክቴክቸር ለስላሳ እና ጠንካራ አይፒዎች ጥምረት ነው። UFM ን ማግኘት የሚችሉት በኳርትስ ፕራይም ሶፍትዌር ውስጥ ያለውን On-Chip Flash IP Coreን በመጠቀም ብቻ ነው።
የኦን-ቺፕ ፍላሽ አይ ፒ ኮር የሚከተሉትን ባህሪያት ይደግፋል፡- · የ UFM እና CFM (በፕላትፎርም ዲዛይነር ውስጥ ከነቃ) መዳረሻዎችን ያንብቡ ወይም ይፃፉ
የአቫሎን ኤምኤም መረጃን በመጠቀም እና የባሪያ በይነገጽን ይቆጣጠሩ። · ገጽ መደምሰስ፣ ዘርፍ መደምሰስ እና ዘርፍ መፃፍን ይደግፋል። · የተለያዩ የ EDA ማስመሰያ መሳሪያዎችን በመጠቀም ለ UFM ንባብ/መጻፍ መዳረሻዎች የማስመሰል ሞዴል።
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 56
ግብረ መልስ ላክ
4. Nios V Processor Configuration እና Booting Solutions 726952 | 2025.07.16
ሠንጠረዥ 34. በቺፕ ፍላሽ ክልሎች በMAX 10 FPGA መሣሪያዎች
የፍላሽ ክልሎች
ተግባራዊነት
ፍላሽ ማህደረ ትውስታን ማዋቀር (ሴክተሮች CFM0-2)
FPGA ውቅር file ማከማቻ
የተጠቃሚ ፍላሽ ማህደረ ትውስታ (ሴክተሮች UFM0-1)
የኒዮስ ቪ ፕሮሰሰር መተግበሪያ እና የተጠቃሚ ውሂብ
MAX 10 FPGA መሳሪያዎች ብዙ የማዋቀሪያ ሁነታዎችን ይደግፋሉ እና ከእነዚህ ሁነታዎች አንዳንዶቹ CFM1 እና CFM2 እንደ ተጨማሪ UFM ክልል እንዲጠቀሙ ይፈቅዳሉ። የሚከተለው ሰንጠረዥ በMAX 10 FPGA ውቅር ሁነታዎች ላይ በመመስረት የFPGA ውቅር ምስሎችን ማከማቻ ቦታ ያሳያል።
ሠንጠረዥ 35. የ FPGA ውቅር ምስሎች ማከማቻ ቦታ
የማዋቀር ሁነታ ባለሁለት የታመቁ ምስሎች
CFM2 የታመቀ ምስል 2
CFM1
CFM0 የታመቀ ምስል 1
ነጠላ ያልታመቀ ምስል
ምናባዊ UFM
ያልታመቀ ምስል
ነጠላ ያልታመቀ ምስል ከማህደረ ትውስታ ጅምር ጋር
ያልተጨመቀ ምስል (ቅድመ-የተጀመረ በቺፕ ማህደረ ትውስታ ይዘት)
ነጠላ የታመቀ ምስል ከማህደረ ትውስታ ጅምር ጋር የታመቀ ምስል (ቅድመ-የተጀመረ በቺፕ ማህደረ ትውስታ ይዘት)
ነጠላ የታመቀ ምስል
ምናባዊ UFM
የታመቀ ምስል
በMAX 10 FPGAs ውስጥ ወደ ፍላሽ ማህደረ ትውስታ ለመድረስ የኦን-ቺፕ ፍላሽ አይ ፒ ኮርን መጠቀም አለቦት። የኦን-ቺፕ ፍላሽ አይፒን ከኳርተስ ፕራይም ሶፍትዌር ጋር በቅጽበት ማገናኘት ይችላሉ። የኒዮስ ቪ ለስላሳ ኮር ፕሮሰሰር ከኦን-ቺፕ ፍላሽ አይ ፒ ጋር ለመገናኘት የፕላትፎርም ዲዛይነር ማገናኛን ይጠቀማል።
ምስል 32. በኦን-ቺፕ ፍላሽ አይ ፒ እና በኒዮስ ቪ ፕሮሰሰር መካከል ያለው ግንኙነት
ማስታወሻ፡-
አንጎለ ኮምፒውተር መፃፍ እና መደምሰስ እንዲችል የኦን-ቺፕ ፍላሽ csr ወደብ ከNios V ፕሮሰሰር ዳታ_ማኔጀር ጋር መገናኘቱን ያረጋግጡ።
የኦን-ቺፕ ፍላሽ አይ ፒ ኮር ለአምስት ፍላሽ ዘርፎች - UFM0፣ UFM1፣ CFM0፣ CFM1 እና CFM2 መዳረሻን ይሰጣል።
ስለ UFM እና CFM ሴክተሮች ጠቃሚ መረጃ፡ · የ CFM ሴክተሮች ለማዋቀር (bitstream) ውሂብ (*.pof) ማከማቻ የታሰቡ ናቸው።
· ትክክለኛ ቅንጅቶች በፕላትፎርም ዲዛይነር መሳሪያ ውስጥ ከተመረጡ የተጠቃሚ መረጃ በ UFM ዘርፎች ውስጥ ሊከማች እና ሊደበቅ ይችላል።
· የተወሰኑ መሳሪያዎች UFM1 ዘርፍ የላቸውም። ሰንጠረዡን መመልከት ይችላሉ፡ UFM እና CFM Sector Size ለእያንዳንዱ ግለሰብ MAX 10 FPGA መሳሪያ።
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 57
4. Nios V Processor Configuration እና Booting Solutions 726952 | 2025.07.16
· ነጠላ ያልተጨመቀ ምስል ማዋቀር ሁነታን በመምረጥ CFM2 ን እንደ ምናባዊ UFM ማዋቀር ይችላሉ።
· ነጠላ ያልተጨመቀ ምስል ማዋቀር ሁነታን በመምረጥ CFM2 እና CFM1 ን እንደ ምናባዊ ዩኤፍኤም ማዋቀር ይችላሉ።
· የእያንዳንዱ ሴክተር መጠን በተመረጡት MAX 10 FPGA መሳሪያዎች ይለያያል።
ሠንጠረዥ 36.
UFM እና CFM ዘርፍ መጠን
ይህ ሰንጠረዥ የ UFM እና CFM ድርድሮችን መጠን ይዘረዝራል።
መሳሪያ
ገጾች በሴክተር
UFM1 UFM0 CFM2 CFM1 CFM0
የገጽ መጠን (ኪቢት)
ከፍተኛ ተጠቃሚ
የፍላሽ ማህደረ ትውስታ መጠን (ኪቢት) (3)
አጠቃላይ የማዋቀር ማህደረ ትውስታ መጠን (ኪቢት)
10M02 3
3
0
0
34 16 እ.ኤ.አ
96
544
10M04 0
8
41 29 70 16
1248
2240
10M08 8
8
41 29 70 16
1376
2240
10M16 4
4
38 28 66 32
2368
4224
10M25 4
4
52 40 92 32
3200
5888
10M40 4
4
48 36 84 64
5888
10752
10M50 4
4
48 36 84 64
5888
10752
የOCRAM መጠን (ኪቢት)
108 189 378 549 675 1260 1638
ተዛማጅ መረጃ · MAX 10 FPGA ውቅር የተጠቃሚ መመሪያ · Altera MAX 10 የተጠቃሚ ፍላሽ ማህደረ ትውስታ ተጠቃሚ መመሪያ
4.5.2. የኒዮስ ቪ ፕሮሰሰር አፕሊኬሽን በቦታ-ውስጥ ከ UFM
የExecute-In-Place ከ UFM መፍትሄ ለ Nios V ፕሮሰሰር አፕሊኬሽኖች የተገደበ በቺፕ ማህደረ ትውስታ አጠቃቀም ላይ ተስማሚ ነው። የ alt_load() ተግባር በBSP ቅንጅቶች ላይ በመመስረት የመረጃ ክፍሎችን (.rodata፣ .rwdata፣ ወይም .exceptions) ከቡት ማህደረ ትውስታ ወደ RAM የሚገለብጥ እንደ ሚኒ ቡት ኮፒ ይሰራል። የኮድ ክፍል (. ጽሑፍ) ፣
የሚነበብ ብቻ ክፍል የሆነው፣ በ MAX 10 On-chip Flash ማህደረ ትውስታ ክልል ውስጥ ይቀራል። ይህ ማዋቀር የ RAM አጠቃቀምን ይቀንሳል ነገር ግን ወደ ፍላሽ ማህደረ ትውስታ መድረስ ከኦን-ቺፕ ራም ቀርፋፋ ስለሆነ የኮድ አፈፃፀምን ሊገድብ ይችላል።
የኒዮስ ቪ ፕሮሰሰር አፕሊኬሽኑ ወደ ዩኤፍኤም ሴክተር ተዘጋጅቷል። የNios V ፕሮሰሰር ዳግም ማስጀመሪያ ቬክተር ስርዓቱ ዳግም ከጀመረ በኋላ ከUFM የመጣውን ኮድ ለማስፈጸም ወደ UFM ቤዝ አድራሻ ይጠቁማል።
መተግበሪያዎን ለማረም የምንጭ ደረጃ አራሚውን እየተጠቀሙ ከሆነ የሃርድዌር መግቻ ነጥብ መጠቀም አለብዎት። ይህ የሆነበት ምክንያት UFM የዘፈቀደ ማህደረ ትውስታ መዳረሻን ስለማይደግፍ ነው, ይህም ለስላሳ መግቻ ነጥብ ማረም አስፈላጊ ነው.
ማስታወሻ፡-
በMAX 10 ውስጥ መፈጸምን በሚሰሩበት ጊዜ UFMን መደምሰስ ወይም መፃፍ አይችሉም። ዩኤፍኤም መሰረዝ ወይም መፃፍ ከፈለጉ ወደ ማስነሻ አቀራረብ ቀይር።
(3) በመረጡት የውቅር ሁነታ ላይ የሚመረኮዝ ከፍተኛው የሚቻል እሴት።
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 58
ግብረ መልስ ላክ
4. Nios V Processor Configuration እና Booting Solutions 726952 | 2025.07.16
ምስል 33. የኒዮስ ቪ ፕሮሰሰር አፕሊኬሽን XIP ከ UFM
ከፍተኛው 10 መሣሪያ
.POF
ኒዮስ ቪ ሃርድዌር .SOF
ኒዮስ ቪ ሶፍትዌር .HEX
ኳርትስ ፕሮግራመር
ኦን-ቺፕ ፍላሽ
ሲኤፍኤም
ኒዮስ ቪ ሃርድዌር
ዩኤፍኤም
ኒዮስ ቪ ሶፍትዌር
የውስጥ ውቅር
ላይ-ቺፕ ፍላሽ አይፒ
FPGA ሎጂክ
ኒዮስ ቪ ፕሮሰሰር
በቺፕ ላይ ራም
ውጫዊ
ራም
EMIF
IP
4.5.2.1. የሃርድዌር ንድፍ ፍሰት
የሚከተለው ክፍል ለኒዮስ ቪ ፕሮሰሰር መተግበሪያ ከኦን-ቺፕ ፍላሽ ሊነሳ የሚችል ስርዓት ለመገንባት ደረጃ በደረጃ ዘዴን ይገልጻል። የቀድሞampከታች ያለው MAX 10 መሳሪያ በመጠቀም ነው የተሰራው።
የአይፒ አካል ቅንብሮች
1. Quartus Prime እና Platform Designerን በመጠቀም የኒዮስ ቪ ፕሮሰሰር ፕሮጄክት ይፍጠሩ። 2. ውጫዊ RAM ወይም On-Chip Memory (OCRAM) ወደ መድረክዎ መጨመሩን ያረጋግጡ
ንድፍ አውጪ ስርዓት.
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 59
4. Nios V Processor Configuration እና Booting Solutions 726952 | 2025.07.16
ምስል 34. ዘፀampኒዮስ ቪን ከኦንቺፕ ፍላሽ (UFM) ለማስነሳት በፕላትፎርም ዲዛይነር የአይፒ ግንኙነቶች
3. በኦን-ቺፕ ፍላሽ አይ ፒ ፓራሜትር አርታዒ ውስጥ የኮንፊገሬሽን ሁነታን ከሚከተሉት ውስጥ ወደ አንዱ ያቀናብሩ ፣ እንደ እርስዎ ዲዛይን ምርጫ: · ነጠላ ያልታመቀ ምስል · ነጠላ የታመቀ ምስል · ነጠላ ያልታመቀ ምስል ከማህደረ ትውስታ ማስጀመር ጋር · ነጠላ የታመቀ ምስል ከማህደረ ትውስታ ጅምር ጋር።
ስለ ድርብ የታመቁ ምስሎች የበለጠ መረጃ ለማግኘት የMAX 10 FPGA ውቅር የተጠቃሚ መመሪያን ይመልከቱ - የርቀት ስርዓት ማሻሻል።
ማስታወሻ፡-
በቺፕ ፍላሽ አይ ፒ ውስጥ ድብቅ መዳረሻን ለእያንዳንዱ CFM ክልሎች መመደብ አለቦት።
ምስል 35. በቺፕ ፍላሽ መለኪያ አርታዒ ውስጥ የማዋቀር ሁነታ ምርጫ
በቺፕ ፍላሽ አይ ፒ መቼቶች - የ UFM ማስጀመር ከሚከተሉት ዘዴዎች ውስጥ አንዱን እንደ ምርጫዎ መምረጥ ይችላሉ፡
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 60
ግብረ መልስ ላክ
4. Nios V Processor Configuration እና Booting Solutions 726952 | 2025.07.16
ማስታወሻ፡-
በሚቀጥሉት ንኡስ ምዕራፎች (የሶፍትዌር ዲዛይን ፍሰት እና ፕሮግራሚንግ) ውስጥ ያሉት ደረጃዎች እዚህ በመረጡት ምርጫ ላይ ይመሰረታሉ።
ዘዴ 1፡ በ SOF ውስጥ ያለውን የ UFM ውሂብ በማጠናቀር ጊዜ ያስጀምሩ
ኳርተስ ፕራይም የ UFM ማስጀመሪያ መረጃን በ SOF ውስጥ ያካትታል። በ UFM ውሂብ ላይ ለውጦች ካሉ የ SOF መልሶ ማጠናቀር ያስፈልጋል።
1. የፍላሽ ይዘትን ማስጀመርን ያረጋግጡ እና ነባሪ ያልሆነ ማስጀመርን አንቃ file.
ምስል 36. የፍላሽ ይዘቶችን አስጀምር እና ነባሪ ያልሆነ ማስጀመርን አንቃ File
2. የተፈጠረውን .ሄክስ መንገድ ይግለጹ file (ከ elf2hex ትዕዛዝ) በተጠቃሚው ውስጥ hex ወይም mif ፈጠረ file.
ምስል 37. የ .hex መጨመር File መንገድ
ዘዴ 2: በ POF ማመንጨት ጊዜ የ UFM መረጃን ከተቀናበረ SOF ጋር ያጣምሩ
ፕሮግራሚንግ ሲቀየር የUFM መረጃ ከተቀናበረው SOF ጋር ይጣመራል። fileኤስ. ምንም እንኳን የ UFM ውሂብ ቢቀየርም SOF ን እንደገና ማጠናቀር አያስፈልግዎትም። በእድገት ጊዜ, SOF ን እንደገና ማጠናቀር የለብዎትም files በመተግበሪያው ውስጥ ለውጦች. Alterare ይህን ዘዴ ለመተግበሪያ ገንቢዎች ያመሰግናል።
1. ምልክት ያንሱ የፍላሽ ይዘትን አስጀምር።
ምስል 38. የፍላሽ ይዘትን ከነባሪ ባልሆነ ማስጀመር ያስጀምሩ File
ለNios V Processor Execute-In-Place ዘዴ የወኪል ቅንብሮችን ዳግም ያስጀምሩ
1. በኒዮስ ቪ ፕሮሰሰር ፓራሜትር አርታዒ ውስጥ፣ ዳግም ማስጀመሪያ ወኪልን ወደ On-Chip Flash ያቀናብሩ።
ምስል 39. Nios V Processor Parameter Editor Settings ከዳግም ማስጀመሪያ ወኪል ጋር በቺፕ ፍላሽ ተቀናብሯል
2. የጄነሬሽን የንግግር ሳጥን ሲመጣ HDL ፍጠርን ጠቅ ያድርጉ። 3. ውፅኢት ይግለፁ file የማመንጨት አማራጮች እና አመንጭ የሚለውን ጠቅ ያድርጉ.
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 61
4. Nios V Processor Configuration እና Booting Solutions 726952 | 2025.07.16
Quartus Prime Software Settings 1. In the Quartus Prime software, click Assignments Device Device and Pin
Options Configuration. Set the Configuration mode according to the setting in On-Chip Flash IP. Figure 40. Configuration Mode Selection in Quartus Prime Software
2. ከመሳሪያ እና ፒን አማራጮች መስኮት ለመውጣት እሺን ጠቅ ያድርጉ።
3. ከመሳሪያው መስኮት ለመውጣት እሺን ጠቅ ያድርጉ።
4. Click Processing Start Compilation to compile your project and generate the .sof file.
ማስታወሻ፡-
በ Quartus Prime ሶፍትዌር እና በፕላትፎርም ዲዛይነር ፓራሜትር አርታዒ ውስጥ ያለው የማዋቀሪያ ሁነታ ቅንብር የተለየ ከሆነ፣ የኳርተስ ፕራይም ፕሮጄክት በሚከተለው የስህተት መልእክት አይሳካም።
ምስል 41.
የስህተት መልእክት ለተለያዩ የማዋቀር ሁነታ ቅንብር ስህተት (14740)፡ የማዋቀር ሁነታ በአቶም "q_sys:q_sys_inst| altera_onchip_flash:onchip_flash_1|altera_onchip_flash_block: altera_onchip_flash_block" ፕሮጀክቱን አይዛመድም። የQsys ስርዓቱን ከፕሮጀክት መቼት ጋር ለማዛመድ ያዘምኑ እና ያድሱት።
ተዛማጅ መረጃ MAX 10 FPGA ውቅር የተጠቃሚ መመሪያ
4.5.2.2. የሶፍትዌር ንድፍ ፍሰት
ይህ ክፍል የኒዮስ ቪ ፕሮሰሰር ሶፍትዌር ፕሮጀክት ለማመንጨት እና ለመገንባት የንድፍ ፍሰት ያቀርባል። የተስተካከለ የግንባታ ፍሰትን ለማረጋገጥ በንድፍ ፕሮጀክትዎ ውስጥ ተመሳሳይ የማውጫ ዛፍ እንዲፈጥሩ ይበረታታሉ። የሚከተለው የሶፍትዌር ንድፍ ፍሰት በዚህ ማውጫ ዛፍ ላይ የተመሰረተ ነው.
የሶፍትዌር ፕሮጄክት ማውጫ ዛፍ ለመፍጠር የሚከተሉትን ደረጃዎች ይከተሉ፡- 1. በፕሮጀክት ፎልደርዎ ውስጥ ሶፍትዌር የሚባል ፎልደር ይፍጠሩ። 2. በሶፍትዌር ማህደር ውስጥ hal_app እና hal_bsp የሚባሉ ሁለት ማህደሮችን ይፍጠሩ።
ምስል 42. የሶፍትዌር ፕሮጀክት ማውጫ ዛፍ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 62
ግብረ መልስ ላክ
4. Nios V Processor Configuration እና Booting Solutions 726952 | 2025.07.16
የመተግበሪያ BSP ፕሮጀክት መፍጠር
የቢኤስፒ አርታዒን ለመጀመር የሚከተሉትን ደረጃዎች ይከተሉ፡ 1. Nios V Command Shellን ያስገቡ። 2. የቢኤስፒ አርታዒን በ niosv-bsp-editor ትእዛዝ ጥራ። 3. በ BSP አርታዒ ውስጥ, ጠቅ ያድርጉ File የእርስዎን BSP ፕሮጀክት ለመጀመር አዲስ BSP። 4. የሚከተሉትን ቅንብሮች ያዋቅሩ:
· የ SOPC መረጃ File ስም፡ SOPINFO ያቅርቡ file (.ሶፕሲንፎ)። · የሲፒዩ ስም፡ ኒዮስ ቪ ፕሮሰሰርን ይምረጡ። · ኦፕሬቲንግ ሲስተም፡ የኒዮስ ቪ ፕሮሰሰር ኦፕሬቲንግ ሲስተምን ይምረጡ። · ስሪት፡ እንደ ነባሪ ይውጡ። · የቢኤስፒ ኢላማ ማውጫ፡ የBSP ፕሮጀክት ማውጫ መንገድ ይምረጡ። ትችላለህ
አስቀድመህ አስቀምጠው /software/hal_bsp ነባሪ ቦታዎችን ተጠቀም በማንቃት። · የቢኤስፒ ቅንብሮች File ስም፡ የBSP ቅንብሮችን ስም ይተይቡ File. · Additional Tcl scripts: Provide a BSP Tcl script by enabling Enable Additional Tcl script. 5. Click OK.
Figure 43. Configure New BSP
Configuring the BSP Editor and Generating the BSP Project
You can define the processor’s exception vector either in On-Chip Memory (OCRAM) or On-Chip Flash based on your design preference. Setting the exception vector memory to OCRAM/External RAM is recommended to make the interrupt processing faster. 1. Go to Main Settings Advanced hal.linker. 2. If you select On-Chip Flash as exception vector,
a. Enable the following settings:
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 63
4. Nios V Processor Configuration እና Booting Solutions 726952 | 2025.07.16
· allow_code_at_reset · enable_alt_load · enable_alt_load_copy_rodata · enable_alt_load_copy_rwdata Figure 44. Advanced.hal.linker Settings
b. Click on the Linker Script tab in the BSP Editor. c. Set the .exceptions and .text regions in the Linker Section Name to
On-Chip Flash. d. Set the rest of the regions in the Linker Section Name list to the On-Chip
Memory (OCRAM) or external RAM.
Figure 45. Linker Region Settings (Exception Vector Memory: On-Chip Flash)
3. If you select OCRAM/External RAM as exception vector, a. Enable the following settings: · allow_code_at_reset · enable_alt_load · enable_alt_load_copy_rodata · enable_alt_load_copy_rwdata · enable_alt_load_copy_exception
Figure 46. Linker Region Settings (Exception Vector Memory: OCRAM/External RAM)
b. Click on the Linker Script tab in the BSP Editor.
c. Set the.text regions in the Linker Section Name to On-Chip Flash.
d. Set the rest of the regions in the Linker Section Name list to the On-Chip Memory (OCRAM) or external RAM.
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 64
ግብረ መልስ ላክ
4. Nios V Processor Configuration እና Booting Solutions 726952 | 2025.07.16
Figure 47. Linker Region Settings (Exception Vector Memory: OCRAM)
4. Click Generate to generate the BSP project. Generating the User Application Project File 1. Navigate to the software/hal_app folder and create your application source
code. 2. Launch the Nios V Command Shell. 3. Execute the command below to generate the application CMakeLists.txt.
niosv-app –app-dir=software/hal_app –bsp-dir=software/hal_bsp –srcs=software/hal_app/<user application>
Building the User Application Project You can choose to build the user application project using Ashling RiscFree IDE for Altera FPGAs or through the command line interface (CLI). If you prefer using CLI, you can build the user application using the following command: cmake -G “Unix Makefiles” -B software/hal_app/build -S software/hal_app make -C software/hal_app/build
The application (.elf) file is created in software/hal_app/build folder. Generating the HEX File You must generate a .hex file from your application .elf file, so you can create a .pof file suitable for programming the devices. 1. Launch the Nios V Command Shell. 2. For Nios V processor application boot from On-Chip Flash, use the following
command line to convert the ELF to HEX for your application. This command creates the user application (onchip_flash.hex) file. elf2hex software/hal_app/build/<user_application>.elf -o onchip_flash.hex
-b <base address of On-Chip Flash UFM region> -w 8 -e <end address of On-Chip Flash UFM region> 3. Recompile the hardware design if you check Initialize memory content option in On-Chip Flash IP (Method 1). This is to include the software data (.HEX) in the SOF file.
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 65
4. Nios V Processor Configuration እና Booting Solutions 726952 | 2025.07.16
4.5.2.3. Programming 1. In Quartus Prime, click File ፕሮግራሚንግ ቀይር Files. 2. Under Output programming file, choose Programmer Object File (.pof) as Programming file type. 3. Set Mode to Internal Configuration.
Figure 48. Convert Programming File ቅንብሮች
4. Click Options/Boot info…, the MAX 10 Device Options window appears. 5. Based on the Initialize flash content settings in the On-chip Flash IP, perform
one of the following steps: · If Initialize flash content is checked (Method 1), the UFM initialization data
was included in the SOF duringQuartus Prime compilation. — Select Page_0 for UFM source: option. Click OK and proceed to the
next. Figure 49. Setting Page_0 for UFM Source if Initialize Flash Content is Checked
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 66
ግብረ መልስ ላክ
4. Nios V Processor Configuration እና Booting Solutions 726952 | 2025.07.16
· If Initialize flash content is not checked (Method 2), choose Load memory file for the UFM source option. Browse to the generated On-chip Flash HEX file (onchip_flash.hex) in the File path: and click OK. This step adds UFM data separately to the SOF file during the programming file መለወጥ.
Figure 50. Setting Load Memory File for UFM Source if Initialize Flash Content is Not Checked
6. In the Convert Programming File dialog box, at the Input files to convert section, click Add File… and point to the generated Quartus Prime .sof file.
Figure 51. Input Files to Convert in Convert Programming Files for Single Image Mode
7. Click Generate to create the .pof file. 8. Program the .pof file into your MAX 10 device. 9. Power cycle your hardware.
4.5.3. Nios V Processor Application Copied from UFM to RAM using Boot Copier
Altera recommends this solution for MAX 10 FPGA Nios V processor system designs where multiple iterations of application software development and high system performance are required. The boot copier is located within the UFM at an offset that is the same address as the reset vector. The Nios V application is located next to the boot copier.
For this boot option, the Nios V processor starts executing the boot copier upon system reset to copy the application from the UFM sector to the OCRAM or external RAM. Once copying is complete, the Nios V processor transfers the program control over to the application.
ማስታወሻ፡-
The applied boot copier is the same as the Bootloader via GSFI.
ግብረ መልስ ላክ
Nios® V የተከተተ ፕሮሰሰር ንድፍ መመሪያ መጽሐፍ 67
4. Nios V Processor Configuration እና Booting Solutions 726952 | 2025.07.16
Figure 52. Nios V Application Copied from UFM to RAM using Boot Copier
ከፍተኛው 10 መሣሪያ
.POF
ኒዮስ ቪ ሃርድዌር .SOF
ኒዮስ ቪ ሶፍትዌር .HEX
Bootloader .SREC
ኳርትስ ፕሮግራመር
ውጫዊ RAM
ኒዮስ ቪ ሶፍትዌር
ኦን-ቺፕ ፍላሽ
ሲኤፍኤም
Nios V Hardwa
ሰነዶች / መርጃዎች
![]() |
altera Nios V Embedded Processor [pdf] የተጠቃሚ መመሪያ Nios V, Nios V-m, Nios V-g, Nios V-c, Nios V Embedded Processor, Nios V, Embedded Processor, Processor |