ਅਲਟੇਰਾ ਨਿਓਸ ਵੀ ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ

ਨਿਰਧਾਰਨ

  • ਉਤਪਾਦ ਦਾ ਨਾਮ: Nios V ਪ੍ਰੋਸੈਸਰ
  • ਸਾਫਟਵੇਅਰ ਅਨੁਕੂਲਤਾ: ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸਾਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ
  • ਪ੍ਰੋਸੈਸਰ ਕਿਸਮ: ਅਲਟੇਰਾ ਐਫਪੀਜੀਏ
  • ਮੈਮੋਰੀ ਸਿਸਟਮ: ਅਸਥਿਰ ਅਤੇ ਗੈਰ-ਅਸਥਿਰ ਮੈਮੋਰੀ
  • ਸੰਚਾਰ ਇੰਟਰਫੇਸ: UART ਏਜੰਟ

Nios V ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ

Nios V ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਨੂੰ ਡਿਜ਼ਾਈਨ ਕਰਨ ਲਈ, ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ:

  1. ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਦੀ ਵਰਤੋਂ ਕਰਕੇ Nios V ਪ੍ਰੋਸੈਸਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਬਣਾਓ।
  2. ਸਿਸਟਮ ਨੂੰ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ ਏਕੀਕ੍ਰਿਤ ਕਰੋ।
  3. ਅਸਥਿਰ ਅਤੇ ਗੈਰ-ਅਸਥਿਰ ਮੈਮੋਰੀ ਸਮੇਤ ਡਿਜ਼ਾਈਨ ਮੈਮੋਰੀ ਸਿਸਟਮ।
  4. ਘੜੀਆਂ ਲਾਗੂ ਕਰੋ ਅਤੇ ਸਭ ਤੋਂ ਵਧੀਆ ਅਭਿਆਸਾਂ ਨੂੰ ਰੀਸੈਟ ਕਰੋ।
  5. ਕੁਸ਼ਲ ਸੰਚਾਲਨ ਲਈ ਡਿਫਾਲਟ ਅਤੇ UART ਏਜੰਟ ਨਿਰਧਾਰਤ ਕਰੋ।

Nios V ਪ੍ਰੋਸੈਸਰ ਸਾਫਟਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ

Nios V ਪ੍ਰੋਸੈਸਰ ਲਈ ਸਾਫਟਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕਰਨ ਲਈ:

  1. Nios V ਪ੍ਰੋਸੈਸਰ ਲਈ ਸਾਫਟਵੇਅਰ ਵਿਕਾਸ ਪ੍ਰਵਾਹ ਦੀ ਪਾਲਣਾ ਕਰੋ।
  2. ਬੋਰਡ ਸਪੋਰਟ ਪੈਕੇਜ ਪ੍ਰੋਜੈਕਟ ਅਤੇ ਐਪਲੀਕੇਸ਼ਨ ਪ੍ਰੋਜੈਕਟ ਬਣਾਓ।

Nios V ਪ੍ਰੋਸੈਸਰ ਸੰਰਚਨਾ ਅਤੇ ਬੂਟਿੰਗ ਹੱਲ

Nios V ਪ੍ਰੋਸੈਸਰ ਨੂੰ ਕੌਂਫਿਗਰ ਕਰਨ ਅਤੇ ਬੂਟ ਕਰਨ ਲਈ:

  1. ਸੰਰਚਨਾ ਅਤੇ ਬੂਟਿੰਗ ਹੱਲਾਂ ਦੀ ਜਾਣ-ਪਛਾਣ ਨੂੰ ਸਮਝੋ।
  2. ਸਹਿਜ ਕਾਰਜ ਲਈ ਐਪਲੀਕੇਸ਼ਨਾਂ ਨੂੰ ਲਿੰਕ ਕਰੋ।

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਬਾਰੇ
1.1. Altera® FPGA ਅਤੇ ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਓਵਰview
ਅਲਟੇਰਾ ਐਫਪੀਜੀਏ ਡਿਵਾਈਸ ਤਰਕ ਨੂੰ ਲਾਗੂ ਕਰ ਸਕਦੇ ਹਨ ਜੋ ਬਹੁਤ ਸਾਰੇ ਵਿਕਲਪ ਪ੍ਰਦਾਨ ਕਰਦੇ ਹੋਏ ਇੱਕ ਸੰਪੂਰਨ ਮਾਈਕ੍ਰੋਪ੍ਰੋਸੈਸਰ ਵਜੋਂ ਕੰਮ ਕਰਦਾ ਹੈ।
ਡਿਸਕ੍ਰਿਟ ਮਾਈਕ੍ਰੋਪ੍ਰੋਸੈਸਰਾਂ ਅਤੇ ਅਲਟੇਰਾ FPGA ਵਿੱਚ ਇੱਕ ਮਹੱਤਵਪੂਰਨ ਅੰਤਰ ਇਹ ਹੈ ਕਿ ਜਦੋਂ ਇਹ ਪਾਵਰ ਅੱਪ ਕਰਦਾ ਹੈ ਤਾਂ ਅਲਟੇਰਾ FPGA ਫੈਬਰਿਕ ਵਿੱਚ ਕੋਈ ਤਰਕ ਨਹੀਂ ਹੁੰਦਾ। Nios® V ਪ੍ਰੋਸੈਸਰ ਇੱਕ ਨਰਮ ਬੌਧਿਕ ਸੰਪਤੀ (IP) ਪ੍ਰੋਸੈਸਰ ਹੈ ਜੋ RISC-V ਨਿਰਧਾਰਨ 'ਤੇ ਅਧਾਰਤ ਹੈ। Nios V ਪ੍ਰੋਸੈਸਰ ਅਧਾਰਤ ਸਿਸਟਮ 'ਤੇ ਸੌਫਟਵੇਅਰ ਚਲਾਉਣ ਤੋਂ ਪਹਿਲਾਂ, ਤੁਹਾਨੂੰ Altera FPGA ਡਿਵਾਈਸ ਨੂੰ ਇੱਕ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਨਾਲ ਕੌਂਫਿਗਰ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ ਜਿਸ ਵਿੱਚ Nios V ਪ੍ਰੋਸੈਸਰ ਹੁੰਦਾ ਹੈ। ਤੁਸੀਂ ਡਿਜ਼ਾਈਨ ਦੀਆਂ ਜ਼ਰੂਰਤਾਂ ਦੇ ਅਧਾਰ ਤੇ, Nios V ਪ੍ਰੋਸੈਸਰ ਨੂੰ Altera FPGA 'ਤੇ ਕਿਤੇ ਵੀ ਰੱਖ ਸਕਦੇ ਹੋ।


ਤੁਹਾਡੇ Altera® FPGA IP-ਅਧਾਰਿਤ ਏਮਬੈਡਡ ਸਿਸਟਮ ਨੂੰ ਇੱਕ ਡਿਸਕ੍ਰਿਟ ਮਾਈਕ੍ਰੋਪ੍ਰੋਸੈਸਰ-ਅਧਾਰਿਤ ਸਿਸਟਮ ਵਜੋਂ ਵਿਵਹਾਰ ਕਰਨ ਦੇ ਯੋਗ ਬਣਾਉਣ ਲਈ, ਤੁਹਾਡੇ ਸਿਸਟਮ ਵਿੱਚ ਹੇਠ ਲਿਖੇ ਸ਼ਾਮਲ ਹੋਣੇ ਚਾਹੀਦੇ ਹਨ: · AJTAG ਅਲਟੇਰਾ FPGA ਸੰਰਚਨਾ, ਹਾਰਡਵੇਅਰ ਅਤੇ ਸਾਫਟਵੇਅਰ ਦਾ ਸਮਰਥਨ ਕਰਨ ਲਈ ਇੰਟਰਫੇਸ
ਡੀਬੱਗਿੰਗ · ਇੱਕ ਪਾਵਰ-ਅੱਪ ਅਲਟੇਰਾ FPGA ਸੰਰਚਨਾ ਵਿਧੀ
ਜੇਕਰ ਤੁਹਾਡੇ ਸਿਸਟਮ ਵਿੱਚ ਇਹ ਸਮਰੱਥਾਵਾਂ ਹਨ, ਤਾਂ ਤੁਸੀਂ Altera FPGA ਵਿੱਚ ਲੋਡ ਕੀਤੇ ਗਏ ਪਹਿਲਾਂ ਤੋਂ ਟੈਸਟ ਕੀਤੇ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਤੋਂ ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਨੂੰ ਸੁਧਾਰਨਾ ਸ਼ੁਰੂ ਕਰ ਸਕਦੇ ਹੋ। Altera FPGA ਦੀ ਵਰਤੋਂ ਕਰਨ ਨਾਲ ਤੁਸੀਂ ਸਮੱਸਿਆਵਾਂ ਨੂੰ ਹੱਲ ਕਰਨ ਜਾਂ ਨਵੀਂ ਕਾਰਜਸ਼ੀਲਤਾ ਜੋੜਨ ਲਈ ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਨੂੰ ਤੇਜ਼ੀ ਨਾਲ ਸੋਧ ਸਕਦੇ ਹੋ। ਤੁਸੀਂ ਆਪਣੇ ਸਿਸਟਮ ਦੇ J ਦੀ ਵਰਤੋਂ ਕਰਕੇ Altera FPGA ਨੂੰ ਮੁੜ ਸੰਰਚਿਤ ਕਰਕੇ ਇਹਨਾਂ ਨਵੇਂ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨਾਂ ਦੀ ਆਸਾਨੀ ਨਾਲ ਜਾਂਚ ਕਰ ਸਕਦੇ ਹੋ।TAG ਇੰਟਰਫੇਸ.
ਜੇTAG ਇੰਟਰਫੇਸ ਹਾਰਡਵੇਅਰ ਅਤੇ ਸਾਫਟਵੇਅਰ ਵਿਕਾਸ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। ਤੁਸੀਂ J ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਹੇਠ ਲਿਖੇ ਕੰਮ ਕਰ ਸਕਦੇ ਹੋTAG ਇੰਟਰਫੇਸ: · Altera FPGA ਨੂੰ ਕੌਂਫਿਗਰ ਕਰੋ · ਸਾਫਟਵੇਅਰ ਡਾਊਨਲੋਡ ਅਤੇ ਡੀਬੱਗ ਕਰੋ · UART ਵਰਗੇ ਇੰਟਰਫੇਸ ਰਾਹੀਂ Altera FPGA ਨਾਲ ਸੰਚਾਰ ਕਰੋ (JTAG UART
ਟਰਮੀਨਲ) · ਡੀਬੱਗ ਹਾਰਡਵੇਅਰ (ਸਿਗਨਲ ਟੈਪ ਏਮਬੈਡਡ ਲਾਜਿਕ ਐਨਾਲਾਈਜ਼ਰ ਦੇ ਨਾਲ) · ਪ੍ਰੋਗਰਾਮ ਫਲੈਸ਼ ਮੈਮੋਰੀ
ਜਦੋਂ ਤੁਸੀਂ Altera FPGA ਨੂੰ Nios V ਪ੍ਰੋਸੈਸਰ-ਅਧਾਰਿਤ ਡਿਜ਼ਾਈਨ ਨਾਲ ਕੌਂਫਿਗਰ ਕਰਦੇ ਹੋ, ਤਾਂ ਸਾਫਟਵੇਅਰ ਵਿਕਾਸ ਪ੍ਰਵਾਹ ਡਿਸਕ੍ਰਿਟ ਮਾਈਕ੍ਰੋਕੰਟਰੋਲਰ ਡਿਜ਼ਾਈਨਾਂ ਦੇ ਪ੍ਰਵਾਹ ਦੇ ਸਮਾਨ ਹੁੰਦਾ ਹੈ।


ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ · AN 985: Nios V ਪ੍ਰੋਸੈਸਰ ਟਿਊਟੋਰਿਅਲ
ਇੱਕ ਸਧਾਰਨ Nios V ਪ੍ਰੋਸੈਸਰ ਸਿਸਟਮ ਬਣਾਉਣ ਅਤੇ ਹੈਲੋ ਵਰਲਡ ਐਪਲੀਕੇਸ਼ਨ ਚਲਾਉਣ ਬਾਰੇ ਇੱਕ ਤੇਜ਼ ਸ਼ੁਰੂਆਤੀ ਗਾਈਡ।
© ਅਲਟੇਰਾ ਕਾਰਪੋਰੇਸ਼ਨ। ਅਲਟੇਰਾ, ਅਲਟੇਰਾ ਲੋਗੋ, 'ਏ' ਲੋਗੋ, ਅਤੇ ਹੋਰ ਅਲਟੇਰਾ ਚਿੰਨ੍ਹ ਅਲਟੇਰਾ ਕਾਰਪੋਰੇਸ਼ਨ ਦੇ ਟ੍ਰੇਡਮਾਰਕ ਹਨ। ਅਲਟੇਰਾ ਬਿਨਾਂ ਕਿਸੇ ਨੋਟਿਸ ਦੇ ਕਿਸੇ ਵੀ ਸਮੇਂ ਕਿਸੇ ਵੀ ਉਤਪਾਦ ਅਤੇ ਸੇਵਾਵਾਂ ਵਿੱਚ ਬਦਲਾਅ ਕਰਨ ਦਾ ਅਧਿਕਾਰ ਰਾਖਵਾਂ ਰੱਖਦਾ ਹੈ। ਅਲਟੇਰਾ ਇੱਥੇ ਦੱਸੀ ਗਈ ਕਿਸੇ ਵੀ ਜਾਣਕਾਰੀ, ਉਤਪਾਦ ਜਾਂ ਸੇਵਾ ਦੀ ਵਰਤੋਂ ਜਾਂ ਵਰਤੋਂ ਤੋਂ ਪੈਦਾ ਹੋਣ ਵਾਲੀ ਕੋਈ ਜ਼ਿੰਮੇਵਾਰੀ ਜਾਂ ਦੇਣਦਾਰੀ ਨਹੀਂ ਲੈਂਦਾ ਸਿਵਾਏ ਇਸਦੇ ਕਿ ਅਲਟੇਰਾ ਦੁਆਰਾ ਲਿਖਤੀ ਰੂਪ ਵਿੱਚ ਸਪੱਸ਼ਟ ਤੌਰ 'ਤੇ ਸਹਿਮਤੀ ਦਿੱਤੀ ਗਈ ਹੋਵੇ। ਅਲਟੇਰਾ ਗਾਹਕਾਂ ਨੂੰ ਸਲਾਹ ਦਿੱਤੀ ਜਾਂਦੀ ਹੈ ਕਿ ਉਹ ਕਿਸੇ ਵੀ ਪ੍ਰਕਾਸ਼ਿਤ ਜਾਣਕਾਰੀ 'ਤੇ ਭਰੋਸਾ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ ਅਤੇ ਉਤਪਾਦਾਂ ਜਾਂ ਸੇਵਾਵਾਂ ਲਈ ਆਰਡਰ ਦੇਣ ਤੋਂ ਪਹਿਲਾਂ ਡਿਵਾਈਸ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦਾ ਨਵੀਨਤਮ ਸੰਸਕਰਣ ਪ੍ਰਾਪਤ ਕਰਨ। *ਹੋਰ ਨਾਵਾਂ ਅਤੇ ਬ੍ਰਾਂਡਾਂ ਨੂੰ ਦੂਜਿਆਂ ਦੀ ਜਾਇਦਾਦ ਵਜੋਂ ਦਾਅਵਾ ਕੀਤਾ ਜਾ ਸਕਦਾ ਹੈ।

1. Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ 726952 ਬਾਰੇ | 2025.07.16
· Nios V ਪ੍ਰੋਸੈਸਰ ਰੈਫਰੈਂਸ ਮੈਨੂਅਲ Nios V ਪ੍ਰੋਸੈਸਰ ਪ੍ਰਦਰਸ਼ਨ ਬੈਂਚਮਾਰਕ, ਪ੍ਰੋਸੈਸਰ ਆਰਕੀਟੈਕਚਰ, ਪ੍ਰੋਗਰਾਮਿੰਗ ਮਾਡਲ, ਅਤੇ ਕੋਰ ਲਾਗੂਕਰਨ ਬਾਰੇ ਜਾਣਕਾਰੀ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ।
· ਏਮਬੈਡਡ ਪੈਰੀਫਿਰਲ ਆਈਪੀ ਯੂਜ਼ਰ ਗਾਈਡ · ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਸਾਫਟਵੇਅਰ ਡਿਵੈਲਪਰ ਹੈਂਡਬੁੱਕ


Nios V ਪ੍ਰੋਸੈਸਰ ਸਾਫਟਵੇਅਰ ਵਿਕਾਸ ਵਾਤਾਵਰਣ, ਉਪਲਬਧ ਟੂਲਸ, ਅਤੇ Nios V ਪ੍ਰੋਸੈਸਰ 'ਤੇ ਚੱਲਣ ਲਈ ਸਾਫਟਵੇਅਰ ਬਣਾਉਣ ਦੀ ਪ੍ਰਕਿਰਿਆ ਦਾ ਵਰਣਨ ਕਰਦਾ ਹੈ। · Ashling* RiscFree* Altera FPGAs ਲਈ ਏਕੀਕ੍ਰਿਤ ਵਿਕਾਸ ਵਾਤਾਵਰਣ (IDE) ਯੂਜ਼ਰ ਗਾਈਡ Altera FPGAs Arm*-ਅਧਾਰਿਤ HPS ਅਤੇ Nios V ਕੋਰ ਪ੍ਰੋਸੈਸਰ ਲਈ RiscFree* ਏਕੀਕ੍ਰਿਤ ਵਿਕਾਸ ਵਾਤਾਵਰਣ (IDE) ਦਾ ਵਰਣਨ ਕਰਦਾ ਹੈ। · Nios V ਪ੍ਰੋਸੈਸਰ Altera FPGA IP ਰੀਲੀਜ਼ ਨੋਟਸ
1.2 Quartus® ਪ੍ਰਾਈਮ ਸਾਫਟਵੇਅਰ ਸਪੋਰਟ
Nios V ਪ੍ਰੋਸੈਸਰ ਬਿਲਡ ਫਲੋ Quartus® Prime Pro Edition ਸਾਫਟਵੇਅਰ ਅਤੇ Quartus Prime Standard Edition ਸਾਫਟਵੇਅਰ ਲਈ ਵੱਖਰਾ ਹੈ। ਅੰਤਰਾਂ ਬਾਰੇ ਵਧੇਰੇ ਜਾਣਕਾਰੀ ਲਈ AN 980: Nios V ਪ੍ਰੋਸੈਸਰ Quartus Prime ਸਾਫਟਵੇਅਰ ਸਪੋਰਟ ਵੇਖੋ।
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ AN 980: Nios V ਪ੍ਰੋਸੈਸਰ Quartus Prime ਸਾਫਟਵੇਅਰ ਸਪੋਰਟ
1.3. Nios V ਪ੍ਰੋਸੈਸਰ ਲਾਇਸੈਂਸਿੰਗ
ਹਰੇਕ Nios V ਪ੍ਰੋਸੈਸਰ ਵੇਰੀਐਂਟ ਦੀ ਆਪਣੀ ਲਾਇਸੈਂਸ ਕੁੰਜੀ ਹੁੰਦੀ ਹੈ। ਇੱਕ ਵਾਰ ਜਦੋਂ ਤੁਸੀਂ ਲਾਇਸੈਂਸ ਕੁੰਜੀ ਪ੍ਰਾਪਤ ਕਰ ਲੈਂਦੇ ਹੋ, ਤਾਂ ਤੁਸੀਂ ਮਿਆਦ ਪੁੱਗਣ ਦੀ ਮਿਤੀ ਤੱਕ ਸਾਰੇ Nios V ਪ੍ਰੋਸੈਸਰ ਪ੍ਰੋਜੈਕਟਾਂ ਲਈ ਇੱਕੋ ਲਾਇਸੈਂਸ ਕੁੰਜੀ ਦੀ ਵਰਤੋਂ ਕਰ ਸਕਦੇ ਹੋ। ਤੁਸੀਂ Nios V ਪ੍ਰੋਸੈਸਰ ਅਲਟੇਰਾ FPGA IP ਲਾਇਸੈਂਸ ਜ਼ੀਰੋ ਕੀਮਤ 'ਤੇ ਪ੍ਰਾਪਤ ਕਰ ਸਕਦੇ ਹੋ।
Nios V ਪ੍ਰੋਸੈਸਰ ਲਾਇਸੈਂਸ ਕੁੰਜੀ ਸੂਚੀ Altera FPGA ਸਵੈ-ਸੇਵਾ ਲਾਇਸੈਂਸਿੰਗ ਸੈਂਟਰ ਵਿੱਚ ਉਪਲਬਧ ਹੈ। ਮੁਲਾਂਕਣ ਲਈ ਸਾਈਨ ਅੱਪ ਜਾਂ ਮੁਫ਼ਤ ਲਾਇਸੈਂਸ ਟੈਬ 'ਤੇ ਕਲਿੱਕ ਕਰੋ, ਅਤੇ ਬੇਨਤੀ ਕਰਨ ਲਈ ਸੰਬੰਧਿਤ ਵਿਕਲਪਾਂ ਦੀ ਚੋਣ ਕਰੋ।
ਚਿੱਤਰ 1. ਅਲਟੇਰਾ ਐਫਪੀਜੀਏ ਸਵੈ-ਸੇਵਾ ਲਾਇਸੈਂਸਿੰਗ ਕੇਂਦਰ

ਲਾਇਸੈਂਸ ਕੁੰਜੀਆਂ ਨਾਲ, ਤੁਸੀਂ ਇਹ ਕਰ ਸਕਦੇ ਹੋ:
ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 7

1. Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ 726952 ਬਾਰੇ | 2025.07.16
· ਆਪਣੇ ਸਿਸਟਮ ਦੇ ਅੰਦਰ ਇੱਕ Nios V ਪ੍ਰੋਸੈਸਰ ਲਾਗੂ ਕਰੋ। · Nios V ਪ੍ਰੋਸੈਸਰ ਸਿਸਟਮ ਦੇ ਵਿਵਹਾਰ ਦੀ ਨਕਲ ਕਰੋ। · ਡਿਜ਼ਾਈਨ ਦੀ ਕਾਰਜਸ਼ੀਲਤਾ ਦੀ ਪੁਸ਼ਟੀ ਕਰੋ, ਜਿਵੇਂ ਕਿ ਆਕਾਰ ਅਤੇ ਗਤੀ। · ਡਿਵਾਈਸ ਪ੍ਰੋਗਰਾਮਿੰਗ ਤਿਆਰ ਕਰੋ file· ਇੱਕ ਡਿਵਾਈਸ ਨੂੰ ਪ੍ਰੋਗਰਾਮ ਕਰਨਾ ਅਤੇ ਹਾਰਡਵੇਅਰ ਵਿੱਚ ਡਿਜ਼ਾਈਨ ਦੀ ਪੁਸ਼ਟੀ ਕਰਨਾ।
ਤੁਹਾਨੂੰ Altera FPGAs ਲਈ Ashling* RiscFree* IDE ਵਿੱਚ ਸਾਫਟਵੇਅਰ ਵਿਕਸਤ ਕਰਨ ਲਈ ਲਾਇਸੈਂਸ ਦੀ ਲੋੜ ਨਹੀਂ ਹੈ।
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ · ਅਲਟੇਰਾ ਐਫਪੀਜੀਏ ਸਵੈ-ਸੇਵਾ ਲਾਇਸੈਂਸਿੰਗ ਕੇਂਦਰ
Nios V ਪ੍ਰੋਸੈਸਰ Altera FPGA IP ਲਾਇਸੈਂਸ ਕੁੰਜੀਆਂ ਪ੍ਰਾਪਤ ਕਰਨ ਬਾਰੇ ਵਧੇਰੇ ਜਾਣਕਾਰੀ ਲਈ। · Altera FPGA ਸਾਫਟਵੇਅਰ ਸਥਾਪਨਾ ਅਤੇ ਲਾਇਸੈਂਸਿੰਗ Altera FPGA ਸਾਫਟਵੇਅਰ ਨੂੰ ਲਾਇਸੈਂਸ ਦੇਣ ਅਤੇ ਇੱਕ ਸਥਿਰ ਲਾਇਸੈਂਸ ਅਤੇ ਨੈੱਟਵਰਕ ਲਾਇਸੈਂਸ ਸਰਵਰ ਸਥਾਪਤ ਕਰਨ ਬਾਰੇ ਵਧੇਰੇ ਜਾਣਕਾਰੀ ਲਈ।
1.4. ਏਮਬੈਡਡ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ
ਹੇਠਾਂ ਦਿੱਤਾ ਚਿੱਤਰ ਇੱਕ ਸਰਲ Nios V ਪ੍ਰੋਸੈਸਰ ਅਧਾਰਤ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਪ੍ਰਵਾਹ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ, ਜਿਸ ਵਿੱਚ ਹਾਰਡਵੇਅਰ ਅਤੇ ਸਾਫਟਵੇਅਰ ਵਿਕਾਸ ਦੋਵੇਂ ਸ਼ਾਮਲ ਹਨ।

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 8

ਫੀਡਬੈਕ ਭੇਜੋ

1. Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ 726952 ਬਾਰੇ | 2025.07.16

ਚਿੱਤਰ 2.

Nios V ਪ੍ਰੋਸੈਸਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਫਲੋ
ਸਿਸਟਮ ਸੰਕਲਪ

ਸਿਸਟਮ ਜ਼ਰੂਰਤਾਂ ਦਾ ਵਿਸ਼ਲੇਸ਼ਣ ਕਰੋ

ਨਿਓਸ® ਵੀ
ਪ੍ਰੋਸੈਸਰ ਕੋਰ ਅਤੇ ਸਟੈਂਡਰਡ ਕੰਪੋਨੈਂਟਸ

ਵਿੱਚ ਸਿਸਟਮ ਨੂੰ ਪਰਿਭਾਸ਼ਿਤ ਕਰੋ ਅਤੇ ਤਿਆਰ ਕਰੋ
ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ

ਹਾਰਡਵੇਅਰ ਫਲੋ: ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋਜੈਕਟ ਨੂੰ ਏਕੀਕ੍ਰਿਤ ਅਤੇ ਕੰਪਾਇਲ ਕਰੋ

ਸਾਫਟਵੇਅਰ ਫਲੋ: Nios V ਪ੍ਰਸਤਾਵ ਸਾਫਟਵੇਅਰ ਵਿਕਸਤ ਅਤੇ ਨਿਰਮਾਣ ਕਰੋ

ਹਾਰਡਵੇਅਰ ਫਲੋ: FPGA ਡਿਜ਼ਾਈਨ ਡਾਊਨਲੋਡ ਕਰੋ
ਟਾਰਗੇਟ ਬੋਰਡ ਨੂੰ

ਸਾਫਟਵੇਅਰ ਪ੍ਰਵਾਹ: Nios V ਪ੍ਰੋਸੈਸਰ ਸਾਫਟਵੇਅਰ ਦੀ ਜਾਂਚ ਅਤੇ ਡੀਬੱਗ ਕਰੋ

ਕੀ ਸਾਫਟਵੇਅਰ ਕਿਸੇ ਵੀ ਵਿਸ਼ੇਸ਼ਤਾ ਨੂੰ ਪੂਰਾ ਨਹੀਂ ਕਰਦਾ?
ਹਾਂ
ਹਾਰਡਵੇਅਰ ਨਹੀਂ Meets Spec? ਹਾਂ
ਸਿਸਟਮ ਪੂਰਾ ਹੋਇਆ

ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 9

726952 | 2025.07.16 ਫੀਡਬੈਕ ਭੇਜੋ

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਨਾਲ

ਚਿੱਤਰ 3.

ਹੇਠ ਦਿੱਤਾ ਚਿੱਤਰ ਇੱਕ ਆਮ Nios V ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ। Nios V ਪ੍ਰੋਸੈਸਰ ਸਿਸਟਮ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਫਲੋ

ਸ਼ੁਰੂ ਕਰੋ

Nios V ਕੋਰ ਅਤੇ ਸਟੈਂਡਰਡ ਕੰਪੋਨੈਂਟਸ

Nios V ਅਧਾਰਤ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕਰਨ ਲਈ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਦੀ ਵਰਤੋਂ ਕਰੋ
ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰੋ

ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਸਿਸਟਮ ਨੂੰ ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋਜੈਕਟ ਨਾਲ ਜੋੜੋ
ਪਿੰਨ ਸਥਾਨ, ਸਮੇਂ ਦੀਆਂ ਜ਼ਰੂਰਤਾਂ, ਅਤੇ ਹੋਰ ਡਿਜ਼ਾਈਨ ਪਾਬੰਦੀਆਂ ਨਿਰਧਾਰਤ ਕਰੋ
ਇੰਟੇਲ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਵਿੱਚ ਟਾਰਗੇਟ ਡਿਵਾਈਸ ਲਈ ਹਾਰਡਵੇਅਰ ਕੰਪਾਇਲ ਕਰੋ

ਡਾਊਨਲੋਡ ਕਰਨ ਲਈ ਤਿਆਰ
2.1. ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਨਾਲ Nios V ਪ੍ਰੋਸੈਸਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਬਣਾਉਣਾ
ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਵਿੱਚ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਸਿਸਟਮ ਏਕੀਕਰਣ ਟੂਲ ਸ਼ਾਮਲ ਹੈ ਜੋ Nios V ਪ੍ਰੋਸੈਸਰ IP ਕੋਰ ਅਤੇ ਹੋਰ IPs ਨੂੰ ਇੱਕ Altera FPGA ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਪਰਿਭਾਸ਼ਿਤ ਕਰਨ ਅਤੇ ਏਕੀਕ੍ਰਿਤ ਕਰਨ ਦੇ ਕੰਮ ਨੂੰ ਸਰਲ ਬਣਾਉਂਦਾ ਹੈ। ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਆਪਣੇ ਆਪ ਨਿਰਧਾਰਤ ਉੱਚ-ਪੱਧਰੀ ਕਨੈਕਟੀਵਿਟੀ ਤੋਂ ਇੰਟਰਕਨੈਕਟ ਲਾਜਿਕ ਬਣਾਉਂਦਾ ਹੈ। ਇੰਟਰਕਨੈਕਟ ਆਟੋਮੇਸ਼ਨ ਸਿਸਟਮ-ਪੱਧਰ ਦੇ HDL ਕਨੈਕਸ਼ਨਾਂ ਨੂੰ ਨਿਰਧਾਰਤ ਕਰਨ ਦੇ ਸਮੇਂ-ਖਪਤ ਵਾਲੇ ਕੰਮ ਨੂੰ ਖਤਮ ਕਰਦਾ ਹੈ।
© ਅਲਟੇਰਾ ਕਾਰਪੋਰੇਸ਼ਨ। ਅਲਟੇਰਾ, ਅਲਟੇਰਾ ਲੋਗੋ, 'ਏ' ਲੋਗੋ, ਅਤੇ ਹੋਰ ਅਲਟੇਰਾ ਚਿੰਨ੍ਹ ਅਲਟੇਰਾ ਕਾਰਪੋਰੇਸ਼ਨ ਦੇ ਟ੍ਰੇਡਮਾਰਕ ਹਨ। ਅਲਟੇਰਾ ਬਿਨਾਂ ਕਿਸੇ ਨੋਟਿਸ ਦੇ ਕਿਸੇ ਵੀ ਸਮੇਂ ਕਿਸੇ ਵੀ ਉਤਪਾਦ ਅਤੇ ਸੇਵਾਵਾਂ ਵਿੱਚ ਬਦਲਾਅ ਕਰਨ ਦਾ ਅਧਿਕਾਰ ਰਾਖਵਾਂ ਰੱਖਦਾ ਹੈ। ਅਲਟੇਰਾ ਇੱਥੇ ਦੱਸੀ ਗਈ ਕਿਸੇ ਵੀ ਜਾਣਕਾਰੀ, ਉਤਪਾਦ ਜਾਂ ਸੇਵਾ ਦੀ ਵਰਤੋਂ ਜਾਂ ਵਰਤੋਂ ਤੋਂ ਪੈਦਾ ਹੋਣ ਵਾਲੀ ਕੋਈ ਜ਼ਿੰਮੇਵਾਰੀ ਜਾਂ ਦੇਣਦਾਰੀ ਨਹੀਂ ਲੈਂਦਾ ਸਿਵਾਏ ਇਸਦੇ ਕਿ ਅਲਟੇਰਾ ਦੁਆਰਾ ਲਿਖਤੀ ਰੂਪ ਵਿੱਚ ਸਪੱਸ਼ਟ ਤੌਰ 'ਤੇ ਸਹਿਮਤੀ ਦਿੱਤੀ ਗਈ ਹੋਵੇ। ਅਲਟੇਰਾ ਗਾਹਕਾਂ ਨੂੰ ਸਲਾਹ ਦਿੱਤੀ ਜਾਂਦੀ ਹੈ ਕਿ ਉਹ ਕਿਸੇ ਵੀ ਪ੍ਰਕਾਸ਼ਿਤ ਜਾਣਕਾਰੀ 'ਤੇ ਭਰੋਸਾ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ ਅਤੇ ਉਤਪਾਦਾਂ ਜਾਂ ਸੇਵਾਵਾਂ ਲਈ ਆਰਡਰ ਦੇਣ ਤੋਂ ਪਹਿਲਾਂ ਡਿਵਾਈਸ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦਾ ਨਵੀਨਤਮ ਸੰਸਕਰਣ ਪ੍ਰਾਪਤ ਕਰਨ। *ਹੋਰ ਨਾਵਾਂ ਅਤੇ ਬ੍ਰਾਂਡਾਂ ਨੂੰ ਦੂਜਿਆਂ ਦੀ ਜਾਇਦਾਦ ਵਜੋਂ ਦਾਅਵਾ ਕੀਤਾ ਜਾ ਸਕਦਾ ਹੈ।

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਨਾਲ
726952 | 2025.07.16 ਹੈ

ਸਿਸਟਮ ਹਾਰਡਵੇਅਰ ਲੋੜਾਂ ਦਾ ਵਿਸ਼ਲੇਸ਼ਣ ਕਰਨ ਤੋਂ ਬਾਅਦ, ਤੁਸੀਂ Nios V ਪ੍ਰੋਸੈਸਰ ਕੋਰ, ਮੈਮੋਰੀ, ਅਤੇ ਤੁਹਾਡੇ ਸਿਸਟਮ ਲਈ ਲੋੜੀਂਦੇ ਹੋਰ ਹਿੱਸਿਆਂ ਨੂੰ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ Quartus Prime ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋ। ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਵਿੱਚ ਹਿੱਸਿਆਂ ਨੂੰ ਏਕੀਕ੍ਰਿਤ ਕਰਨ ਲਈ ਆਪਣੇ ਆਪ ਇੰਟਰਕਨੈਕਟ ਤਰਕ ਤਿਆਰ ਕਰਦਾ ਹੈ।

2.1.1. Nios V ਪ੍ਰੋਸੈਸਰ Altera FPGA IP ਨੂੰ ਸਥਾਪਿਤ ਕਰਨਾ

ਤੁਸੀਂ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਆਈਪੀ ਕੈਟਾਲਾਗ ਪ੍ਰੋਸੈਸਰ ਅਤੇ ਪੈਰੀਫਿਰਲ ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਵਿੱਚ ਕਿਸੇ ਵੀ ਪ੍ਰੋਸੈਸਰ ਆਈਪੀ ਕੋਰ ਨੂੰ ਇੰਸਟੈਂਟੀਏਟ ਕਰ ਸਕਦੇ ਹੋ।

ਹਰੇਕ ਪ੍ਰੋਸੈਸਰ ਦਾ IP ਕੋਰ ਇਸਦੇ ਵਿਲੱਖਣ ਆਰਕੀਟੈਕਚਰ ਦੇ ਅਧਾਰ ਤੇ ਵੱਖ-ਵੱਖ ਸੰਰਚਨਾ ਵਿਕਲਪਾਂ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। ਤੁਸੀਂ ਇਹਨਾਂ ਸੰਰਚਨਾਵਾਂ ਨੂੰ ਆਪਣੀਆਂ ਡਿਜ਼ਾਈਨ ਜ਼ਰੂਰਤਾਂ ਦੇ ਅਨੁਕੂਲ ਬਣਾਉਣ ਲਈ ਪਰਿਭਾਸ਼ਿਤ ਕਰ ਸਕਦੇ ਹੋ।

ਸਾਰਣੀ 1.

ਕੋਰ ਵੇਰੀਐਂਟਸ ਵਿੱਚ ਸੰਰਚਨਾ ਵਿਕਲਪ

ਸੰਰਚਨਾ ਵਿਕਲਪ

ਨਿਓਸ ਵੀ/ਸੀ ਪ੍ਰੋਸੈਸਰ

ਨਿਓਸ V/m ਪ੍ਰੋਸੈਸਰ

ਡੀਬੱਗ ਵਰਤੋਂ ਰੀਸੈਟ ਬੇਨਤੀ

ਜਾਲ, ਅਪਵਾਦ, ਅਤੇ ਰੁਕਾਵਟਾਂ

CPU ਆਰਕੀਟੈਕਚਰ

ਈ.ਸੀ.ਸੀ

ਕੈਸ਼, ਪੈਰੀਫਿਰਲ ਖੇਤਰ ਅਤੇ ਟੀਸੀਐਮ

ਕਸਟਮ ਹਦਾਇਤਾਂ

ਲਾਕਸਟੈਪ

ਨਿਓਸ ਵੀ/ਜੀ ਪ੍ਰੋਸੈਸਰ

2.1.1.1. Nios V/c ਕੰਪੈਕਟ ਮਾਈਕ੍ਰੋਕੰਟਰੋਲਰ Altera FPGA IP ਨੂੰ ਸਥਾਪਿਤ ਕਰਨਾ ਚਿੱਤਰ 4. Nios V/c ਕੰਪੈਕਟ ਮਾਈਕ੍ਰੋਕੰਟਰੋਲਰ Altera FPGA IP

ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 11

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਨਾਲ
726952 | 2025.07.16 ਹੈ

2.1.1.1.1. CPU ਆਰਕੀਟੈਕਚਰ ਟੈਬ

ਸਾਰਣੀ 2.

CPU ਆਰਕੀਟੈਕਚਰ ਟੈਬ

ਵਿਸ਼ੇਸ਼ਤਾ

ਵਰਣਨ

Avalon® ਇੰਟਰਫੇਸ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ ਹਦਾਇਤ ਮੈਨੇਜਰ ਅਤੇ ਡਾਟਾ ਮੈਨੇਜਰ ਲਈ Avalon ਇੰਟਰਫੇਸ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਂਦਾ ਹੈ। ਜੇਕਰ ਅਯੋਗ ਹੈ, ਤਾਂ ਸਿਸਟਮ AXI4-Lite ਇੰਟਰਫੇਸ ਦੀ ਵਰਤੋਂ ਕਰਦਾ ਹੈ।

mhartid CSR ਮੁੱਲ

· ਗਲਤ IP ਵਿਕਲਪ। · Nios V/c ਪ੍ਰੋਸੈਸਰ ਵਿੱਚ mhartid CSR ਮੁੱਲ ਦੀ ਵਰਤੋਂ ਨਾ ਕਰੋ।

2.1.1.1.2. ਰੀਸੈਟ ਬੇਨਤੀ ਟੈਬ ਦੀ ਵਰਤੋਂ ਕਰੋ

ਸਾਰਣੀ 3.

ਰੀਸੈਟ ਬੇਨਤੀ ਟੈਬ ਪੈਰਾਮੀਟਰ ਦੀ ਵਰਤੋਂ ਕਰੋ

ਰੀਸੈਟ ਬੇਨਤੀ ਟੈਬ ਦੀ ਵਰਤੋਂ ਕਰੋ

ਵਰਣਨ

ਰੀਸੈਟ ਬੇਨਤੀ ਇੰਟਰਫੇਸ ਸ਼ਾਮਲ ਕਰੋ

· ਇਸ ਵਿਕਲਪ ਨੂੰ ਸਥਾਨਕ ਰੀਸੈਟ ਪੋਰਟਾਂ ਨੂੰ ਐਕਸਪੋਜ਼ ਕਰਨ ਲਈ ਸਮਰੱਥ ਬਣਾਓ ਜਿੱਥੇ ਇੱਕ ਸਥਾਨਕ ਮਾਸਟਰ ਇਸਦੀ ਵਰਤੋਂ Nios V ਪ੍ਰੋਸੈਸਰ ਸਿਸਟਮ ਵਿੱਚ ਦੂਜੇ ਹਿੱਸਿਆਂ ਨੂੰ ਪ੍ਰਭਾਵਿਤ ਕੀਤੇ ਬਿਨਾਂ Nios V ਪ੍ਰੋਸੈਸਰ ਨੂੰ ਰੀਸੈਟ ਕਰਨ ਲਈ ਟਰਿੱਗਰ ਕਰਨ ਲਈ ਕਰ ਸਕਦਾ ਹੈ।
· ਰੀਸੈਟ ਇੰਟਰਫੇਸ ਵਿੱਚ ਇੱਕ ਇਨਪੁਟ ਰੀਸੈਟਰੈਕ ਸਿਗਨਲ ਅਤੇ ਇੱਕ ਆਉਟਪੁੱਟ ਐਕ ਸਿਗਨਲ ਹੁੰਦਾ ਹੈ।
· ਤੁਸੀਂ resetreq ਸਿਗਨਲ ਨੂੰ ਜ਼ੋਰ ਦੇ ਕੇ Nios V ਪ੍ਰੋਸੈਸਰ ਕੋਰ ਨੂੰ ਰੀਸੈਟ ਕਰਨ ਦੀ ਬੇਨਤੀ ਕਰ ਸਕਦੇ ਹੋ।
· ਰੀਸੈਟਰੈਕ ਸਿਗਨਲ ਉਦੋਂ ਤੱਕ ਪੱਕਾ ਰਹਿਣਾ ਚਾਹੀਦਾ ਹੈ ਜਦੋਂ ਤੱਕ ਪ੍ਰੋਸੈਸਰ ਐਕ ਸਿਗਨਲ ਨਹੀਂ ਦੱਸਦਾ। ਸਿਗਨਲ ਨੂੰ ਪੱਕਾ ਨਾ ਰਹਿਣ ਵਿੱਚ ਅਸਫਲ ਰਹਿਣ ਨਾਲ ਪ੍ਰੋਸੈਸਰ ਇੱਕ ਗੈਰ-ਨਿਰਧਾਰਨਵਾਦੀ ਸਥਿਤੀ ਵਿੱਚ ਹੋ ਸਕਦਾ ਹੈ।
· Nios V ਪ੍ਰੋਸੈਸਰ ack ਸਿਗਨਲ ਦਾ ਦਾਅਵਾ ਕਰਕੇ ਜਵਾਬ ਦਿੰਦਾ ਹੈ ਕਿ ਰੀਸੈਟ ਸਫਲ ਹੋ ਗਿਆ ਹੈ।
· ਪ੍ਰੋਸੈਸਰ ਦੇ ਸਫਲਤਾਪੂਰਵਕ ਰੀਸੈਟ ਹੋਣ ਤੋਂ ਬਾਅਦ, ack ਸਿਗਨਲ ਦਾ ਦਾਅਵਾ ਕਈ ਵਾਰ ਸਮੇਂ-ਸਮੇਂ 'ਤੇ ਹੋ ਸਕਦਾ ਹੈ ਜਦੋਂ ਤੱਕ ਕਿ ਰੀਸੈਟਰੇਕ ਸਿਗਨਲ ਦਾ ਡੀ-ਐਸਰਸ਼ਨ ਨਹੀਂ ਹੋ ਜਾਂਦਾ।

2.1.1.1.3. ਟ੍ਰੈਪ, ਅਪਵਾਦ, ਅਤੇ ਇੰਟਰੱਪਟ ਟੈਬ

ਸਾਰਣੀ 4.

ਟ੍ਰੈਪ, ਅਪਵਾਦ, ਅਤੇ ਇੰਟਰੱਪਟ ਟੈਬ ਪੈਰਾਮੀਟਰ

ਜਾਲ, ਅਪਵਾਦ, ਅਤੇ ਰੁਕਾਵਟਾਂ

ਵਰਣਨ

ਰੀਸੈਟ ਏਜੰਟ

· ਰੀਸੈਟ ਵੈਕਟਰ (Nios V ਪ੍ਰੋਸੈਸਰ ਰੀਸੈਟ ਪਤਾ) ਨੂੰ ਹੋਸਟ ਕਰਨ ਵਾਲੀ ਮੈਮੋਰੀ ਜਿੱਥੇ ਰੀਸੈਟ ਕੋਡ ਰਹਿੰਦਾ ਹੈ।
· ਤੁਸੀਂ ਰੀਸੈਟ ਏਜੰਟ ਦੇ ਤੌਰ 'ਤੇ Nios V ਪ੍ਰੋਸੈਸਰ ਇੰਸਟ੍ਰਕਸ਼ਨ ਮਾਸਟਰ ਨਾਲ ਜੁੜੇ ਅਤੇ Nios V ਪ੍ਰੋਸੈਸਰ ਬੂਟ ਫਲੋ ਦੁਆਰਾ ਸਮਰਥਿਤ ਕਿਸੇ ਵੀ ਮੈਮੋਰੀ ਮੋਡੀਊਲ ਦੀ ਚੋਣ ਕਰ ਸਕਦੇ ਹੋ।

ਆਫਸੈੱਟ ਰੀਸੈਟ ਕਰੋ

· ਚੁਣੇ ਹੋਏ ਰੀਸੈਟ ਏਜੰਟ ਦੇ ਬੇਸ ਐਡਰੈੱਸ ਦੇ ਅਨੁਸਾਰ ਰੀਸੈਟ ਵੈਕਟਰ ਦੇ ਆਫਸੈੱਟ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ। · ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਆਪਣੇ ਆਪ ਰੀਸੈਟ ਆਫਸੈੱਟ ਲਈ ਇੱਕ ਡਿਫੌਲਟ ਮੁੱਲ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ।

ਨੋਟ:

ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਇੱਕ ਐਬਸੋਲਿਉਟ ਵਿਕਲਪ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ, ਜੋ ਤੁਹਾਨੂੰ ਰੀਸੈਟ ਆਫਸੈੱਟ ਵਿੱਚ ਇੱਕ ਐਬਸੋਲਿਉਟ ਪਤਾ ਨਿਰਧਾਰਤ ਕਰਨ ਦੀ ਆਗਿਆ ਦਿੰਦਾ ਹੈ। ਇਸ ਵਿਕਲਪ ਦੀ ਵਰਤੋਂ ਉਦੋਂ ਕਰੋ ਜਦੋਂ ਰੀਸੈਟ ਵੈਕਟਰ ਨੂੰ ਸਟੋਰ ਕਰਨ ਵਾਲੀ ਮੈਮੋਰੀ ਪ੍ਰੋਸੈਸਰ ਸਿਸਟਮ ਅਤੇ ਸਬਸਿਸਟਮਾਂ ਦੇ ਬਾਹਰ ਸਥਿਤ ਹੋਵੇ।

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 12

ਫੀਡਬੈਕ ਭੇਜੋ

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਨਾਲ
726952 | 2025.07.16 ਹੈ

2.1.1.1.4. ECC ਟੈਬ

ਸਾਰਣੀ 5.

ECC ਟੈਬ

ਈ.ਸੀ.ਸੀ

ਗਲਤੀ ਖੋਜ ਅਤੇ ਸਥਿਤੀ ਰਿਪੋਰਟਿੰਗ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ

ਵਰਣਨ
· Nios V ਪ੍ਰੋਸੈਸਰ ਦੇ ਅੰਦਰੂਨੀ RAM ਬਲਾਕਾਂ ਲਈ ECC ਵਿਸ਼ੇਸ਼ਤਾ ਲਾਗੂ ਕਰਨ ਲਈ ਇਸ ਵਿਕਲਪ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ। · ECC ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ 2-ਬਿੱਟ ਤੱਕ ਦੀਆਂ ਗਲਤੀਆਂ ਦਾ ਪਤਾ ਲਗਾਉਂਦੀਆਂ ਹਨ ਅਤੇ ਹੇਠ ਦਿੱਤੇ ਵਿਵਹਾਰ ਦੇ ਅਧਾਰ ਤੇ ਪ੍ਰਤੀਕਿਰਿਆ ਕਰਦੀਆਂ ਹਨ:
— ਜੇਕਰ ਇਹ ਇੱਕ ਸੁਧਾਰਯੋਗ ਗਲਤੀ 1-ਬਿੱਟ ਹੈ, ਤਾਂ ਪ੍ਰੋਸੈਸਰ ਪਾਈਪਲਾਈਨ ਵਿੱਚ ਗਲਤੀ ਨੂੰ ਠੀਕ ਕਰਨ ਤੋਂ ਬਾਅਦ ਵੀ ਕੰਮ ਕਰਨਾ ਜਾਰੀ ਰੱਖਦਾ ਹੈ। ਹਾਲਾਂਕਿ, ਸੁਧਾਰ ਸਰੋਤ ਯਾਦਾਂ ਵਿੱਚ ਪ੍ਰਤੀਬਿੰਬਤ ਨਹੀਂ ਹੁੰਦਾ।
— ਜੇਕਰ ਗਲਤੀ ਠੀਕ ਨਹੀਂ ਕੀਤੀ ਜਾ ਸਕਦੀ, ਤਾਂ ਪ੍ਰੋਸੈਸਰ ਪ੍ਰੋਸੈਸਰ ਪਾਈਪਲਾਈਨ ਅਤੇ ਸਰੋਤ ਯਾਦਾਂ ਵਿੱਚ ਇਸਨੂੰ ਠੀਕ ਕੀਤੇ ਬਿਨਾਂ ਕੰਮ ਕਰਨਾ ਜਾਰੀ ਰੱਖਦਾ ਹੈ, ਜਿਸ ਕਾਰਨ ਪ੍ਰੋਸੈਸਰ ਇੱਕ ਗੈਰ-ਨਿਰਧਾਰਤ ਸਥਿਤੀ ਵਿੱਚ ਦਾਖਲ ਹੋ ਸਕਦਾ ਹੈ।

2.1.1.2. Nios V/m ਮਾਈਕ੍ਰੋਕੰਟਰੋਲਰ Altera FPGA IP ਨੂੰ ਸਥਾਪਿਤ ਕਰਨਾ ਚਿੱਤਰ 5. Nios V/m ਮਾਈਕ੍ਰੋਕੰਟਰੋਲਰ Altera FPGA IP

ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 13

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਨਾਲ
726952 | 2025.07.16 ਹੈ

2.1.1.2.1. ਡੀਬੱਗ ਟੈਬ

ਸਾਰਣੀ 6.

ਡੀਬੱਗ ਟੈਬ ਪੈਰਾਮੀਟਰ

ਡੀਬੱਗ ਟੈਬ

ਵਰਣਨ

ਡੀਬੱਗ ਚਾਲੂ ਕਰੋ
ਡੀਬੱਗ ਮੋਡੀਊਲ ਤੋਂ ਰੀਸੈਟ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ

· J ਜੋੜਨ ਲਈ ਇਸ ਵਿਕਲਪ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓTAG Nios V ਪ੍ਰੋਸੈਸਰ ਨਾਲ ਟਾਰਗੇਟ ਕਨੈਕਸ਼ਨ ਮੋਡੀਊਲ। · JTAG ਟਾਰਗੇਟ ਕਨੈਕਸ਼ਨ ਮੋਡੀਊਲ Nios V ਪ੍ਰੋਸੈਸਰ ਨਾਲ ਜੁੜਨ ਦੀ ਆਗਿਆ ਦਿੰਦਾ ਹੈ
JTAG FPGA ਦੇ ਇੰਟਰਫੇਸ ਪਿੰਨ। · ਇਹ ਕਨੈਕਸ਼ਨ ਹੇਠ ਲਿਖੀਆਂ ਮੁੱਢਲੀਆਂ ਸਮਰੱਥਾਵਾਂ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ:
— Nios V ਪ੍ਰੋਸੈਸਰ ਸ਼ੁਰੂ ਕਰੋ ਅਤੇ ਬੰਦ ਕਰੋ — ਰਜਿਸਟਰਾਂ ਅਤੇ ਮੈਮੋਰੀ ਦੀ ਜਾਂਚ ਕਰੋ ਅਤੇ ਸੰਪਾਦਿਤ ਕਰੋ। — Nios V ਐਪਲੀਕੇਸ਼ਨ .elf ਡਾਊਨਲੋਡ ਕਰੋ file ਰਨਟਾਈਮ 'ਤੇ ਪ੍ਰੋਸੈਸਰ ਮੈਮੋਰੀ ਨੂੰ
niosv-download. — Nios V ਪ੍ਰੋਸੈਸਰ 'ਤੇ ਚੱਲ ਰਹੀ ਐਪਲੀਕੇਸ਼ਨ ਨੂੰ ਡੀਬੱਗ ਕਰੋ · dm_agent ਪੋਰਟ ਨੂੰ ਪ੍ਰੋਸੈਸਰ ਹਦਾਇਤਾਂ ਅਤੇ ਡਾਟਾ ਬੱਸ ਨਾਲ ਕਨੈਕਟ ਕਰੋ। ਯਕੀਨੀ ਬਣਾਓ ਕਿ ਦੋਵਾਂ ਬੱਸਾਂ ਵਿਚਕਾਰ ਅਧਾਰ ਪਤਾ ਇੱਕੋ ਜਿਹਾ ਹੈ।
· dbg_reset_out ਅਤੇ ndm_reset_in ਪੋਰਟਾਂ ਨੂੰ ਐਕਸਪੋਜ਼ ਕਰਨ ਲਈ ਇਸ ਵਿਕਲਪ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ। · JTAG ਡੀਬੱਗਰ ਜਾਂ niosv-download -r ਕਮਾਂਡ dbg_reset_out ਨੂੰ ਚਾਲੂ ਕਰਦੀ ਹੈ, ਜੋ ਕਿ
Nios V ਪ੍ਰੋਸੈਸਰ ਨੂੰ ਇਸ ਪੋਰਟ ਨਾਲ ਜੁੜੇ ਸਿਸਟਮ ਪੈਰੀਫਿਰਲਾਂ ਨੂੰ ਰੀਸੈਟ ਕਰਨ ਦੀ ਆਗਿਆ ਦਿੰਦਾ ਹੈ। · ਤੁਹਾਨੂੰ dbg_reset_out ਇੰਟਰਫੇਸ ਨੂੰ ਰੀਸੈਟ ਕਰਨ ਦੀ ਬਜਾਏ ndm_reset_in ਨਾਲ ਕਨੈਕਟ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ।
ਪ੍ਰੋਸੈਸਰ ਕੋਰ ਅਤੇ ਟਾਈਮਰ ਮੋਡੀਊਲ ਨੂੰ ਰੀਸੈਟ ਕਰਨ ਲਈ ਇੰਟਰਫੇਸ। ਤੁਹਾਨੂੰ ਅਨਿਸ਼ਚਿਤ ਵਿਵਹਾਰ ਨੂੰ ਰੋਕਣ ਲਈ ਇੰਟਰਫੇਸ ਨੂੰ ਰੀਸੈਟ ਕਰਨ ਲਈ dbg_reset_out ਇੰਟਰਫੇਸ ਨੂੰ ਕਨੈਕਟ ਨਹੀਂ ਕਰਨਾ ਚਾਹੀਦਾ।

2.1.1.2.2. ਰੀਸੈਟ ਬੇਨਤੀ ਟੈਬ ਦੀ ਵਰਤੋਂ ਕਰੋ

ਸਾਰਣੀ 7.

ਰੀਸੈਟ ਬੇਨਤੀ ਟੈਬ ਪੈਰਾਮੀਟਰ ਦੀ ਵਰਤੋਂ ਕਰੋ

ਰੀਸੈਟ ਬੇਨਤੀ ਟੈਬ ਦੀ ਵਰਤੋਂ ਕਰੋ

ਵਰਣਨ

ਰੀਸੈਟ ਬੇਨਤੀ ਇੰਟਰਫੇਸ ਸ਼ਾਮਲ ਕਰੋ

· ਇਸ ਵਿਕਲਪ ਨੂੰ ਸਥਾਨਕ ਰੀਸੈਟ ਪੋਰਟਾਂ ਨੂੰ ਐਕਸਪੋਜ਼ ਕਰਨ ਲਈ ਸਮਰੱਥ ਬਣਾਓ ਜਿੱਥੇ ਇੱਕ ਸਥਾਨਕ ਮਾਸਟਰ ਇਸਦੀ ਵਰਤੋਂ Nios V ਪ੍ਰੋਸੈਸਰ ਸਿਸਟਮ ਵਿੱਚ ਦੂਜੇ ਹਿੱਸਿਆਂ ਨੂੰ ਪ੍ਰਭਾਵਿਤ ਕੀਤੇ ਬਿਨਾਂ Nios V ਪ੍ਰੋਸੈਸਰ ਨੂੰ ਰੀਸੈਟ ਕਰਨ ਲਈ ਟਰਿੱਗਰ ਕਰਨ ਲਈ ਕਰ ਸਕਦਾ ਹੈ।
· ਰੀਸੈਟ ਇੰਟਰਫੇਸ ਵਿੱਚ ਇੱਕ ਇਨਪੁਟ ਰੀਸੈਟਰੈਕ ਸਿਗਨਲ ਅਤੇ ਇੱਕ ਆਉਟਪੁੱਟ ਐਕ ਸਿਗਨਲ ਹੁੰਦਾ ਹੈ।
· ਤੁਸੀਂ resetreq ਸਿਗਨਲ ਨੂੰ ਜ਼ੋਰ ਦੇ ਕੇ Nios V ਪ੍ਰੋਸੈਸਰ ਕੋਰ ਨੂੰ ਰੀਸੈਟ ਕਰਨ ਦੀ ਬੇਨਤੀ ਕਰ ਸਕਦੇ ਹੋ।
· ਰੀਸੈਟਰੈਕ ਸਿਗਨਲ ਉਦੋਂ ਤੱਕ ਪੱਕਾ ਰਹਿਣਾ ਚਾਹੀਦਾ ਹੈ ਜਦੋਂ ਤੱਕ ਪ੍ਰੋਸੈਸਰ ਐਕ ਸਿਗਨਲ ਨਹੀਂ ਦੱਸਦਾ। ਸਿਗਨਲ ਨੂੰ ਪੱਕਾ ਨਾ ਰਹਿਣ ਵਿੱਚ ਅਸਫਲ ਰਹਿਣ ਨਾਲ ਪ੍ਰੋਸੈਸਰ ਇੱਕ ਗੈਰ-ਨਿਰਧਾਰਨਵਾਦੀ ਸਥਿਤੀ ਵਿੱਚ ਹੋ ਸਕਦਾ ਹੈ।
· ਡੀਬੱਗ ਮੋਡ ਵਿੱਚ ਰੀਸੈਟਰੈਕ ਸਿਗਨਲ ਦੇ ਦਾਅਵੇ ਦਾ ਪ੍ਰੋਸੈਸਰ ਦੀ ਸਥਿਤੀ 'ਤੇ ਕੋਈ ਪ੍ਰਭਾਵ ਨਹੀਂ ਪੈਂਦਾ।
· Nios V ਪ੍ਰੋਸੈਸਰ ack ਸਿਗਨਲ ਦਾ ਦਾਅਵਾ ਕਰਕੇ ਜਵਾਬ ਦਿੰਦਾ ਹੈ ਕਿ ਰੀਸੈਟ ਸਫਲ ਹੋ ਗਿਆ ਹੈ।
· ਪ੍ਰੋਸੈਸਰ ਦੇ ਸਫਲਤਾਪੂਰਵਕ ਰੀਸੈਟ ਹੋਣ ਤੋਂ ਬਾਅਦ, ack ਸਿਗਨਲ ਦਾ ਦਾਅਵਾ ਕਈ ਵਾਰ ਸਮੇਂ-ਸਮੇਂ 'ਤੇ ਹੋ ਸਕਦਾ ਹੈ ਜਦੋਂ ਤੱਕ ਕਿ ਰੀਸੈਟਰੇਕ ਸਿਗਨਲ ਦਾ ਡੀ-ਐਸਰਸ਼ਨ ਨਹੀਂ ਹੋ ਜਾਂਦਾ।

2.1.1.2.3. ਟ੍ਰੈਪ, ਅਪਵਾਦ, ਅਤੇ ਇੰਟਰੱਪਟ ਟੈਬ

ਸਾਰਣੀ 8.

ਟ੍ਰੈਪ, ਅਪਵਾਦ, ਅਤੇ ਇੰਟਰੱਪਟ ਟੈਬ

ਟ੍ਰੈਪ, ਅਪਵਾਦ, ਅਤੇ ਇੰਟਰੱਪਟ ਟੈਬ

ਵਰਣਨ

ਰੀਸੈਟ ਏਜੰਟ

· ਰੀਸੈਟ ਵੈਕਟਰ (Nios V ਪ੍ਰੋਸੈਸਰ ਰੀਸੈਟ ਪਤਾ) ਨੂੰ ਹੋਸਟ ਕਰਨ ਵਾਲੀ ਮੈਮੋਰੀ ਜਿੱਥੇ ਰੀਸੈਟ ਕੋਡ ਰਹਿੰਦਾ ਹੈ।
· ਤੁਸੀਂ ਰੀਸੈਟ ਏਜੰਟ ਦੇ ਤੌਰ 'ਤੇ Nios V ਪ੍ਰੋਸੈਸਰ ਇੰਸਟ੍ਰਕਸ਼ਨ ਮਾਸਟਰ ਨਾਲ ਜੁੜੇ ਅਤੇ Nios V ਪ੍ਰੋਸੈਸਰ ਬੂਟ ਫਲੋ ਦੁਆਰਾ ਸਮਰਥਿਤ ਕਿਸੇ ਵੀ ਮੈਮੋਰੀ ਮੋਡੀਊਲ ਦੀ ਚੋਣ ਕਰ ਸਕਦੇ ਹੋ।

ਔਫਸੈੱਟ ਇੰਟਰੱਪਟ ਮੋਡ ਰੀਸੈਟ ਕਰੋ

· ਚੁਣੇ ਹੋਏ ਰੀਸੈਟ ਏਜੰਟ ਦੇ ਬੇਸ ਐਡਰੈੱਸ ਦੇ ਅਨੁਸਾਰ ਰੀਸੈਟ ਵੈਕਟਰ ਦੇ ਆਫਸੈੱਟ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ। · ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਆਪਣੇ ਆਪ ਰੀਸੈਟ ਆਫਸੈੱਟ ਲਈ ਇੱਕ ਡਿਫੌਲਟ ਮੁੱਲ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ।
ਡਾਇਰੈਕਟ ਜਾਂ ਵੈਕਟਰਡ ਇੰਟਰੱਪਟ ਕੰਟਰੋਲਰ ਦੀ ਕਿਸਮ ਨਿਰਧਾਰਤ ਕਰੋ। ਨੋਟ: Nios V/m ਨਾਨ-ਪਾਈਪਲਾਈਨਡ ਪ੍ਰੋਸੈਸਰ ਵੈਕਟਰਡ ਇੰਟਰੱਪਟ ਦਾ ਸਮਰਥਨ ਨਹੀਂ ਕਰਦਾ ਹੈ।
ਇਸ ਲਈ, ਜਦੋਂ ਪ੍ਰੋਸੈਸਰ ਨਾਨਪਾਈਪਲਾਈਨ ਮੋਡ ਵਿੱਚ ਹੋਵੇ ਤਾਂ ਵੈਕਟਰਡ ਇੰਟਰੱਪਟ ਮੋਡ ਦੀ ਵਰਤੋਂ ਕਰਨ ਤੋਂ ਬਚੋ।

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 14

ਫੀਡਬੈਕ ਭੇਜੋ

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਨਾਲ
726952 | 2025.07.16 ਹੈ

ਨੋਟ:

ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਇੱਕ ਐਬਸੋਲਿਉਟ ਵਿਕਲਪ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ, ਜੋ ਤੁਹਾਨੂੰ ਰੀਸੈਟ ਆਫਸੈੱਟ ਵਿੱਚ ਇੱਕ ਐਬਸੋਲਿਉਟ ਪਤਾ ਨਿਰਧਾਰਤ ਕਰਨ ਦੀ ਆਗਿਆ ਦਿੰਦਾ ਹੈ। ਇਸ ਵਿਕਲਪ ਦੀ ਵਰਤੋਂ ਉਦੋਂ ਕਰੋ ਜਦੋਂ ਰੀਸੈਟ ਵੈਕਟਰ ਨੂੰ ਸਟੋਰ ਕਰਨ ਵਾਲੀ ਮੈਮੋਰੀ ਪ੍ਰੋਸੈਸਰ ਸਿਸਟਮ ਅਤੇ ਸਬਸਿਸਟਮਾਂ ਦੇ ਬਾਹਰ ਸਥਿਤ ਹੋਵੇ।

2.1.1.2.4. CPU ਆਰਕੀਟੈਕਚਰ

ਸਾਰਣੀ 9.

CPU ਆਰਕੀਟੈਕਚਰ ਟੈਬ ਪੈਰਾਮੀਟਰ

CPU ਆਰਕੀਟੈਕਚਰ

ਵਰਣਨ

CPU ਵਿੱਚ ਪਾਈਪਲਾਈਨਿੰਗ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ

· ਪਾਈਪਲਾਈਨ ਵਾਲੇ Nios V/m ਪ੍ਰੋਸੈਸਰ ਨੂੰ ਇੰਸਟੈਂਟੀਏਟ ਕਰਨ ਲਈ ਇਸ ਵਿਕਲਪ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ। — ਉੱਚ ਤਰਕ ਖੇਤਰ ਅਤੇ ਘੱਟ Fmax ਬਾਰੰਬਾਰਤਾ ਦੀ ਕੀਮਤ 'ਤੇ IPC ਉੱਚਾ ਹੈ।
· ਗੈਰ-ਪਾਈਪਲਾਈਨ ਵਾਲੇ Nios V/m ਪ੍ਰੋਸੈਸਰ ਨੂੰ ਤੁਰੰਤ ਸਥਾਪਤ ਕਰਨ ਲਈ ਇਸ ਵਿਕਲਪ ਨੂੰ ਅਯੋਗ ਕਰੋ। — Nios V/c ਪ੍ਰੋਸੈਸਰ ਦੇ ਸਮਾਨ ਕੋਰ ਪ੍ਰਦਰਸ਼ਨ ਹੈ। — ਡੀਬੱਗਿੰਗ ਅਤੇ ਇੰਟਰੱਪਟ ਸਮਰੱਥਾ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ — ਘੱਟ IPC ਦੀ ਕੀਮਤ 'ਤੇ ਘੱਟ ਲਾਜਿਕ ਖੇਤਰ ਅਤੇ ਉੱਚ Fmax ਬਾਰੰਬਾਰਤਾ।

ਐਵਲੋਨ ਇੰਟਰਫੇਸ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ

ਹਦਾਇਤ ਮੈਨੇਜਰ ਅਤੇ ਡਾਟਾ ਮੈਨੇਜਰ ਲਈ ਐਵਲੋਨ ਇੰਟਰਫੇਸ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਂਦਾ ਹੈ। ਜੇਕਰ ਅਯੋਗ ਹੈ, ਤਾਂ ਸਿਸਟਮ AXI4-Lite ਇੰਟਰਫੇਸ ਦੀ ਵਰਤੋਂ ਕਰਦਾ ਹੈ।

mhartid CSR ਮੁੱਲ

· ਹਾਰਟ ਆਈਡੀ ਰਜਿਸਟਰ (mhartid) ਮੁੱਲ ਡਿਫਾਲਟ ਤੇ 0 ਹੈ। · 0 ਅਤੇ 4094 ਦੇ ਵਿਚਕਾਰ ਇੱਕ ਮੁੱਲ ਨਿਰਧਾਰਤ ਕਰੋ। · Altera FPGA Avalon Mutex Core HAL API ਦੇ ਅਨੁਕੂਲ।

ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ ਏਮਬੈਡਡ ਪੈਰੀਫਿਰਲ ਆਈਪੀ ਯੂਜ਼ਰ ਗਾਈਡ - ਇੰਟੇਲ ਐਫਪੀਜੀਏ ਐਵਲੋਨ® ਮਿਊਟੈਕਸ ਕੋਰ

2.1.1.2.5. ECC ਟੈਬ
ਟੇਬਲ 10. ECC ਟੈਬ
ECC ਗਲਤੀ ਖੋਜ ਅਤੇ ਸਥਿਤੀ ਰਿਪੋਰਟਿੰਗ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਂਦਾ ਹੈ

ਵਰਣਨ
· Nios V ਪ੍ਰੋਸੈਸਰ ਦੇ ਅੰਦਰੂਨੀ RAM ਬਲਾਕਾਂ ਲਈ ECC ਵਿਸ਼ੇਸ਼ਤਾ ਲਾਗੂ ਕਰਨ ਲਈ ਇਸ ਵਿਕਲਪ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ। · ECC ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ 2-ਬਿੱਟ ਤੱਕ ਦੀਆਂ ਗਲਤੀਆਂ ਦਾ ਪਤਾ ਲਗਾਉਂਦੀਆਂ ਹਨ ਅਤੇ ਹੇਠ ਦਿੱਤੇ ਵਿਵਹਾਰ ਦੇ ਅਧਾਰ ਤੇ ਪ੍ਰਤੀਕਿਰਿਆ ਕਰਦੀਆਂ ਹਨ:
— ਜੇਕਰ ਇਹ ਇੱਕ ਸੁਧਾਰਯੋਗ ਗਲਤੀ 1-ਬਿੱਟ ਹੈ, ਤਾਂ ਪ੍ਰੋਸੈਸਰ ਪਾਈਪਲਾਈਨ ਵਿੱਚ ਗਲਤੀ ਨੂੰ ਠੀਕ ਕਰਨ ਤੋਂ ਬਾਅਦ ਵੀ ਕੰਮ ਕਰਨਾ ਜਾਰੀ ਰੱਖਦਾ ਹੈ। ਹਾਲਾਂਕਿ, ਸੁਧਾਰ ਸਰੋਤ ਯਾਦਾਂ ਵਿੱਚ ਪ੍ਰਤੀਬਿੰਬਤ ਨਹੀਂ ਹੁੰਦਾ।
— ਜੇਕਰ ਗਲਤੀ ਠੀਕ ਨਹੀਂ ਕੀਤੀ ਜਾ ਸਕਦੀ, ਤਾਂ ਪ੍ਰੋਸੈਸਰ ਪ੍ਰੋਸੈਸਰ ਪਾਈਪਲਾਈਨ ਅਤੇ ਸਰੋਤ ਯਾਦਾਂ ਵਿੱਚ ਇਸਨੂੰ ਠੀਕ ਕੀਤੇ ਬਿਨਾਂ ਕੰਮ ਕਰਨਾ ਜਾਰੀ ਰੱਖਦਾ ਹੈ, ਜਿਸ ਕਾਰਨ ਪ੍ਰੋਸੈਸਰ ਇੱਕ ਗੈਰ-ਨਿਰਧਾਰਤ ਸਥਿਤੀ ਵਿੱਚ ਦਾਖਲ ਹੋ ਸਕਦਾ ਹੈ।

ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 15

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਨਾਲ
726952 | 2025.07.16 ਹੈ
2.1.1.3. Nios V/g ਜਨਰਲ ਪਰਪਜ਼ ਪ੍ਰੋਸੈਸਰ Altera FPGA IP ਨੂੰ ਇੰਸਟੈਂਟ ਕਰਨਾ
ਚਿੱਤਰ 6. Nios V/g ਜਨਰਲ ਪਰਪਜ਼ ਪ੍ਰੋਸੈਸਰ ਅਲਟੇਰਾ FPGA IP – ਭਾਗ 1

ਚਿੱਤਰ 7.

Nios V/g ਜਨਰਲ ਪਰਪਜ਼ ਪ੍ਰੋਸੈਸਰ Altera FPGA IP - ਭਾਗ 2 (ਕੋਰ ਲੈਵਲ ਇੰਟਰੱਪਟ ਕੰਟਰੋਲਰ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ ਬੰਦ ਕਰੋ)

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 16

ਫੀਡਬੈਕ ਭੇਜੋ

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਨਾਲ
726952 | 2025.07.16 ਹੈ

ਚਿੱਤਰ 8.

Nios V/g ਜਨਰਲ ਪਰਪਜ਼ ਪ੍ਰੋਸੈਸਰ Altera FPGA IP – ਭਾਗ 2 (ਕੋਰ ਲੈਵਲ ਇੰਟਰੱਪਟ ਕੰਟਰੋਲਰ ਨੂੰ ਚਾਲੂ ਕਰੋ)

ਚਿੱਤਰ 9. Nios V/g ਜਨਰਲ ਪਰਪਜ਼ ਪ੍ਰੋਸੈਸਰ ਅਲਟੇਰਾ FPGA IP – ਭਾਗ 3

ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 17

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਨਾਲ
726952 | 2025.07.16 ਹੈ
ਚਿੱਤਰ 10. Nios V/g ਜਨਰਲ ਪਰਪਜ਼ ਪ੍ਰੋਸੈਸਰ ਅਲਟੇਰਾ FPGA IP – ਭਾਗ 4

2.1.1.3.1. CPU ਆਰਕੀਟੈਕਚਰ

ਸਾਰਣੀ 11. CPU ਆਰਕੀਟੈਕਚਰ ਪੈਰਾਮੀਟਰ

CPU ਆਰਕੀਟੈਕਚਰ ਟੈਬ ਫਲੋਟਿੰਗ ਪੁਆਇੰਟ ਯੂਨਿਟ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ

ਵਰਣਨ ਪ੍ਰੋਸੈਸਰ ਕੋਰ ਵਿੱਚ ਫਲੋਟਿੰਗ-ਪੁਆਇੰਟ ਯੂਨਿਟ ("F" ਐਕਸਟੈਂਸ਼ਨ) ਜੋੜਨ ਲਈ ਇਸ ਵਿਕਲਪ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ।

ਬ੍ਰਾਂਚ ਪੂਰਵ-ਅਨੁਮਾਨ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ

ਬ੍ਰਾਂਚ ਨਿਰਦੇਸ਼ਾਂ ਲਈ ਸਥਿਰ ਬ੍ਰਾਂਚ ਭਵਿੱਖਬਾਣੀ (ਪਿੱਛੇ ਲਿਆ ਅਤੇ ਅੱਗੇ ਨਹੀਂ ਲਿਆ) ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ।

mhartid CSR ਮੁੱਲ

· ਹਾਰਟ ਆਈਡੀ ਰਜਿਸਟਰ (mhartid) ਮੁੱਲ ਡਿਫਾਲਟ ਤੇ 0 ਹੈ। · 0 ਅਤੇ 4094 ਦੇ ਵਿਚਕਾਰ ਇੱਕ ਮੁੱਲ ਨਿਰਧਾਰਤ ਕਰੋ। · Altera FPGA Avalon Mutex Core HAL API ਦੇ ਅਨੁਕੂਲ।

FPU ਲਈ FSQRT ਅਤੇ FDIV ਨਿਰਦੇਸ਼ਾਂ ਨੂੰ ਅਯੋਗ ਕਰੋ

· FPU ਵਿੱਚ ਫਲੋਟਿੰਗ-ਪੁਆਇੰਟ ਵਰਗ ਮੂਲ (FSQRT) ਅਤੇ ਫਲੋਟਿੰਗ-ਪੁਆਇੰਟ ਡਿਵੀਜ਼ਨ (FDIV) ਓਪਰੇਸ਼ਨ ਹਟਾਓ।
· ਰਨਟਾਈਮ ਦੌਰਾਨ ਦੋਵਾਂ ਹਦਾਇਤਾਂ 'ਤੇ ਸਾਫਟਵੇਅਰ ਇਮੂਲੇਸ਼ਨ ਲਾਗੂ ਕਰੋ।

ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ ਏਮਬੈਡਡ ਪੈਰੀਫਿਰਲ ਆਈਪੀ ਯੂਜ਼ਰ ਗਾਈਡ - ਇੰਟੇਲ ਐਫਪੀਜੀਏ ਐਵਲੋਨ® ਮਿਊਟੈਕਸ ਕੋਰ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 18

ਫੀਡਬੈਕ ਭੇਜੋ

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਨਾਲ
726952 | 2025.07.16 ਹੈ

2.1.1.3.2. ਡੀਬੱਗ ਟੈਬ

ਸਾਰਣੀ 12. ਡੀਬੱਗ ਟੈਬ ਪੈਰਾਮੀਟਰ

ਡੀਬੱਗ ਟੈਬ

ਵਰਣਨ

ਡੀਬੱਗ ਚਾਲੂ ਕਰੋ
ਡੀਬੱਗ ਮੋਡੀਊਲ ਤੋਂ ਰੀਸੈਟ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ

· J ਜੋੜਨ ਲਈ ਇਸ ਵਿਕਲਪ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓTAG Nios V ਪ੍ਰੋਸੈਸਰ ਨਾਲ ਟਾਰਗੇਟ ਕਨੈਕਸ਼ਨ ਮੋਡੀਊਲ। · JTAG ਟਾਰਗੇਟ ਕਨੈਕਸ਼ਨ ਮੋਡੀਊਲ Nios V ਪ੍ਰੋਸੈਸਰ ਨਾਲ ਜੁੜਨ ਦੀ ਆਗਿਆ ਦਿੰਦਾ ਹੈ
JTAG FPGA ਦੇ ਇੰਟਰਫੇਸ ਪਿੰਨ। · ਇਹ ਕਨੈਕਸ਼ਨ ਹੇਠ ਲਿਖੀਆਂ ਮੁੱਢਲੀਆਂ ਸਮਰੱਥਾਵਾਂ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ:
— Nios V ਪ੍ਰੋਸੈਸਰ ਸ਼ੁਰੂ ਕਰੋ ਅਤੇ ਬੰਦ ਕਰੋ — ਰਜਿਸਟਰਾਂ ਅਤੇ ਮੈਮੋਰੀ ਦੀ ਜਾਂਚ ਕਰੋ ਅਤੇ ਸੰਪਾਦਿਤ ਕਰੋ। — Nios V ਐਪਲੀਕੇਸ਼ਨ .elf ਡਾਊਨਲੋਡ ਕਰੋ file ਰਨਟਾਈਮ 'ਤੇ ਪ੍ਰੋਸੈਸਰ ਮੈਮੋਰੀ ਨੂੰ
niosv-download. — Nios V ਪ੍ਰੋਸੈਸਰ 'ਤੇ ਚੱਲ ਰਹੀ ਐਪਲੀਕੇਸ਼ਨ ਨੂੰ ਡੀਬੱਗ ਕਰੋ · dm_agent ਪੋਰਟ ਨੂੰ ਪ੍ਰੋਸੈਸਰ ਹਦਾਇਤਾਂ ਅਤੇ ਡਾਟਾ ਬੱਸ ਨਾਲ ਕਨੈਕਟ ਕਰੋ। ਯਕੀਨੀ ਬਣਾਓ ਕਿ ਦੋਵਾਂ ਬੱਸਾਂ ਵਿਚਕਾਰ ਅਧਾਰ ਪਤਾ ਇੱਕੋ ਜਿਹਾ ਹੈ।
· dbg_reset_out ਅਤੇ ndm_reset_in ਪੋਰਟਾਂ ਨੂੰ ਐਕਸਪੋਜ਼ ਕਰਨ ਲਈ ਇਸ ਵਿਕਲਪ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ। · JTAG ਡੀਬੱਗਰ ਜਾਂ niosv-download -r ਕਮਾਂਡ dbg_reset_out ਨੂੰ ਚਾਲੂ ਕਰਦੀ ਹੈ, ਜੋ ਕਿ
Nios V ਪ੍ਰੋਸੈਸਰ ਨੂੰ ਇਸ ਪੋਰਟ ਨਾਲ ਜੁੜੇ ਸਿਸਟਮ ਪੈਰੀਫਿਰਲਾਂ ਨੂੰ ਰੀਸੈਟ ਕਰਨ ਦੀ ਆਗਿਆ ਦਿੰਦਾ ਹੈ। · ਤੁਹਾਨੂੰ dbg_reset_out ਇੰਟਰਫੇਸ ਨੂੰ ਰੀਸੈਟ ਕਰਨ ਦੀ ਬਜਾਏ ndm_reset_in ਨਾਲ ਕਨੈਕਟ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ।
ਪ੍ਰੋਸੈਸਰ ਕੋਰ ਅਤੇ ਟਾਈਮਰ ਮੋਡੀਊਲ ਨੂੰ ਰੀਸੈਟ ਕਰਨ ਲਈ ਇੰਟਰਫੇਸ। ਤੁਹਾਨੂੰ ਅਨਿਸ਼ਚਿਤ ਵਿਵਹਾਰ ਨੂੰ ਰੋਕਣ ਲਈ ਇੰਟਰਫੇਸ ਨੂੰ ਰੀਸੈਟ ਕਰਨ ਲਈ dbg_reset_out ਇੰਟਰਫੇਸ ਨੂੰ ਕਨੈਕਟ ਨਹੀਂ ਕਰਨਾ ਚਾਹੀਦਾ।

2.1.1.3.3. ਲਾੱਕਸਟੈਪ ਟੈਬ ਟੇਬਲ 13. ਲਾੱਕਸਟੈਪ ਟੈਬ
ਪੈਰਾਮੀਟਰ ਲੌਕਸਟੈਪ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ ਡਿਫੌਲਟ ਟਾਈਮਆਉਟ ਪੀਰੀਅਡ ਐਕਸਟੈਂਡਡ ਰੀਸੈਟ ਇੰਟਰਫੇਸ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ

ਵਰਣਨ · ਡਿਊਲ ਕੋਰ ਲੌਕਸਟੈਪ ਸਿਸਟਮ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ। · ਰੀਸੈਟ ਐਗਜ਼ਿਟ 'ਤੇ ਪ੍ਰੋਗਰਾਮੇਬਲ ਟਾਈਮਆਉਟ ਦਾ ਡਿਫਾਲਟ ਮੁੱਲ (0 ਅਤੇ 255 ਦੇ ਵਿਚਕਾਰ)। · ਐਕਸਟੈਂਡਡ ਰੀਸੈਟ ਕੰਟਰੋਲ ਲਈ ਵਿਕਲਪਿਕ ਐਕਸਟੈਂਡਡ ਰੀਸੈਟ ਇੰਟਰਫੇਸ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ। · ਅਯੋਗ ਹੋਣ 'ਤੇ, fRSmartComp ਬੇਸਿਕ ਰੀਸੈਟ ਕੰਟਰੋਲ ਲਾਗੂ ਕਰਦਾ ਹੈ।

2.1.1.3.4. ਰੀਸੈਟ ਬੇਨਤੀ ਟੈਬ ਦੀ ਵਰਤੋਂ ਕਰੋ

ਸਾਰਣੀ 14. ਰੀਸੈਟ ਬੇਨਤੀ ਟੈਬ ਪੈਰਾਮੀਟਰ ਦੀ ਵਰਤੋਂ ਕਰੋ

ਰੀਸੈਟ ਬੇਨਤੀ ਟੈਬ ਦੀ ਵਰਤੋਂ ਕਰੋ

ਵਰਣਨ

ਰੀਸੈਟ ਬੇਨਤੀ ਇੰਟਰਫੇਸ ਸ਼ਾਮਲ ਕਰੋ

· ਇਸ ਵਿਕਲਪ ਨੂੰ ਸਥਾਨਕ ਰੀਸੈਟ ਪੋਰਟਾਂ ਨੂੰ ਐਕਸਪੋਜ਼ ਕਰਨ ਲਈ ਸਮਰੱਥ ਬਣਾਓ ਜਿੱਥੇ ਇੱਕ ਸਥਾਨਕ ਮਾਸਟਰ ਇਸਦੀ ਵਰਤੋਂ Nios V ਪ੍ਰੋਸੈਸਰ ਸਿਸਟਮ ਵਿੱਚ ਦੂਜੇ ਹਿੱਸਿਆਂ ਨੂੰ ਪ੍ਰਭਾਵਿਤ ਕੀਤੇ ਬਿਨਾਂ Nios V ਪ੍ਰੋਸੈਸਰ ਨੂੰ ਰੀਸੈਟ ਕਰਨ ਲਈ ਟਰਿੱਗਰ ਕਰਨ ਲਈ ਕਰ ਸਕਦਾ ਹੈ।
· ਰੀਸੈਟ ਇੰਟਰਫੇਸ ਵਿੱਚ ਇੱਕ ਇਨਪੁਟ ਰੀਸੈਟਰੈਕ ਸਿਗਨਲ ਅਤੇ ਇੱਕ ਆਉਟਪੁੱਟ ਐਕ ਸਿਗਨਲ ਹੁੰਦਾ ਹੈ।
· ਤੁਸੀਂ resetreq ਸਿਗਨਲ ਨੂੰ ਜ਼ੋਰ ਦੇ ਕੇ Nios V ਪ੍ਰੋਸੈਸਰ ਕੋਰ ਨੂੰ ਰੀਸੈਟ ਕਰਨ ਦੀ ਬੇਨਤੀ ਕਰ ਸਕਦੇ ਹੋ।
· ਰੀਸੈਟਰੈਕ ਸਿਗਨਲ ਉਦੋਂ ਤੱਕ ਪੱਕਾ ਰਹਿਣਾ ਚਾਹੀਦਾ ਹੈ ਜਦੋਂ ਤੱਕ ਪ੍ਰੋਸੈਸਰ ਐਕ ਸਿਗਨਲ ਨਹੀਂ ਦੱਸਦਾ। ਸਿਗਨਲ ਨੂੰ ਪੱਕਾ ਨਾ ਰਹਿਣ ਵਿੱਚ ਅਸਫਲ ਰਹਿਣ ਨਾਲ ਪ੍ਰੋਸੈਸਰ ਇੱਕ ਗੈਰ-ਨਿਰਧਾਰਨਵਾਦੀ ਸਥਿਤੀ ਵਿੱਚ ਹੋ ਸਕਦਾ ਹੈ।
· ਡੀਬੱਗ ਮੋਡ ਵਿੱਚ ਰੀਸੈਟਰੈਕ ਸਿਗਨਲ ਦੇ ਦਾਅਵੇ ਦਾ ਪ੍ਰੋਸੈਸਰ ਦੀ ਸਥਿਤੀ 'ਤੇ ਕੋਈ ਪ੍ਰਭਾਵ ਨਹੀਂ ਪੈਂਦਾ।
· Nios V ਪ੍ਰੋਸੈਸਰ ack ਸਿਗਨਲ ਦਾ ਦਾਅਵਾ ਕਰਕੇ ਜਵਾਬ ਦਿੰਦਾ ਹੈ ਕਿ ਰੀਸੈਟ ਸਫਲ ਹੋ ਗਿਆ ਹੈ।
· ਪ੍ਰੋਸੈਸਰ ਦੇ ਸਫਲਤਾਪੂਰਵਕ ਰੀਸੈਟ ਹੋਣ ਤੋਂ ਬਾਅਦ, ack ਸਿਗਨਲ ਦਾ ਦਾਅਵਾ ਕਈ ਵਾਰ ਸਮੇਂ-ਸਮੇਂ 'ਤੇ ਹੋ ਸਕਦਾ ਹੈ ਜਦੋਂ ਤੱਕ ਕਿ ਰੀਸੈਟਰੇਕ ਸਿਗਨਲ ਦਾ ਡੀ-ਐਸਰਸ਼ਨ ਨਹੀਂ ਹੋ ਜਾਂਦਾ।

ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 19

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਨਾਲ
726952 | 2025.07.16 ਹੈ

2.1.1.3.5. ਟ੍ਰੈਪ, ਅਪਵਾਦ, ਅਤੇ ਇੰਟਰੱਪਟ ਟੈਬ

ਸਾਰਣੀ 15.

ਜਦੋਂ ਯੋਗ ਕੋਰ ਲੈਵਲ ਇੰਟਰੱਪਟ ਕੰਟਰੋਲਰ ਬੰਦ ਹੁੰਦਾ ਹੈ ਤਾਂ ਟ੍ਰੈਪ, ਅਪਵਾਦ, ਅਤੇ ਇੰਟਰੱਪਟ ਟੈਬ

ਟ੍ਰੈਪ, ਅਪਵਾਦ, ਅਤੇ ਇੰਟਰੱਪਟ ਟੈਬ
ਰੀਸੈਟ ਏਜੰਟ

ਵਰਣਨ
· ਰੀਸੈਟ ਵੈਕਟਰ (Nios V ਪ੍ਰੋਸੈਸਰ ਰੀਸੈਟ ਪਤਾ) ਨੂੰ ਹੋਸਟ ਕਰਨ ਵਾਲੀ ਮੈਮੋਰੀ ਜਿੱਥੇ ਰੀਸੈਟ ਕੋਡ ਰਹਿੰਦਾ ਹੈ।
· ਤੁਸੀਂ ਰੀਸੈਟ ਏਜੰਟ ਦੇ ਤੌਰ 'ਤੇ Nios V ਪ੍ਰੋਸੈਸਰ ਇੰਸਟ੍ਰਕਸ਼ਨ ਮਾਸਟਰ ਨਾਲ ਜੁੜੇ ਅਤੇ Nios V ਪ੍ਰੋਸੈਸਰ ਬੂਟ ਫਲੋ ਦੁਆਰਾ ਸਮਰਥਿਤ ਕਿਸੇ ਵੀ ਮੈਮੋਰੀ ਮੋਡੀਊਲ ਦੀ ਚੋਣ ਕਰ ਸਕਦੇ ਹੋ।

ਆਫਸੈੱਟ ਰੀਸੈਟ ਕਰੋ

· ਚੁਣੇ ਹੋਏ ਰੀਸੈਟ ਏਜੰਟ ਦੇ ਬੇਸ ਐਡਰੈੱਸ ਦੇ ਅਨੁਸਾਰ ਰੀਸੈਟ ਵੈਕਟਰ ਦੇ ਆਫਸੈੱਟ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ। · ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਆਪਣੇ ਆਪ ਰੀਸੈਟ ਆਫਸੈੱਟ ਲਈ ਇੱਕ ਡਿਫੌਲਟ ਮੁੱਲ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ।

ਕੋਰ ਲੈਵਲ ਇੰਟਰੱਪਟ ਕੰਟਰੋਲਰ (CLIC) ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ

· CLIC ਨੂੰ ਪ੍ਰੀ-ਐਂਪਟਿਵ ਇੰਟਰੱਪਟਸ ਅਤੇ ਕੌਂਫਿਗਰੇਬਲ ਇੰਟਰੱਪਟ ਟਰਿੱਗਰ ਕੰਡੀਸ਼ਨ ਦਾ ਸਮਰਥਨ ਕਰਨ ਲਈ ਸਮਰੱਥ ਬਣਾਓ।
· ਜਦੋਂ ਸਮਰੱਥ ਬਣਾਇਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਤੁਸੀਂ ਪਲੇਟਫਾਰਮ ਇੰਟਰੱਪਟਾਂ ਦੀ ਗਿਣਤੀ ਨੂੰ ਕੌਂਫਿਗਰ ਕਰ ਸਕਦੇ ਹੋ, ਟਰਿੱਗਰ ਸ਼ਰਤਾਂ ਸੈੱਟ ਕਰ ਸਕਦੇ ਹੋ, ਅਤੇ ਕੁਝ ਇੰਟਰੱਪਟਾਂ ਨੂੰ ਪ੍ਰੀ-ਐਂਪਟਿਵ ਵਜੋਂ ਨਿਰਧਾਰਤ ਕਰ ਸਕਦੇ ਹੋ।

ਇੰਟਰੱਪਟ ਮੋਡ ਸ਼ੈਡੋ ਰਜਿਸਟਰ Files

ਇੰਟਰੱਪਟ ਕਿਸਮਾਂ ਨੂੰ ਡਾਇਰੈਕਟ, ਜਾਂ ਵੈਕਟਰਡ ਦੇ ਤੌਰ 'ਤੇ ਦੱਸੋ। ਇੰਟਰੱਪਟ 'ਤੇ ਸੰਦਰਭ ਸਵਿਚਿੰਗ ਨੂੰ ਘਟਾਉਣ ਲਈ ਸ਼ੈਡੋ ਰਜਿਸਟਰ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ।

ਸਾਰਣੀ 16.

ਜਦੋਂ ਯੋਗ ਕੋਰ ਲੈਵਲ ਇੰਟਰੱਪਟ ਕੰਟਰੋਲਰ ਚਾਲੂ ਹੁੰਦਾ ਹੈ ਤਾਂ ਟ੍ਰੈਪ, ਅਪਵਾਦ ਅਤੇ ਇੰਟਰੱਪਟ

ਜਾਲ, ਅਪਵਾਦ, ਅਤੇ ਰੁਕਾਵਟਾਂ

ਵਰਣਨ

ਰੀਸੈਟ ਏਜੰਟ
ਆਫਸੈੱਟ ਰੀਸੈਟ ਕਰੋ
ਕੋਰ ਲੈਵਲ ਇੰਟਰੱਪਟ ਕੰਟਰੋਲਰ (CLIC) ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ

· ਰੀਸੈਟ ਵੈਕਟਰ (Nios V ਪ੍ਰੋਸੈਸਰ ਰੀਸੈਟ ਪਤਾ) ਨੂੰ ਹੋਸਟ ਕਰਨ ਵਾਲੀ ਮੈਮੋਰੀ ਜਿੱਥੇ ਰੀਸੈਟ ਕੋਡ ਰਹਿੰਦਾ ਹੈ।
· ਤੁਸੀਂ ਰੀਸੈਟ ਏਜੰਟ ਦੇ ਤੌਰ 'ਤੇ Nios V ਪ੍ਰੋਸੈਸਰ ਇੰਸਟ੍ਰਕਸ਼ਨ ਮਾਸਟਰ ਨਾਲ ਜੁੜੇ ਅਤੇ Nios V ਪ੍ਰੋਸੈਸਰ ਬੂਟ ਫਲੋ ਦੁਆਰਾ ਸਮਰਥਿਤ ਕਿਸੇ ਵੀ ਮੈਮੋਰੀ ਮੋਡੀਊਲ ਦੀ ਚੋਣ ਕਰ ਸਕਦੇ ਹੋ।
· ਚੁਣੇ ਹੋਏ ਰੀਸੈਟ ਏਜੰਟ ਦੇ ਬੇਸ ਐਡਰੈੱਸ ਦੇ ਅਨੁਸਾਰ ਰੀਸੈਟ ਵੈਕਟਰ ਦੇ ਆਫਸੈੱਟ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ। · ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਆਪਣੇ ਆਪ ਰੀਸੈਟ ਆਫਸੈੱਟ ਲਈ ਇੱਕ ਡਿਫੌਲਟ ਮੁੱਲ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ।
· ਪ੍ਰੀ-ਐਂਪਟਿਵ ਇੰਟਰੱਪਟ ਅਤੇ ਕੌਂਫਿਗਰੇਬਲ ਇੰਟਰੱਪਟ ਟਰਿੱਗਰ ਕੰਡੀਸ਼ਨ ਦਾ ਸਮਰਥਨ ਕਰਨ ਲਈ CLIC ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ। · ਜਦੋਂ ਸਮਰੱਥ ਹੋਵੇ, ਤਾਂ ਤੁਸੀਂ ਪਲੇਟਫਾਰਮ ਇੰਟਰੱਪਟ ਦੀ ਗਿਣਤੀ ਨੂੰ ਕੌਂਫਿਗਰ ਕਰ ਸਕਦੇ ਹੋ, ਟਰਿੱਗਰ ਕੰਡੀਸ਼ਨ ਸੈੱਟ ਕਰ ਸਕਦੇ ਹੋ,
ਅਤੇ ਕੁਝ ਰੁਕਾਵਟਾਂ ਨੂੰ ਪ੍ਰੀ-ਐਂਪਟਿਵ ਵਜੋਂ ਨਿਰਧਾਰਤ ਕਰੋ।

ਇੰਟਰੱਪਟ ਮੋਡ

· ਇੰਟਰੱਪਟ ਕਿਸਮਾਂ ਨੂੰ ਡਾਇਰੈਕਟ, ਵੈਕਟਰਡ, ਜਾਂ CLIC ਦੇ ਤੌਰ 'ਤੇ ਦੱਸੋ।

ਸ਼ੈਡੋ ਰਜਿਸਟਰ Files

· ਇੰਟਰੱਪਟ ਹੋਣ 'ਤੇ ਸੰਦਰਭ ਸਵਿੱਚਿੰਗ ਨੂੰ ਘਟਾਉਣ ਲਈ ਸ਼ੈਡੋ ਰਜਿਸਟਰ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ।
· ਦੋ ਤਰੀਕੇ ਪੇਸ਼ ਕਰਦਾ ਹੈ:
— CLIC ਇੰਟਰੱਪਟ ਪੱਧਰਾਂ ਦੀ ਗਿਣਤੀ
— CLIC ਇੰਟਰੱਪਟ ਲੈਵਲਾਂ ਦੀ ਗਿਣਤੀ - 1: ਇਹ ਵਿਕਲਪ ਉਦੋਂ ਉਪਯੋਗੀ ਹੁੰਦਾ ਹੈ ਜਦੋਂ ਤੁਸੀਂ ਰਜਿਸਟਰ ਦੀ ਗਿਣਤੀ ਚਾਹੁੰਦੇ ਹੋ file M20K ਜਾਂ M9K ਬਲਾਕਾਂ ਦੀ ਸਹੀ ਸੰਖਿਆ ਵਿੱਚ ਫਿੱਟ ਹੋਣ ਲਈ ਕਾਪੀਆਂ।
· ਸ਼ੈਡੋ ਰਜਿਸਟਰ ਦੀ ਵਰਤੋਂ ਕਰਨ ਲਈ Nios V ਪ੍ਰੋਸੈਸਰ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ files ਜੋ ਇੰਟਰੱਪਟ 'ਤੇ ਸੰਦਰਭ ਸਵਿੱਚਿੰਗ ਓਵਰਹੈੱਡ ਨੂੰ ਘਟਾਉਂਦੇ ਹਨ।
ਸ਼ੈਡੋ ਰਜਿਸਟਰ ਬਾਰੇ ਹੋਰ ਜਾਣਕਾਰੀ ਲਈ files ਲਈ, Nios V ਪ੍ਰੋਸੈਸਰ ਰੈਫਰੈਂਸ ਮੈਨੂਅਲ ਵੇਖੋ।

ਪਲੇਟਫਾਰਮ ਰੁਕਾਵਟ ਸਰੋਤਾਂ ਦੀ ਗਿਣਤੀ

· 16 ਤੋਂ 2048 ਦੇ ਵਿਚਕਾਰ ਪਲੇਟਫਾਰਮ ਇੰਟਰੱਪਟ ਦੀ ਸੰਖਿਆ ਦਰਸਾਉਂਦਾ ਹੈ।
ਨੋਟ: CLIC 2064 ਇੰਟਰੱਪਟ ਇਨਪੁਟਸ ਤੱਕ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ, ਅਤੇ ਪਹਿਲੇ 16 ਇੰਟਰੱਪਟ ਇਨਪੁਟਸ ਵੀ ਮੂਲ ਇੰਟਰੱਪਟ ਕੰਟਰੋਲਰ ਨਾਲ ਜੁੜੇ ਹੋਏ ਹਨ।

CLIC ਵੈਕਟਰ ਟੇਬਲ ਅਲਾਈਨਮੈਂਟ

· ਪਲੇਟਫਾਰਮ ਇੰਟਰੱਪਟ ਸਰੋਤਾਂ ਦੀ ਗਿਣਤੀ ਦੇ ਆਧਾਰ 'ਤੇ ਆਪਣੇ ਆਪ ਨਿਰਧਾਰਤ ਕੀਤਾ ਜਾਂਦਾ ਹੈ। · ਜੇਕਰ ਤੁਸੀਂ ਇੱਕ ਅਲਾਈਨਮੈਂਟ ਵਰਤਦੇ ਹੋ ਜੋ ਸਿਫ਼ਾਰਸ਼ ਕੀਤੇ ਮੁੱਲ ਤੋਂ ਘੱਟ ਹੈ, ਤਾਂ CLIC ਤਰਕ ਨੂੰ ਵਧਾਉਂਦਾ ਹੈ।
ਵੈਕਟਰਿੰਗ ਗਣਨਾਵਾਂ ਕਰਨ ਲਈ ਇੱਕ ਵਾਧੂ ਐਡਰ ਜੋੜ ਕੇ ਜਟਿਲਤਾ। · ਜੇਕਰ ਤੁਸੀਂ ਇੱਕ ਅਲਾਈਨਮੈਂਟ ਵਰਤਦੇ ਹੋ ਜੋ ਸਿਫ਼ਾਰਸ਼ ਕੀਤੇ ਮੁੱਲ ਤੋਂ ਘੱਟ ਹੈ, ਤਾਂ ਇਸਦਾ ਨਤੀਜਾ ਵਧਦਾ ਹੈ
CLIC ਵਿੱਚ ਤਰਕਸ਼ੀਲ ਜਟਿਲਤਾ।
ਜਾਰੀ…

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 20

ਫੀਡਬੈਕ ਭੇਜੋ

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਨਾਲ
726952 | 2025.07.16 ਹੈ

ਜਾਲ, ਅਪਵਾਦ, ਅਤੇ ਰੁਕਾਵਟਾਂ
ਇੰਟਰੱਪਟ ਪੱਧਰਾਂ ਦੀ ਗਿਣਤੀ
ਪ੍ਰਤੀ ਪੱਧਰ ਇੰਟਰੱਪਟ ਤਰਜੀਹਾਂ ਦੀ ਗਿਣਤੀ
ਕੌਂਫਿਗਰੇਬਲ ਇੰਟਰੱਪਟ ਪੋਲਰਿਟੀ ਸਪੋਰਟ ਐਜ ਟਰਿੱਗਰਡ ਇੰਟਰੱਪਟਸ

ਵਰਣਨ
· ਐਪਲੀਕੇਸ਼ਨ ਕੋਡ ਲਈ ਇੱਕ ਵਾਧੂ ਪੱਧਰ 0 ਦੇ ਨਾਲ ਇੰਟਰੱਪਟ ਪੱਧਰਾਂ ਦੀ ਸੰਖਿਆ ਨਿਰਧਾਰਤ ਕਰਦਾ ਹੈ। ਉੱਚ ਪੱਧਰ ਦੇ ਇੰਟਰੱਪਟ ਇੱਕ ਹੇਠਲੇ-ਪੱਧਰ ਦੇ ਇੰਟਰੱਪਟ ਲਈ ਚੱਲ ਰਹੇ ਹੈਂਡਲਰ ਨੂੰ ਇੰਟਰੱਪਟ (ਪ੍ਰੀ-ਐਮਪ੍ਟ) ਕਰ ਸਕਦੇ ਹਨ।
· ਇੰਟਰੱਪਟ ਲਈ ਇੱਕੋ ਇੱਕ ਵਿਕਲਪ ਦੇ ਤੌਰ 'ਤੇ ਗੈਰ-ਜ਼ੀਰੋ ਇੰਟਰੱਪਟ ਪੱਧਰਾਂ ਦੇ ਨਾਲ, ਐਪਲੀਕੇਸ਼ਨ ਕੋਡ ਹਮੇਸ਼ਾਂ ਸਭ ਤੋਂ ਹੇਠਲੇ ਪੱਧਰ 0 'ਤੇ ਹੁੰਦਾ ਹੈ। ਨੋਟ: ਇੱਕ ਇੰਟਰੱਪਟ ਦੇ ਪੱਧਰ ਅਤੇ ਤਰਜੀਹ ਦੀ ਰਨ-ਟਾਈਮ ਸੰਰਚਨਾ ਇੱਕ ਸਿੰਗਲ 8-ਬਿੱਟ ਰਜਿਸਟਰ ਵਿੱਚ ਕੀਤੀ ਜਾਂਦੀ ਹੈ। ਜੇਕਰ ਇੰਟਰੱਪਟ ਪੱਧਰਾਂ ਦੀ ਗਿਣਤੀ 256 ਹੈ, ਤਾਂ ਰਨ-ਟਾਈਮ 'ਤੇ ਇੰਟਰੱਪਟ ਤਰਜੀਹ ਨੂੰ ਸੰਰਚਿਤ ਕਰਨਾ ਸੰਭਵ ਨਹੀਂ ਹੈ। ਨਹੀਂ ਤਾਂ, ਸੰਰਚਨਾਯੋਗ ਤਰਜੀਹਾਂ ਦੀ ਵੱਧ ਤੋਂ ਵੱਧ ਸੰਖਿਆ 256 / (ਇੰਟਰੱਪਟ ਪੱਧਰਾਂ ਦੀ ਗਿਣਤੀ - 1) ਹੈ।
· ਇੰਟਰੱਪਟ ਤਰਜੀਹਾਂ ਦੀ ਸੰਖਿਆ ਨਿਰਧਾਰਤ ਕਰਦਾ ਹੈ, ਜਿਸਨੂੰ CLIC ਉਸ ਕ੍ਰਮ ਨੂੰ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ ਵਰਤਦਾ ਹੈ ਜਿਸ ਵਿੱਚ ਗੈਰ-ਪ੍ਰੀ-ਐਂਪਟਿੰਗ ਇੰਟਰੱਪਟ ਹੈਂਡਲਰ ਬੁਲਾਏ ਜਾਂਦੇ ਹਨ। ਨੋਟ: ਚੁਣੇ ਗਏ ਇੰਟਰੱਪਟ ਪੱਧਰ ਅਤੇ ਚੁਣੇ ਗਏ ਇੰਟਰੱਪਟ ਤਰਜੀਹ ਦੇ ਬਾਈਨਰੀ ਮੁੱਲਾਂ ਦਾ ਜੋੜ 8 ਬਿੱਟਾਂ ਤੋਂ ਘੱਟ ਹੋਣਾ ਚਾਹੀਦਾ ਹੈ।
· ਤੁਹਾਨੂੰ ਰਨਟਾਈਮ ਦੌਰਾਨ ਇੰਟਰੱਪਟ ਪੋਲੈਰਿਟੀ ਨੂੰ ਕੌਂਫਿਗਰ ਕਰਨ ਦੀ ਆਗਿਆ ਦਿੰਦਾ ਹੈ। · ਡਿਫਾਲਟ ਪੋਲੈਰਿਟੀ ਸਕਾਰਾਤਮਕ ਪੋਲੈਰਿਟੀ ਹੈ।
· ਤੁਹਾਨੂੰ ਰਨਟਾਈਮ ਦੌਰਾਨ ਇੰਟਰੱਪਟ ਟਰਿੱਗਰ ਸਥਿਤੀ ਨੂੰ ਕੌਂਫਿਗਰ ਕਰਨ ਦੀ ਆਗਿਆ ਦਿੰਦਾ ਹੈ, ਭਾਵ ਉੱਚ-ਪੱਧਰੀ ਟਰਿੱਗਰਡ ਜਾਂ ਸਕਾਰਾਤਮਕ-ਕਿਨਾਰਾ ਟ੍ਰਿਗਰਡ (ਜਦੋਂ ਇੰਟਰੱਪਟ ਪੋਲੈਰਿਟੀ ਕੌਂਫਿਗਰੇਬਲ ਇੰਟਰੱਪਟ ਪੋਲੈਰਿਟੀ ਵਿੱਚ ਸਕਾਰਾਤਮਕ ਹੁੰਦੀ ਹੈ)।
· ਡਿਫਾਲਟ ਟਰਿੱਗਰ ਸਥਿਤੀ ਲੈਵਲ ਟਰਿੱਗਰਡ ਇੰਟਰੱਪਟ ਹੈ।

ਨੋਟ:

ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਇੱਕ ਐਬਸੋਲਿਉਟ ਵਿਕਲਪ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ, ਜੋ ਤੁਹਾਨੂੰ ਰੀਸੈਟ ਆਫਸੈੱਟ ਵਿੱਚ ਇੱਕ ਐਬਸੋਲਿਉਟ ਪਤਾ ਨਿਰਧਾਰਤ ਕਰਨ ਦੀ ਆਗਿਆ ਦਿੰਦਾ ਹੈ। ਇਸ ਵਿਕਲਪ ਦੀ ਵਰਤੋਂ ਉਦੋਂ ਕਰੋ ਜਦੋਂ ਰੀਸੈਟ ਵੈਕਟਰ ਨੂੰ ਸਟੋਰ ਕਰਨ ਵਾਲੀ ਮੈਮੋਰੀ ਪ੍ਰੋਸੈਸਰ ਸਿਸਟਮ ਅਤੇ ਸਬਸਿਸਟਮਾਂ ਦੇ ਬਾਹਰ ਸਥਿਤ ਹੋਵੇ।

ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ Nios® V ਪ੍ਰੋਸੈਸਰ ਰੈਫਰੈਂਸ ਮੈਨੂਅਲ

2.1.1.3.6. ਮੈਮੋਰੀ ਸੰਰਚਨਾ ਟੈਬ

ਸਾਰਣੀ 17. ਮੈਮੋਰੀ ਕੌਂਫਿਗਰੇਸ਼ਨ ਟੈਬ ਪੈਰਾਮੀਟਰ

ਸ਼੍ਰੇਣੀ

ਮੈਮੋਰੀ ਸੰਰਚਨਾ ਟੈਬ

ਵਰਣਨ

ਕੈਸ਼

ਡਾਟਾ ਕੈਸ਼ ਆਕਾਰ

· ਡੇਟਾ ਕੈਸ਼ ਦਾ ਆਕਾਰ ਦਰਸਾਉਂਦਾ ਹੈ। · ਵੈਧ ਆਕਾਰ 0 ਕਿਲੋਬਾਈਟ (KB) ਤੋਂ 16 KB ਤੱਕ ਹਨ। · ਜਦੋਂ ਆਕਾਰ 0 KB ਹੋਵੇ ਤਾਂ ਡੇਟਾ ਕੈਸ਼ ਨੂੰ ਬੰਦ ਕਰ ਦਿਓ।

ਹਦਾਇਤ ਕੈਸ਼ ਆਕਾਰ

· ਹਦਾਇਤ ਕੈਸ਼ ਦਾ ਆਕਾਰ ਦਰਸਾਉਂਦਾ ਹੈ। · ਵੈਧ ਆਕਾਰ 0 KB ਤੋਂ 16 KB ਤੱਕ ਹਨ। · ਜਦੋਂ ਆਕਾਰ 0 KB ਹੋਵੇ ਤਾਂ ਹਦਾਇਤ ਕੈਸ਼ ਨੂੰ ਬੰਦ ਕਰ ਦਿਓ।

ਪੈਰੀਫਿਰਲ ਖੇਤਰ A ਅਤੇ B

ਆਕਾਰ

· ਪੈਰੀਫਿਰਲ ਖੇਤਰ ਦਾ ਆਕਾਰ ਦਰਸਾਉਂਦਾ ਹੈ।
· ਵੈਧ ਆਕਾਰ 64 KB ਤੋਂ 2 ਗੀਗਾਬਾਈਟ (GB), ਜਾਂ ਕੋਈ ਨਹੀਂ ਹਨ। ਕੋਈ ਨਹੀਂ ਚੁਣਨ ਨਾਲ ਪੈਰੀਫਿਰਲ ਖੇਤਰ ਅਯੋਗ ਹੋ ਜਾਂਦਾ ਹੈ।

ਅਧਾਰ ਪਤਾ

· ਆਕਾਰ ਚੁਣਨ ਤੋਂ ਬਾਅਦ ਪੈਰੀਫਿਰਲ ਖੇਤਰ ਦਾ ਅਧਾਰ ਪਤਾ ਦਰਸਾਉਂਦਾ ਹੈ।
· ਪੈਰੀਫਿਰਲ ਖੇਤਰ ਦੇ ਸਾਰੇ ਪਤੇ ਅਣਚਾਹੇ ਡੇਟਾ ਐਕਸੈਸ ਪੈਦਾ ਕਰਦੇ ਹਨ।
· ਪੈਰੀਫਿਰਲ ਰੀਜਨ ਬੇਸ ਐਡਰੈੱਸ ਪੈਰੀਫਿਰਲ ਰੀਜਨ ਦੇ ਆਕਾਰ ਨਾਲ ਇਕਸਾਰ ਹੋਣਾ ਚਾਹੀਦਾ ਹੈ।

ਜੁੜੀਆਂ ਯਾਦਾਂ

ਆਕਾਰ

· ਕੱਸ ਕੇ ਜੋੜੀ ਗਈ ਮੈਮੋਰੀ ਦਾ ਆਕਾਰ ਦਰਸਾਉਂਦਾ ਹੈ। — ਵੈਧ ਆਕਾਰ 0 MB ਤੋਂ 512 MB ਤੱਕ ਹਨ।

ਬੇਸ ਐਡਰੈੱਸ ਸ਼ੁਰੂਆਤੀਕਰਨ File

· ਟਾਈਟ-ਕਪਲਡ ਮੈਮੋਰੀ ਦਾ ਬੇਸ ਐਡਰੈੱਸ ਦੱਸਦਾ ਹੈ। · ਸ਼ੁਰੂਆਤੀਕਰਨ ਦੱਸਦਾ ਹੈ। file ਮਜ਼ਬੂਤੀ ਨਾਲ ਜੁੜੀ ਯਾਦਦਾਸ਼ਤ ਲਈ।

ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 21

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਨਾਲ
726952 | 2025.07.16 ਹੈ

ਨੋਟ:

ਕੈਸ਼ ਸਮਰਥਿਤ Nios V ਪ੍ਰੋਸੈਸਰ ਸਿਸਟਮ ਵਿੱਚ, ਤੁਹਾਨੂੰ ਸਿਸਟਮ ਪੈਰੀਫਿਰਲਾਂ ਨੂੰ ਇੱਕ ਪੈਰੀਫਿਰਲ ਖੇਤਰ ਦੇ ਅੰਦਰ ਰੱਖਣਾ ਚਾਹੀਦਾ ਹੈ। ਤੁਸੀਂ UART, PIO, DMA, ਅਤੇ ਹੋਰਾਂ ਵਰਗੇ ਪੈਰੀਫਿਰਲਾਂ ਲਈ ਇੱਕ ਗੈਰ-ਕੈਸ਼ਯੋਗ ਲੈਣ-ਦੇਣ ਨੂੰ ਪਰਿਭਾਸ਼ਿਤ ਕਰਨ ਲਈ ਪੈਰੀਫਿਰਲ ਖੇਤਰਾਂ ਦੀ ਵਰਤੋਂ ਕਰ ਸਕਦੇ ਹੋ।

2.1.1.3.7. ECC ਟੈਬ

ਟੇਬਲ 18. ECC ਟੈਬ
ECC ਗਲਤੀ ਖੋਜ ਅਤੇ ਸਥਿਤੀ ਰਿਪੋਰਟਿੰਗ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਂਦਾ ਹੈ
ਸਿੰਗਲ ਬਿੱਟ ਸੁਧਾਰ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ

ਵਰਣਨ
· Nios V ਪ੍ਰੋਸੈਸਰ ਦੇ ਅੰਦਰੂਨੀ RAM ਬਲਾਕਾਂ ਲਈ ECC ਵਿਸ਼ੇਸ਼ਤਾ ਲਾਗੂ ਕਰਨ ਲਈ ਇਸ ਵਿਕਲਪ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ। · ECC ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ 2-ਬਿੱਟ ਤੱਕ ਦੀਆਂ ਗਲਤੀਆਂ ਦਾ ਪਤਾ ਲਗਾਉਂਦੀਆਂ ਹਨ ਅਤੇ ਹੇਠ ਦਿੱਤੇ ਵਿਵਹਾਰ ਦੇ ਅਧਾਰ ਤੇ ਪ੍ਰਤੀਕਿਰਿਆ ਕਰਦੀਆਂ ਹਨ:
— ਜੇਕਰ ਇਹ ਇੱਕ ਸੁਧਾਰਯੋਗ ਸਿੰਗਲ ਬਿੱਟ ਗਲਤੀ ਹੈ ਅਤੇ ਸਿੰਗਲ ਬਿੱਟ ਸੁਧਾਰ ਨੂੰ ਸਮਰੱਥ ਕਰੋ ਬੰਦ ਹੈ, ਤਾਂ ਪ੍ਰੋਸੈਸਰ ਪਾਈਪਲਾਈਨ ਵਿੱਚ ਗਲਤੀ ਨੂੰ ਠੀਕ ਕਰਨ ਤੋਂ ਬਾਅਦ ਵੀ ਕੰਮ ਕਰਨਾ ਜਾਰੀ ਰੱਖਦਾ ਹੈ। ਹਾਲਾਂਕਿ, ਸੁਧਾਰ ਸਰੋਤ ਯਾਦਾਂ ਵਿੱਚ ਪ੍ਰਤੀਬਿੰਬਤ ਨਹੀਂ ਹੁੰਦਾ।
— ਜੇਕਰ ਇਹ ਇੱਕ ਸੁਧਾਰਯੋਗ ਸਿੰਗਲ ਬਿੱਟ ਗਲਤੀ ਹੈ ਅਤੇ ਸਿੰਗਲ ਬਿੱਟ ਸੁਧਾਰ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ ਚਾਲੂ ਹੈ, ਤਾਂ ਪ੍ਰੋਸੈਸਰ ਪਾਈਪਲਾਈਨ ਅਤੇ ਸਰੋਤ ਯਾਦਾਂ ਵਿੱਚ ਗਲਤੀ ਨੂੰ ਠੀਕ ਕਰਨ ਤੋਂ ਬਾਅਦ ਵੀ ਕੰਮ ਕਰਨਾ ਜਾਰੀ ਰੱਖਦਾ ਹੈ।
— ਜੇਕਰ ਇਹ ਇੱਕ ਨਾ-ਸੁਧਾਰਨਯੋਗ ਗਲਤੀ ਹੈ, ਤਾਂ ਪ੍ਰੋਸੈਸਰ ਆਪਣਾ ਕੰਮ ਰੋਕ ਦਿੰਦਾ ਹੈ।
ਕੋਰ ਵਿੱਚ ਏਮਬੈਡਡ ਮੈਮੋਰੀ ਬਲਾਕਾਂ 'ਤੇ ਸਿੰਗਲ ਬਿੱਟ ਸੁਧਾਰ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ।

2.1.1.3.8. ਕਸਟਮ ਹਦਾਇਤ ਟੈਬ

ਨੋਟ:

ਇਹ ਟੈਬ ਸਿਰਫ਼ Nios V/g ਪ੍ਰੋਸੈਸਰ ਕੋਰ ਲਈ ਉਪਲਬਧ ਹੈ।

ਕਸਟਮ ਇੰਸਟ੍ਰਕਸ਼ਨ ਨਿਓਸ V ਕਸਟਮ ਇੰਸਟ੍ਰਕਸ਼ਨ ਹਾਰਡਵੇਅਰ ਇੰਟਰਫੇਸ ਟੇਬਲ
Nios V ਕਸਟਮ ਇੰਸਟ੍ਰਕਸ਼ਨ ਸਾਫਟਵੇਅਰ ਮੈਕਰੋ ਟੇਬਲ

ਵਰਣਨ
· Nios V ਪ੍ਰੋਸੈਸਰ ਆਪਣੇ ਕਸਟਮ ਇੰਸਟ੍ਰਕਸ਼ਨ ਮੈਨੇਜਰ ਇੰਟਰਫੇਸਾਂ ਨੂੰ ਪਰਿਭਾਸ਼ਿਤ ਕਰਨ ਲਈ ਇਸ ਟੇਬਲ ਦੀ ਵਰਤੋਂ ਕਰਦਾ ਹੈ।
· ਪਰਿਭਾਸ਼ਿਤ ਕਸਟਮ ਇੰਸਟ੍ਰਕਸ਼ਨ ਮੈਨੇਜਰ ਇੰਟਰਫੇਸ ਇੱਕ ਓਪਕੋਡ (CUSTOM0-3) ਅਤੇ funct7[6:4] ਦੇ 3 ਬਿੱਟਾਂ ਦੁਆਰਾ ਵਿਲੱਖਣ ਤੌਰ 'ਤੇ ਏਨਕੋਡ ਕੀਤੇ ਜਾਂਦੇ ਹਨ।
· ਤੁਸੀਂ ਕੁੱਲ 32 ਵਿਅਕਤੀਗਤ ਕਸਟਮ ਨਿਰਦੇਸ਼ ਪ੍ਰਬੰਧਕ ਇੰਟਰਫੇਸਾਂ ਨੂੰ ਪਰਿਭਾਸ਼ਿਤ ਕਰ ਸਕਦੇ ਹੋ।
· Nios V ਪ੍ਰੋਸੈਸਰ ਇਸ ਟੇਬਲ ਦੀ ਵਰਤੋਂ ਪਰਿਭਾਸ਼ਿਤ ਕਸਟਮ ਇੰਸਟ੍ਰਕਸ਼ਨ ਮੈਨੇਜਰ ਇੰਟਰਫੇਸਾਂ ਲਈ ਕਸਟਮ ਇੰਸਟ੍ਰਕਸ਼ਨ ਸਾਫਟਵੇਅਰ ਏਨਕੋਡਿੰਗ ਨੂੰ ਪਰਿਭਾਸ਼ਿਤ ਕਰਨ ਲਈ ਕਰਦਾ ਹੈ।
· ਹਰੇਕ ਪਰਿਭਾਸ਼ਿਤ ਕਸਟਮ ਇੰਸਟ੍ਰਕਸ਼ਨ ਸਾਫਟਵੇਅਰ ਏਨਕੋਡਿੰਗ ਲਈ, ਓਪਕੋਡ (CUSTOM0-3) ਅਤੇ funct7[6:4] ਏਨਕੋਡਿੰਗ ਦੇ 3 ਬਿੱਟ ਕਸਟਮ ਇੰਸਟ੍ਰਕਸ਼ਨ ਹਾਰਡਵੇਅਰ ਇੰਟਰਫੇਸ ਟੇਬਲ ਵਿੱਚ ਇੱਕ ਪਰਿਭਾਸ਼ਿਤ ਕਸਟਮ ਇੰਸਟ੍ਰਕਸ਼ਨ ਮੈਨੇਜਰ ਇੰਟਰਫੇਸ ਏਨਕੋਡਿੰਗ ਨਾਲ ਸੰਬੰਧਿਤ ਹੋਣੇ ਚਾਹੀਦੇ ਹਨ।
· ਤੁਸੀਂ ਕਿਸੇ ਦਿੱਤੇ ਗਏ ਕਸਟਮ ਨਿਰਦੇਸ਼ ਲਈ ਵਾਧੂ ਏਨਕੋਡਿੰਗ ਨੂੰ ਪਰਿਭਾਸ਼ਿਤ ਕਰਨ ਲਈ funct7[6:4], funct7[3:0], ਅਤੇ funct3[2:0] ਦੀ ਵਰਤੋਂ ਕਰ ਸਕਦੇ ਹੋ, ਜਾਂ ਵਾਧੂ ਨਿਰਦੇਸ਼ ਆਰਗੂਮੈਂਟਾਂ ਵਜੋਂ ਪਾਸ ਕਰਨ ਲਈ Xs ਦੇ ਰੂਪ ਵਿੱਚ ਨਿਰਧਾਰਤ ਕਰ ਸਕਦੇ ਹੋ।
· Nios V ਪ੍ਰੋਸੈਸਰ system.h ਵਿੱਚ ਤਿਆਰ ਕੀਤੇ C-macros ਦੇ ਰੂਪ ਵਿੱਚ ਪਰਿਭਾਸ਼ਿਤ ਕਸਟਮ ਨਿਰਦੇਸ਼ ਸਾਫਟਵੇਅਰ ਏਨਕੋਡਿੰਗ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ, ਅਤੇ R-ਟਾਈਪ RISC-V ਨਿਰਦੇਸ਼ ਫਾਰਮੈਟ ਦੀ ਪਾਲਣਾ ਕਰਦਾ ਹੈ।
· ਯਾਦਦਾਸ਼ਤ ਵਿਗਿਆਨ ਦੀ ਵਰਤੋਂ ਇਹਨਾਂ ਲਈ ਕਸਟਮ ਨਾਮ ਪਰਿਭਾਸ਼ਿਤ ਕਰਨ ਲਈ ਕੀਤੀ ਜਾ ਸਕਦੀ ਹੈ: — system.h ਵਿੱਚ ਤਿਆਰ ਕੀਤੇ C-Macros.
— custom_instruction_debug.xml ਵਿੱਚ ਤਿਆਰ ਕੀਤਾ GDB ਡੀਬੱਗ ਮੈਮੋਨਿਕਸ।

ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
AN 977: Nios V ਪ੍ਰੋਸੈਸਰ ਕਸਟਮ ਹਦਾਇਤਾਂ ਉਹਨਾਂ ਕਸਟਮ ਹਦਾਇਤਾਂ ਬਾਰੇ ਵਧੇਰੇ ਜਾਣਕਾਰੀ ਲਈ ਜੋ ਤੁਹਾਨੂੰ ਕਿਸੇ ਖਾਸ ਐਪਲੀਕੇਸ਼ਨ ਦੀਆਂ ਜ਼ਰੂਰਤਾਂ ਨੂੰ ਪੂਰਾ ਕਰਨ ਲਈ Nios® V ਪ੍ਰੋਸੈਸਰ ਨੂੰ ਅਨੁਕੂਲਿਤ ਕਰਨ ਦੀ ਆਗਿਆ ਦਿੰਦੀਆਂ ਹਨ।

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 22

ਫੀਡਬੈਕ ਭੇਜੋ

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ 726952 ਨਾਲ | 2025.07.16
2.1.2. ਸਿਸਟਮ ਕੰਪੋਨੈਂਟ ਡਿਜ਼ਾਈਨ ਨੂੰ ਪਰਿਭਾਸ਼ਿਤ ਕਰਨਾ
Nios V ਪ੍ਰੋਸੈਸਰ ਸਿਸਟਮ ਦੀਆਂ ਹਾਰਡਵੇਅਰ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਨੂੰ ਪਰਿਭਾਸ਼ਿਤ ਕਰਨ ਅਤੇ ਲੋੜੀਂਦੇ ਹਿੱਸਿਆਂ ਨੂੰ ਜੋੜਨ ਲਈ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਦੀ ਵਰਤੋਂ ਕਰੋ। ਹੇਠਾਂ ਦਿੱਤਾ ਚਿੱਤਰ ਹੇਠ ਲਿਖੇ ਹਿੱਸਿਆਂ ਦੇ ਨਾਲ ਇੱਕ ਬੁਨਿਆਦੀ Nios V ਪ੍ਰੋਸੈਸਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ: · Nios V ਪ੍ਰੋਸੈਸਰ ਕੋਰ · ਆਨ-ਚਿੱਪ ਮੈਮੋਰੀ · JTAG UART · ਅੰਤਰਾਲ ਟਾਈਮਰ (ਵਿਕਲਪਿਕ)(1)
ਜਦੋਂ ਇੱਕ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਸਿਸਟਮ ਵਿੱਚ ਇੱਕ ਨਵੀਂ ਔਨ-ਚਿੱਪ ਮੈਮੋਰੀ ਜੋੜੀ ਜਾਂਦੀ ਹੈ, ਤਾਂ ਰੀਸੈਟ ਵਿੱਚ ਜੋੜੇ ਗਏ ਮੈਮੋਰੀ ਕੰਪੋਨੈਂਟਸ ਨੂੰ ਦਰਸਾਉਣ ਲਈ ਸਿੰਕ ਸਿਸਟਮ ਇਨਫੋਸ ਕਰੋ। ਵਿਕਲਪਕ ਤੌਰ 'ਤੇ, ਤੁਸੀਂ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਵਿੱਚ ਆਟੋ ਸਿੰਕ ਨੂੰ ਸਮਰੱਥ ਬਣਾ ਸਕਦੇ ਹੋ ਤਾਂ ਜੋ ਨਵੀਨਤਮ ਕੰਪੋਨੈਂਟ ਬਦਲਾਵਾਂ ਨੂੰ ਆਪਣੇ ਆਪ ਪ੍ਰਤੀਬਿੰਬਤ ਕੀਤਾ ਜਾ ਸਕੇ।
ਚਿੱਤਰ 11. ਸਾਬਕਾampਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਵਿੱਚ ਹੋਰ ਪੈਰੀਫਿਰਲਾਂ ਨਾਲ Nios V ਪ੍ਰੋਸੈਸਰ ਦਾ ਕਨੈਕਸ਼ਨ

(1) ਤੁਹਾਡੇ ਕੋਲ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਵਿੱਚ ਬਾਹਰੀ ਅੰਤਰਾਲ ਟਾਈਮਰ ਨੂੰ ਬਦਲਣ ਲਈ Nios V ਅੰਦਰੂਨੀ ਟਾਈਮਰ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦੀ ਵਰਤੋਂ ਕਰਨ ਦਾ ਵਿਕਲਪ ਹੈ।

ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 23

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਨਾਲ
726952 | 2025.07.16 ਹੈ
ਤੁਹਾਨੂੰ ਆਪਣੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਸਿਸਟਮ ਵਿੱਚ ਕੰਡਿਊਟ ਦੇ ਤੌਰ 'ਤੇ ਨਿਰਯਾਤ ਕਰਨ ਲਈ ਓਪਰੇਸ਼ਨ ਪਿੰਨ ਨੂੰ ਵੀ ਪਰਿਭਾਸ਼ਿਤ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ। ਉਦਾਹਰਣ ਵਜੋਂample, ਇੱਕ ਸਹੀ FPGA ਸਿਸਟਮ ਓਪਰੇਸ਼ਨ ਪਿੰਨ ਸੂਚੀ ਹੇਠਾਂ ਦਿੱਤੀ ਗਈ ਹੈ ਪਰ ਇਹਨਾਂ ਤੱਕ ਸੀਮਿਤ ਨਹੀਂ ਹੈ:
· ਘੜੀ
Set ਰੀਸੈੱਟ
· I/O ਸਿਗਨਲ
2.1.3. ਬੇਸ ਐਡਰੈੱਸ ਅਤੇ ਇੰਟਰੱਪਟ ਬੇਨਤੀ ਤਰਜੀਹਾਂ ਨਿਰਧਾਰਤ ਕਰਨਾ
ਇਹ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ ਕਿ ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਸ਼ਾਮਲ ਕੀਤੇ ਗਏ ਹਿੱਸੇ ਇੱਕ ਸਿਸਟਮ ਬਣਾਉਣ ਲਈ ਕਿਵੇਂ ਇੰਟਰੈਕਟ ਕਰਦੇ ਹਨ, ਤੁਹਾਨੂੰ ਹਰੇਕ ਏਜੰਟ ਹਿੱਸੇ ਲਈ ਬੇਸ ਐਡਰੈੱਸ ਨਿਰਧਾਰਤ ਕਰਨ ਅਤੇ J ਲਈ ਇੰਟਰੱਪਟ ਰਿਕਵੈਸਟ (IRQ) ਤਰਜੀਹਾਂ ਨਿਰਧਾਰਤ ਕਰਨ ਦੀ ਲੋੜ ਹੈ।TAG UART ਅਤੇ ਅੰਤਰਾਲ ਟਾਈਮਰ। ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਇੱਕ ਕਮਾਂਡ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ - ਅਸਾਈਨ ਬੇਸ ਐਡਰੈੱਸ - ਜੋ ਆਪਣੇ ਆਪ ਹੀ ਇੱਕ ਸਿਸਟਮ ਦੇ ਸਾਰੇ ਹਿੱਸਿਆਂ ਨੂੰ ਸਹੀ ਬੇਸ ਐਡਰੈੱਸ ਨਿਰਧਾਰਤ ਕਰਦਾ ਹੈ। ਹਾਲਾਂਕਿ, ਤੁਸੀਂ ਆਪਣੀਆਂ ਜ਼ਰੂਰਤਾਂ ਦੇ ਅਧਾਰ ਤੇ ਬੇਸ ਐਡਰੈੱਸ ਨੂੰ ਐਡਜਸਟ ਕਰ ਸਕਦੇ ਹੋ।
ਅਧਾਰ ਪਤੇ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ ਕੁਝ ਦਿਸ਼ਾ-ਨਿਰਦੇਸ਼ ਹੇਠਾਂ ਦਿੱਤੇ ਗਏ ਹਨ:
· Nios V ਪ੍ਰੋਸੈਸਰ ਕੋਰ ਵਿੱਚ 32-ਬਿੱਟ ਐਡਰੈੱਸ ਸਪੈਨ ਹੈ। ਏਜੰਟ ਕੰਪੋਨੈਂਟਸ ਤੱਕ ਪਹੁੰਚ ਕਰਨ ਲਈ, ਉਹਨਾਂ ਦਾ ਬੇਸ ਐਡਰੈੱਸ 0x00000000 ਅਤੇ 0xFFFFFFFF ਦੇ ਵਿਚਕਾਰ ਹੋਣਾ ਚਾਹੀਦਾ ਹੈ।
· Nios V ਪ੍ਰੋਗਰਾਮ ਪਤਿਆਂ ਦਾ ਹਵਾਲਾ ਦੇਣ ਲਈ ਪ੍ਰਤੀਕਾਤਮਕ ਸਥਿਰਾਂਕਾਂ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹਨ। ਤੁਹਾਨੂੰ ਅਜਿਹੇ ਪਤੇ ਮੁੱਲ ਚੁਣਨ ਦੀ ਲੋੜ ਨਹੀਂ ਹੈ ਜੋ ਯਾਦ ਰੱਖਣ ਵਿੱਚ ਆਸਾਨ ਹੋਣ।
· ਐਡਰੈੱਸ ਮੁੱਲ ਜੋ ਸਿਰਫ਼ ਇੱਕ-ਬਿੱਟ ਐਡਰੈੱਸ ਫਰਕ ਨਾਲ ਕੰਪੋਨੈਂਟਾਂ ਨੂੰ ਵੱਖਰਾ ਕਰਦੇ ਹਨ, ਵਧੇਰੇ ਕੁਸ਼ਲ ਹਾਰਡਵੇਅਰ ਪੈਦਾ ਕਰਦੇ ਹਨ। ਤੁਹਾਨੂੰ ਸਾਰੇ ਬੇਸ ਐਡਰੈੱਸਾਂ ਨੂੰ ਸਭ ਤੋਂ ਛੋਟੀ ਸੰਭਵ ਐਡਰੈੱਸ ਰੇਂਜ ਵਿੱਚ ਕੰਪੈਕਟ ਕਰਨ ਦੀ ਲੋੜ ਨਹੀਂ ਹੈ ਕਿਉਂਕਿ ਕੰਪੈਕਟਿੰਗ ਘੱਟ ਕੁਸ਼ਲ ਹਾਰਡਵੇਅਰ ਬਣਾ ਸਕਦੀ ਹੈ।
· ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਇੱਕ ਨਾਲ ਲੱਗਦੇ ਮੈਮੋਰੀ ਰੇਂਜ ਵਿੱਚ ਵੱਖਰੇ ਮੈਮੋਰੀ ਹਿੱਸਿਆਂ ਨੂੰ ਇਕਸਾਰ ਕਰਨ ਦੀ ਕੋਸ਼ਿਸ਼ ਨਹੀਂ ਕਰਦਾ। ਉਦਾਹਰਣ ਵਜੋਂampਹਾਂ, ਜੇਕਰ ਤੁਸੀਂ ਇੱਕ ਨਾਲ ਲੱਗਦੇ ਮੈਮੋਰੀ ਰੇਂਜ ਦੇ ਤੌਰ 'ਤੇ ਕਈ ਆਨ-ਚਿੱਪ ਮੈਮੋਰੀ ਕੰਪੋਨੈਂਟਸ ਨੂੰ ਐਡਰੈੱਸ ਕਰਨਾ ਚਾਹੁੰਦੇ ਹੋ, ਤਾਂ ਤੁਹਾਨੂੰ ਸਪੱਸ਼ਟ ਤੌਰ 'ਤੇ ਬੇਸ ਐਡਰੈੱਸ ਨਿਰਧਾਰਤ ਕਰਨੇ ਚਾਹੀਦੇ ਹਨ।
ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਇੱਕ ਆਟੋਮੇਸ਼ਨ ਕਮਾਂਡ ਵੀ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ - ਇੰਟਰੱਪਟ ਨੰਬਰ ਅਸਾਈਨ ਕਰੋ ਜੋ ਵੈਧ ਹਾਰਡਵੇਅਰ ਨਤੀਜੇ ਪੈਦਾ ਕਰਨ ਲਈ IRQ ਸਿਗਨਲਾਂ ਨੂੰ ਜੋੜਦਾ ਹੈ। ਹਾਲਾਂਕਿ, IRQs ਨੂੰ ਪ੍ਰਭਾਵਸ਼ਾਲੀ ਢੰਗ ਨਾਲ ਨਿਰਧਾਰਤ ਕਰਨ ਲਈ ਸਮੁੱਚੇ ਸਿਸਟਮ ਪ੍ਰਤੀਕਿਰਿਆ ਵਿਵਹਾਰ ਦੀ ਸਮਝ ਦੀ ਲੋੜ ਹੁੰਦੀ ਹੈ। ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਸਭ ਤੋਂ ਵਧੀਆ IRQ ਅਸਾਈਨਮੈਂਟ ਬਾਰੇ ਪੜ੍ਹੇ-ਲਿਖੇ ਅੰਦਾਜ਼ੇ ਨਹੀਂ ਲਗਾ ਸਕਦਾ।
ਸਭ ਤੋਂ ਘੱਟ IRQ ਮੁੱਲ ਦੀ ਸਭ ਤੋਂ ਵੱਧ ਤਰਜੀਹ ਹੁੰਦੀ ਹੈ। ਇੱਕ ਆਦਰਸ਼ ਸਿਸਟਮ ਵਿੱਚ, ਅਲਟੇਰਾ ਸਿਫ਼ਾਰਸ਼ ਕਰਦਾ ਹੈ ਕਿ ਟਾਈਮਰ ਕੰਪੋਨੈਂਟ ਨੂੰ ਸਭ ਤੋਂ ਵੱਧ ਤਰਜੀਹ IRQ, ਭਾਵ, ਸਭ ਤੋਂ ਘੱਟ ਮੁੱਲ, ਸਿਸਟਮ ਕਲਾਕ ਟਿੱਕ ਦੀ ਸ਼ੁੱਧਤਾ ਨੂੰ ਬਣਾਈ ਰੱਖਣ ਲਈ ਹੋਵੇ।
ਕੁਝ ਮਾਮਲਿਆਂ ਵਿੱਚ, ਤੁਸੀਂ ਰੀਅਲ ਟਾਈਮ ਪੈਰੀਫਿਰਲਾਂ (ਜਿਵੇਂ ਕਿ ਵੀਡੀਓ ਕੰਟਰੋਲਰ) ਨੂੰ ਉੱਚ ਤਰਜੀਹ ਦੇ ਸਕਦੇ ਹੋ, ਜੋ ਟਾਈਮਰ ਕੰਪੋਨੈਂਟਸ ਨਾਲੋਂ ਉੱਚ ਇੰਟਰੱਪਟ ਰੇਟ ਦੀ ਮੰਗ ਕਰਦਾ ਹੈ।
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋ ਐਡੀਸ਼ਨ ਯੂਜ਼ਰ ਗਾਈਡ: ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਨਾਲ ਸਿਸਟਮ ਬਣਾਉਣ ਬਾਰੇ ਹੋਰ ਜਾਣਕਾਰੀ।

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 24

ਫੀਡਬੈਕ ਭੇਜੋ

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ 726952 ਨਾਲ | 2025.07.16
2.2. ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਸਿਸਟਮ ਨੂੰ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ ਜੋੜਨਾ
ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਵਿੱਚ Nios V ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰਨ ਤੋਂ ਬਾਅਦ, Nios V ਸਿਸਟਮ ਮੋਡੀਊਲ ਨੂੰ Quartus Prime FPGA ਡਿਜ਼ਾਈਨ ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ ਏਕੀਕ੍ਰਿਤ ਕਰਨ ਲਈ ਹੇਠ ਲਿਖੇ ਕੰਮ ਕਰੋ। · Quartus Prime ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ Nios V ਸਿਸਟਮ ਮੋਡੀਊਲ ਨੂੰ ਸਥਾਪਿਤ ਕਰੋ · Nios V ਸਿਸਟਮ ਮੋਡੀਊਲ ਤੋਂ FPGA ਤਰਕ ਵਿੱਚ ਦੂਜੇ ਸਿਗਨਲਾਂ ਨਾਲ ਸਿਗਨਲਾਂ ਨੂੰ ਜੋੜੋ · ਭੌਤਿਕ ਪਿੰਨ ਸਥਾਨ ਨਿਰਧਾਰਤ ਕਰੋ · FPGA ਡਿਜ਼ਾਈਨ ਨੂੰ ਸੀਮਤ ਕਰੋ
2.2.1. ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ Nios V ਪ੍ਰੋਸੈਸਰ ਸਿਸਟਮ ਮੋਡੀਊਲ ਨੂੰ ਸਥਾਪਿਤ ਕਰਨਾ
ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਇੱਕ ਸਿਸਟਮ ਮੋਡੀਊਲ ਡਿਜ਼ਾਈਨ ਇਕਾਈ ਤਿਆਰ ਕਰਦਾ ਹੈ ਜਿਸਨੂੰ ਤੁਸੀਂ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਵਿੱਚ ਇੰਸਟੈਂਟੀਏਟ ਕਰ ਸਕਦੇ ਹੋ। ਤੁਸੀਂ ਸਿਸਟਮ ਮੋਡੀਊਲ ਨੂੰ ਕਿਵੇਂ ਇੰਸਟੈਂਟੀਏਟ ਕਰਦੇ ਹੋ ਇਹ ਸਮੁੱਚੇ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋਜੈਕਟ ਲਈ ਡਿਜ਼ਾਈਨ ਐਂਟਰੀ ਵਿਧੀ 'ਤੇ ਨਿਰਭਰ ਕਰਦਾ ਹੈ। ਉਦਾਹਰਣ ਵਜੋਂampਜਾਂ, ਜੇਕਰ ਤੁਸੀਂ ਡਿਜ਼ਾਈਨ ਐਂਟਰੀ ਲਈ ਵੇਰੀਲੌਗ ਐਚਡੀਐਲ ਦੀ ਵਰਤੋਂ ਕਰ ਰਹੇ ਸੀ, ਤਾਂ ਵੇਰੀਲੌਗ ਅਧਾਰਤ ਸਿਸਟਮ ਮੋਡੀਊਲ ਨੂੰ ਇੰਸਟੈਂਟੀਏਟ ਕਰੋ। ਜੇਕਰ ਤੁਸੀਂ ਡਿਜ਼ਾਈਨ ਐਂਟਰੀ ਲਈ ਬਲਾਕ ਡਾਇਗ੍ਰਾਮ ਵਿਧੀ ਦੀ ਵਰਤੋਂ ਕਰਨਾ ਪਸੰਦ ਕਰਦੇ ਹੋ, ਤਾਂ ਇੱਕ ਸਿਸਟਮ ਮੋਡੀਊਲ ਚਿੰਨ੍ਹ .bdf ਨੂੰ ਇੰਸਟੈਂਟੀਏਟ ਕਰੋ। file.
2.2.2. ਸਿਗਨਲਾਂ ਨੂੰ ਜੋੜਨਾ ਅਤੇ ਭੌਤਿਕ ਪਿੰਨ ਸਥਾਨ ਨਿਰਧਾਰਤ ਕਰਨਾ
ਆਪਣੇ Altera FPGA ਡਿਜ਼ਾਈਨ ਨੂੰ ਆਪਣੇ ਬੋਰਡ-ਪੱਧਰ ਦੇ ਡਿਜ਼ਾਈਨ ਨਾਲ ਜੋੜਨ ਲਈ, ਹੇਠ ਲਿਖੇ ਕੰਮ ਕਰੋ: · ਉੱਚ-ਪੱਧਰ ਦੀ ਪਛਾਣ ਕਰੋ file ਤੁਹਾਡੇ ਡਿਜ਼ਾਈਨ ਅਤੇ ਸਿਗਨਲਾਂ ਨੂੰ ਬਾਹਰੀ ਅਲਟੇਰਾ ਨਾਲ ਜੋੜਨ ਲਈ
FPGA ਡਿਵਾਈਸ ਪਿੰਨ। · ਆਪਣੇ ਬੋਰਡ-ਪੱਧਰ ਦੇ ਡਿਜ਼ਾਈਨ ਉਪਭੋਗਤਾ ਗਾਈਡ ਰਾਹੀਂ ਸਮਝੋ ਕਿ ਕਿਹੜੇ ਪਿੰਨ ਨੂੰ ਜੋੜਨਾ ਹੈ ਜਾਂ
ਸਕੀਮੈਟਿਕਸ। · ਪਿੰਨ ਨਾਲ ਆਪਣੇ Altera FPGA ਡਿਵਾਈਸ 'ਤੇ ਪੋਰਟਾਂ ਨੂੰ ਉੱਚ-ਪੱਧਰੀ ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਸਿਗਨਲ ਨਿਰਧਾਰਤ ਕਰੋ।
ਅਸਾਈਨਮੈਂਟ ਟੂਲ।
ਤੁਹਾਡਾ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਸਿਸਟਮ ਉੱਚ ਪੱਧਰੀ ਡਿਜ਼ਾਈਨ ਹੋ ਸਕਦਾ ਹੈ। ਹਾਲਾਂਕਿ, ਅਲਟੇਰਾ FPGA ਤੁਹਾਡੀਆਂ ਜ਼ਰੂਰਤਾਂ ਦੇ ਅਧਾਰ ਤੇ ਵਾਧੂ ਤਰਕ ਵੀ ਸ਼ਾਮਲ ਕਰ ਸਕਦਾ ਹੈ ਅਤੇ ਇਸ ਤਰ੍ਹਾਂ ਇੱਕ ਕਸਟਮ ਉੱਚ-ਪੱਧਰੀ ਪੇਸ਼ ਕਰਦਾ ਹੈ file. ਉੱਚ-ਪੱਧਰੀ file Nios V ਪ੍ਰੋਸੈਸਰ ਸਿਸਟਮ ਮੋਡੀਊਲ ਸਿਗਨਲਾਂ ਨੂੰ ਹੋਰ Altera FPGA ਡਿਜ਼ਾਈਨ ਲਾਜਿਕ ਨਾਲ ਜੋੜਦਾ ਹੈ।
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋ ਐਡੀਸ਼ਨ ਯੂਜ਼ਰ ਗਾਈਡ: ਡਿਜ਼ਾਈਨ ਪਾਬੰਦੀਆਂ
2.2.3. ਅਲਟੇਰਾ FPGA ਡਿਜ਼ਾਈਨ ਨੂੰ ਸੀਮਤ ਕਰਨਾ
ਇੱਕ ਸਹੀ Altera FPGA ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਡਿਜ਼ਾਈਨ ਪਾਬੰਦੀਆਂ ਸ਼ਾਮਲ ਹੁੰਦੀਆਂ ਹਨ ਤਾਂ ਜੋ ਇਹ ਯਕੀਨੀ ਬਣਾਇਆ ਜਾ ਸਕੇ ਕਿ ਡਿਜ਼ਾਈਨ ਸਮੇਂ ਦੀ ਸਮਾਪਤੀ ਅਤੇ ਹੋਰ ਤਰਕ ਸੀਮਾਵਾਂ ਦੀਆਂ ਜ਼ਰੂਰਤਾਂ ਨੂੰ ਪੂਰਾ ਕਰਦਾ ਹੈ। ਤੁਹਾਨੂੰ Quartus Prime ਸੌਫਟਵੇਅਰ ਜਾਂ ਤੀਜੀ-ਧਿਰ EDA ਪ੍ਰਦਾਤਾਵਾਂ ਵਿੱਚ ਪ੍ਰਦਾਨ ਕੀਤੇ ਗਏ ਟੂਲਸ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਇਹਨਾਂ ਜ਼ਰੂਰਤਾਂ ਨੂੰ ਸਪਸ਼ਟ ਤੌਰ 'ਤੇ ਪੂਰਾ ਕਰਨ ਲਈ ਆਪਣੇ Altera FPGA ਡਿਜ਼ਾਈਨ ਨੂੰ ਸੀਮਤ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ। Quartus Prime ਸੌਫਟਵੇਅਰ ਅਨੁਕੂਲ ਪਲੇਸਮੈਂਟ ਨਤੀਜੇ ਪ੍ਰਾਪਤ ਕਰਨ ਲਈ ਸੰਕਲਨ ਪੜਾਅ ਦੌਰਾਨ ਪ੍ਰਦਾਨ ਕੀਤੀਆਂ ਗਈਆਂ ਪਾਬੰਦੀਆਂ ਦੀ ਵਰਤੋਂ ਕਰਦਾ ਹੈ।

ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 25

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਨਾਲ
726952 | 2025.07.16 ਹੈ
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ · ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋ ਐਡੀਸ਼ਨ ਯੂਜ਼ਰ ਗਾਈਡ: ਡਿਜ਼ਾਈਨ ਪਾਬੰਦੀਆਂ · ਤੀਜੀ-ਧਿਰ EDA ਭਾਈਵਾਲ · ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋ ਐਡੀਸ਼ਨ ਯੂਜ਼ਰ ਗਾਈਡ: ਟਾਈਮਿੰਗ ਐਨਾਲਾਈਜ਼ਰ
2.3. ਇੱਕ Nios V ਪ੍ਰੋਸੈਸਰ ਮੈਮੋਰੀ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕਰਨਾ
ਇਹ ਭਾਗ Nios V ਪ੍ਰੋਸੈਸਰ ਵਾਲੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਏਮਬੈਡਡ ਸਿਸਟਮ ਵਿੱਚ ਮੈਮੋਰੀ ਡਿਵਾਈਸਾਂ ਦੀ ਚੋਣ ਕਰਨ ਅਤੇ ਸਰਵੋਤਮ ਪ੍ਰਦਰਸ਼ਨ ਪ੍ਰਾਪਤ ਕਰਨ ਲਈ ਸਭ ਤੋਂ ਵਧੀਆ ਅਭਿਆਸਾਂ ਦਾ ਵਰਣਨ ਕਰਦਾ ਹੈ। ਮੈਮੋਰੀ ਡਿਵਾਈਸਾਂ ਇੱਕ ਏਮਬੈਡਡ ਸਿਸਟਮ ਦੇ ਸਮੁੱਚੇ ਪ੍ਰਦਰਸ਼ਨ ਨੂੰ ਬਿਹਤਰ ਬਣਾਉਣ ਵਿੱਚ ਮਹੱਤਵਪੂਰਨ ਭੂਮਿਕਾ ਨਿਭਾਉਂਦੀਆਂ ਹਨ। ਏਮਬੈਡਡ ਸਿਸਟਮ ਮੈਮੋਰੀ ਪ੍ਰੋਗਰਾਮ ਨਿਰਦੇਸ਼ਾਂ ਅਤੇ ਡੇਟਾ ਨੂੰ ਸਟੋਰ ਕਰਦੀ ਹੈ।
2.3.1. ਅਸਥਿਰ ਯਾਦਦਾਸ਼ਤ
ਮੈਮੋਰੀ ਕਿਸਮ ਵਿੱਚ ਇੱਕ ਮੁੱਖ ਅੰਤਰ ਅਸਥਿਰਤਾ ਹੈ। ਅਸਥਿਰ ਮੈਮੋਰੀ ਸਿਰਫ਼ ਉਦੋਂ ਹੀ ਆਪਣੀ ਸਮੱਗਰੀ ਰੱਖਦੀ ਹੈ ਜਦੋਂ ਤੁਸੀਂ ਮੈਮੋਰੀ ਡਿਵਾਈਸ ਨੂੰ ਪਾਵਰ ਸਪਲਾਈ ਕਰਦੇ ਹੋ। ਜਿਵੇਂ ਹੀ ਤੁਸੀਂ ਪਾਵਰ ਹਟਾਉਂਦੇ ਹੋ, ਮੈਮੋਰੀ ਆਪਣੀ ਸਮੱਗਰੀ ਗੁਆ ਦਿੰਦੀ ਹੈ।
Exampਘੱਟ ਅਸਥਿਰ ਮੈਮੋਰੀ RAM, ਕੈਸ਼ ਅਤੇ ਰਜਿਸਟਰ ਹਨ। ਇਹ ਤੇਜ਼ ਮੈਮੋਰੀ ਕਿਸਮਾਂ ਹਨ ਜੋ ਚੱਲ ਰਹੀ ਕਾਰਗੁਜ਼ਾਰੀ ਨੂੰ ਵਧਾਉਂਦੀਆਂ ਹਨ। Altera ਤੁਹਾਨੂੰ RAM ਵਿੱਚ Nios V ਪ੍ਰੋਸੈਸਰ ਨਿਰਦੇਸ਼ਾਂ ਨੂੰ ਲੋਡ ਕਰਨ ਅਤੇ ਲਾਗੂ ਕਰਨ ਅਤੇ ਅਨੁਕੂਲ ਪ੍ਰਦਰਸ਼ਨ ਲਈ Nios V IP ਕੋਰ ਨੂੰ ਆਨ-ਚਿੱਪ ਮੈਮੋਰੀ IP ਜਾਂ ਬਾਹਰੀ ਮੈਮੋਰੀ ਇੰਟਰਫੇਸ IP ਨਾਲ ਜੋੜਨ ਦੀ ਸਿਫਾਰਸ਼ ਕਰਦਾ ਹੈ।
ਪ੍ਰਦਰਸ਼ਨ ਨੂੰ ਬਿਹਤਰ ਬਣਾਉਣ ਲਈ, ਤੁਸੀਂ Nios V ਪ੍ਰੋਸੈਸਰ ਡੇਟਾ ਮੈਨੇਜਰ ਇੰਟਰਫੇਸ ਕਿਸਮ ਜਾਂ ਚੌੜਾਈ ਨੂੰ ਬੂਟ RAM ਨਾਲ ਮਿਲਾ ਕੇ ਵਾਧੂ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਅਨੁਕੂਲਨ ਭਾਗਾਂ ਨੂੰ ਖਤਮ ਕਰ ਸਕਦੇ ਹੋ। ਉਦਾਹਰਣ ਵਜੋਂampਇਸ ਤੋਂ ਇਲਾਵਾ, ਤੁਸੀਂ ਆਨ-ਚਿੱਪ ਮੈਮੋਰੀ II ਨੂੰ 32-ਬਿੱਟ AXI-4 ਇੰਟਰਫੇਸ ਨਾਲ ਕੌਂਫਿਗਰ ਕਰ ਸਕਦੇ ਹੋ, ਜੋ Nios V ਡਾਟਾ ਮੈਨੇਜਰ ਇੰਟਰਫੇਸ ਨਾਲ ਮੇਲ ਖਾਂਦਾ ਹੈ।
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ · ਬਾਹਰੀ ਮੈਮੋਰੀ ਇੰਟਰਫੇਸ IP ਸਹਾਇਤਾ ਕੇਂਦਰ · ਆਨ-ਚਿੱਪ ਮੈਮੋਰੀ (RAM ਜਾਂ ROM) Altera FPGA IP · ਆਨ-ਚਿੱਪ ਮੈਮੋਰੀ II (RAM ਜਾਂ ROM) Altera FPGA IP · Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਪੰਨਾ 54 'ਤੇ OCRAM ਤੋਂ ਪਲੇਸ ਵਿੱਚ ਚਲਾਓ
2.3.1.1. ਆਨ-ਚਿੱਪ ਮੈਮੋਰੀ ਸੰਰਚਨਾ RAM ਜਾਂ ROM
ਤੁਸੀਂ Altera FPGA On-Chip Memory IPs ਨੂੰ RAM ਜਾਂ ROM ਦੇ ਰੂਪ ਵਿੱਚ ਸੰਰਚਿਤ ਕਰ ਸਕਦੇ ਹੋ। · RAM ਪੜ੍ਹਨ ਅਤੇ ਲਿਖਣ ਦੀ ਸਮਰੱਥਾ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ ਅਤੇ ਇੱਕ ਅਸਥਿਰ ਸੁਭਾਅ ਦਾ ਹੁੰਦਾ ਹੈ। ਜੇਕਰ ਤੁਸੀਂ
ਆਨ-ਚਿੱਪ ਰੈਮ ਤੋਂ Nios V ਪ੍ਰੋਸੈਸਰ ਨੂੰ ਬੂਟ ਕਰਦੇ ਸਮੇਂ, ਤੁਹਾਨੂੰ ਇਹ ਯਕੀਨੀ ਬਣਾਉਣਾ ਚਾਹੀਦਾ ਹੈ ਕਿ ਰਨ ਟਾਈਮ ਦੌਰਾਨ ਰੀਸੈਟ ਹੋਣ ਦੀ ਸੂਰਤ ਵਿੱਚ ਬੂਟ ਸਮੱਗਰੀ ਸੁਰੱਖਿਅਤ ਰੱਖੀ ਗਈ ਹੈ ਅਤੇ ਖਰਾਬ ਨਹੀਂ ਹੋਈ ਹੈ। · ਜੇਕਰ ਇੱਕ Nios V ਪ੍ਰੋਸੈਸਰ ROM ਤੋਂ ਬੂਟ ਕਰ ਰਿਹਾ ਹੈ, ਤਾਂ Nios V ਪ੍ਰੋਸੈਸਰ 'ਤੇ ਕੋਈ ਵੀ ਸਾਫਟਵੇਅਰ ਬੱਗ ਗਲਤੀ ਨਾਲ ਆਨ-ਚਿੱਪ ਮੈਮੋਰੀ ਦੀ ਸਮੱਗਰੀ ਨੂੰ ਓਵਰਰਾਈਟ ਨਹੀਂ ਕਰ ਸਕਦਾ। ਇਸ ਤਰ੍ਹਾਂ, ਬੂਟ ਸਾਫਟਵੇਅਰ ਭ੍ਰਿਸ਼ਟਾਚਾਰ ਦੇ ਜੋਖਮ ਨੂੰ ਘਟਾਉਂਦਾ ਹੈ।
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ · ਔਨ-ਚਿੱਪ ਮੈਮੋਰੀ (RAM ਜਾਂ ROM) Altera FPGA IP · ਔਨ-ਚਿੱਪ ਮੈਮੋਰੀ II (RAM ਜਾਂ ROM) Altera FPGA IP · Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਪੰਨਾ 54 'ਤੇ OCRAM ਤੋਂ ਪਲੇਸ ਵਿੱਚ ਚਲਾਓ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 26

ਫੀਡਬੈਕ ਭੇਜੋ

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ 726952 ਨਾਲ | 2025.07.16
2.3.1.2. ਕੈਸ਼
ਔਨ-ਚਿੱਪ ਮੈਮੋਰੀਆਂ ਆਮ ਤੌਰ 'ਤੇ ਕੈਸ਼ ਕਾਰਜਸ਼ੀਲਤਾ ਨੂੰ ਲਾਗੂ ਕਰਨ ਲਈ ਵਰਤੀਆਂ ਜਾਂਦੀਆਂ ਹਨ ਕਿਉਂਕਿ ਉਹਨਾਂ ਦੀ ਘੱਟ ਲੇਟੈਂਸੀ ਹੁੰਦੀ ਹੈ। Nios V ਪ੍ਰੋਸੈਸਰ ਆਪਣੇ ਨਿਰਦੇਸ਼ਾਂ ਅਤੇ ਡੇਟਾ ਕੈਸ਼ਾਂ ਲਈ ਔਨ-ਚਿੱਪ ਮੈਮੋਰੀ ਦੀ ਵਰਤੋਂ ਕਰਦਾ ਹੈ। ਔਨ-ਚਿੱਪ ਮੈਮੋਰੀ ਦੀ ਸੀਮਤ ਸਮਰੱਥਾ ਆਮ ਤੌਰ 'ਤੇ ਕੈਸ਼ਾਂ ਲਈ ਕੋਈ ਮੁੱਦਾ ਨਹੀਂ ਹੁੰਦੀ ਕਿਉਂਕਿ ਉਹ ਆਮ ਤੌਰ 'ਤੇ ਛੋਟੇ ਹੁੰਦੇ ਹਨ।
ਕੈਸ਼ ਆਮ ਤੌਰ 'ਤੇ ਹੇਠ ਲਿਖੀਆਂ ਸਥਿਤੀਆਂ ਵਿੱਚ ਵਰਤੇ ਜਾਂਦੇ ਹਨ:
· ਨਿਯਮਤ ਮੈਮੋਰੀ ਚਿੱਪ ਤੋਂ ਬਾਹਰ ਸਥਿਤ ਹੁੰਦੀ ਹੈ ਅਤੇ ਆਨ-ਚਿੱਪ ਮੈਮੋਰੀ ਨਾਲੋਂ ਇਸਦਾ ਐਕਸੈਸ ਸਮਾਂ ਲੰਬਾ ਹੁੰਦਾ ਹੈ।
· ਸਾਫਟਵੇਅਰ ਕੋਡ ਦੇ ਪ੍ਰਦਰਸ਼ਨ-ਨਾਜ਼ੁਕ ਭਾਗ ਨਿਰਦੇਸ਼ ਕੈਸ਼ ਵਿੱਚ ਫਿੱਟ ਹੋ ਸਕਦੇ ਹਨ, ਜਿਸ ਨਾਲ ਸਿਸਟਮ ਦੀ ਕਾਰਗੁਜ਼ਾਰੀ ਵਿੱਚ ਸੁਧਾਰ ਹੁੰਦਾ ਹੈ।
· ਡੇਟਾ ਦਾ ਪ੍ਰਦਰਸ਼ਨ-ਨਾਜ਼ੁਕ, ਸਭ ਤੋਂ ਵੱਧ ਵਰਤਿਆ ਜਾਣ ਵਾਲਾ ਭਾਗ ਡੇਟਾ ਕੈਸ਼ ਵਿੱਚ ਫਿੱਟ ਹੋ ਸਕਦਾ ਹੈ, ਜਿਸ ਨਾਲ ਸਿਸਟਮ ਪ੍ਰਦਰਸ਼ਨ ਵਿੱਚ ਸੁਧਾਰ ਹੁੰਦਾ ਹੈ।
Nios V ਪ੍ਰੋਸੈਸਰ ਵਿੱਚ ਕੈਸ਼ਾਂ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਣ ਨਾਲ ਇੱਕ ਮੈਮੋਰੀ ਲੜੀ ਬਣਦੀ ਹੈ, ਜੋ ਮੈਮੋਰੀ ਐਕਸੈਸ ਸਮੇਂ ਨੂੰ ਘੱਟ ਤੋਂ ਘੱਟ ਕਰਦੀ ਹੈ।
2.3.1.2.1. ਪੈਰੀਫਿਰਲ ਖੇਤਰ
ਕੋਈ ਵੀ ਏਮਬੈਡਡ ਪੈਰੀਫਿਰਲ IP, ਜਿਵੇਂ ਕਿ UART, I2C, ਅਤੇ SPI, ਕੈਸ਼ ਨਹੀਂ ਕੀਤਾ ਜਾਣਾ ਚਾਹੀਦਾ। ਕੈਸ਼ ਦੀ ਸਿਫਾਰਸ਼ ਬਾਹਰੀ ਯਾਦਾਂ ਲਈ ਕੀਤੀ ਜਾਂਦੀ ਹੈ ਜੋ ਲੰਬੇ ਐਕਸੈਸ ਸਮੇਂ ਨਾਲ ਪ੍ਰਭਾਵਿਤ ਹੁੰਦੀਆਂ ਹਨ, ਜਦੋਂ ਕਿ ਅੰਦਰੂਨੀ ਔਨ-ਚਿੱਪ ਯਾਦਾਂ ਨੂੰ ਉਹਨਾਂ ਦੇ ਛੋਟੇ ਐਕਸੈਸ ਸਮੇਂ ਕਾਰਨ ਬਾਹਰ ਰੱਖਿਆ ਜਾ ਸਕਦਾ ਹੈ। ਤੁਹਾਨੂੰ ਯਾਦਾਂ ਨੂੰ ਛੱਡ ਕੇ ਕਿਸੇ ਵੀ ਏਮਬੈਡਡ ਪੈਰੀਫਿਰਲ IP, ਜਿਵੇਂ ਕਿ UART, I2C, ਅਤੇ SPI ਨੂੰ ਕੈਸ਼ ਨਹੀਂ ਕਰਨਾ ਚਾਹੀਦਾ। ਇਹ ਮਹੱਤਵਪੂਰਨ ਹੈ ਕਿਉਂਕਿ ਬਾਹਰੀ ਡਿਵਾਈਸਾਂ ਤੋਂ ਇਵੈਂਟਸ, ਜਿਵੇਂ ਕਿ ਸਾਫਟ IP ਨੂੰ ਅਪਡੇਟ ਕਰਨ ਵਾਲੇ ਏਜੰਟ ਡਿਵਾਈਸ, ਪ੍ਰੋਸੈਸਰ ਕੈਸ਼ ਦੁਆਰਾ ਕੈਪਚਰ ਨਹੀਂ ਕੀਤੇ ਜਾਂਦੇ ਹਨ, ਬਦਲੇ ਵਿੱਚ ਪ੍ਰੋਸੈਸਰ ਦੁਆਰਾ ਪ੍ਰਾਪਤ ਨਹੀਂ ਕੀਤੇ ਜਾਂਦੇ ਹਨ। ਨਤੀਜੇ ਵਜੋਂ, ਇਹ ਇਵੈਂਟਸ ਉਦੋਂ ਤੱਕ ਅਣਦੇਖੇ ਰਹਿ ਸਕਦੇ ਹਨ ਜਦੋਂ ਤੱਕ ਤੁਸੀਂ ਕੈਸ਼ ਨੂੰ ਫਲੱਸ਼ ਨਹੀਂ ਕਰਦੇ, ਜਿਸ ਨਾਲ ਤੁਹਾਡੇ ਸਿਸਟਮ ਵਿੱਚ ਅਣਇੱਛਤ ਵਿਵਹਾਰ ਹੋ ਸਕਦਾ ਹੈ। ਸੰਖੇਪ ਵਿੱਚ, ਏਮਬੈਡਡ ਪੈਰੀਫਿਰਲ IP ਦਾ ਮੈਮੋਰੀ-ਮੈਪਡ ਖੇਤਰ ਅਣਚੇਚਯੋਗ ਹੈ ਅਤੇ ਪ੍ਰੋਸੈਸਰ ਦੇ ਪੈਰੀਫਿਰਲ ਖੇਤਰਾਂ ਦੇ ਅੰਦਰ ਰਹਿਣਾ ਚਾਹੀਦਾ ਹੈ।
ਇੱਕ ਪੈਰੀਫਿਰਲ ਖੇਤਰ ਸੈੱਟ ਕਰਨ ਲਈ, ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ:
1. ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਵਿੱਚ ਸਿਸਟਮ ਦਾ ਪਤਾ ਨਕਸ਼ਾ ਖੋਲ੍ਹੋ।
2. ਪ੍ਰੋਸੈਸਰ ਦੇ ਇੰਸਟ੍ਰਕਸ਼ਨ ਮੈਨੇਜਰ ਅਤੇ ਡੇਟਾ ਮੈਨੇਜਰ ਦੇ ਐਡਰੈੱਸ ਮੈਪ 'ਤੇ ਜਾਓ।
3. ਆਪਣੇ ਸਿਸਟਮ ਵਿੱਚ ਪੈਰੀਫਿਰਲ ਅਤੇ ਯਾਦਾਂ ਦੀ ਪਛਾਣ ਕਰੋ।
ਚਿੱਤਰ 12. ਸਾਬਕਾampਪਤਾ ਨਕਸ਼ਾ

ਨੋਟ: ਨੀਲੇ ਤੀਰ ਯਾਦਾਂ ਵੱਲ ਇਸ਼ਾਰਾ ਕਰ ਰਹੇ ਹਨ। 4. ਪੈਰੀਫਿਰਲਾਂ ਨੂੰ ਸਮੂਹਬੱਧ ਕਰੋ:
a. ਕੈਸ਼ ਕਰਨ ਯੋਗ ਮੈਮੋਰੀ b. ਪੈਰੀਫਿਰਲ ਨੂੰ ਅਨਕੈਸ਼ ਕਰਨ ਯੋਗ ਵਜੋਂ

ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 27

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਨਾਲ
726952 | 2025.07.16 ਹੈ

ਸਾਰਣੀ 19. ਕੈਸ਼ ਕਰਨ ਯੋਗ ਅਤੇ ਅਣਕੈਸ਼ ਕਰਨ ਯੋਗ ਖੇਤਰ

ਅਧੀਨ

ਪਤਾ ਨਕਸ਼ਾ

ਸਥਿਤੀ

ਪੈਰੀਫਿਰਲ ਖੇਤਰ

ਆਕਾਰ

ਅਧਾਰ ਪਤਾ

ਯੂਜ਼ਰ_ਐਪਲੀਕੇਸ਼ਨ_ਮੈਮ.ਐੱਸ1

0x0 ~ 0x3ffff

ਕੈਸ਼ੇਬਲ

N/A

N/A

cpu.dm_agent ਬੂਟਕਾਪੀਅਰ_rom.s1

0x40000 ~ 0x4ffff 0x50000 ~ 0x517ff

ਕੈਸ਼ ਕਰਨ ਯੋਗ ਨਹੀਂ

65536 ਬਾਈਟ ਐਨ/ਏ

0x40000 N/A

ਬੂਟਕਾਪੀਅਰ_ਰਾਮ.ਐਸ1 ਸੀਪੀਯੂ.ਟਾਈਮਰ_ਐਸਡਬਲਯੂ_ਏਜੰਟ ਮੇਲਬਾਕਸ.ਏਵੀਐਮਐਮ

0x52000 ~ 0x537ff 0x54000 ~ 0x5403f 0x54040 ~ 0x5407f

ਕੈਸ਼ੇਬਲ ਅਨਕੈਸ਼ੇਬਲ ਅਨਕੈਸ਼ੇਬਲ

144 ਬਾਈਟ (ਘੱਟੋ-ਘੱਟ ਆਕਾਰ 65536 ਬਾਈਟ ਹੈ)

0x54000

sysid_qsys_0.ਕੰਟਰੋਲ_ਸਲੇਵ

0x54080 ~ 0x54087

ਕੈਸ਼ ਨਾ ਹੋਣ ਯੋਗ

ਵੱਲੋਂ uart.avalon_jtag_ਗੁਲਾਮ

0x54088 ~ 0x5408f

ਕੈਸ਼ ਨਾ ਹੋਣ ਯੋਗ

5. ਪੈਰੀਫਿਰਲ ਖੇਤਰਾਂ ਨੂੰ ਉਹਨਾਂ ਦੇ ਖਾਸ ਆਕਾਰਾਂ ਨਾਲ ਇਕਸਾਰ ਕਰੋ:
Ex ਸਾਬਕਾ ਲਈample, ਜੇਕਰ ਆਕਾਰ 65536 ਬਾਈਟ ਹੈ, ਤਾਂ ਇਹ 0x10000 ਬਾਈਟਾਂ ਨਾਲ ਮੇਲ ਖਾਂਦਾ ਹੈ। ਇਸ ਲਈ, ਆਗਿਆ ਪ੍ਰਾਪਤ ਅਧਾਰ ਪਤਾ 0x10000 ਦਾ ਗੁਣਜ ਹੋਣਾ ਚਾਹੀਦਾ ਹੈ।
· CPU.dm_agent 0x40000 ਦੇ ਬੇਸ ਐਡਰੈੱਸ ਦੀ ਵਰਤੋਂ ਕਰਦਾ ਹੈ, ਜੋ ਕਿ 0x10000 ਦਾ ਗੁਣਜ ਹੈ। ਨਤੀਜੇ ਵਜੋਂ, ਪੈਰੀਫਿਰਲ ਰੀਜਨ A, 65536 ਬਾਈਟ ਦੇ ਆਕਾਰ ਅਤੇ 0x40000 ਦੇ ਬੇਸ ਐਡਰੈੱਸ ਵਾਲਾ, ਜ਼ਰੂਰਤਾਂ ਨੂੰ ਪੂਰਾ ਕਰਦਾ ਹੈ।
· 0x54000 'ਤੇ ਅਣਚਾਹੇ ਖੇਤਰਾਂ ਦੇ ਸੰਗ੍ਰਹਿ ਦਾ ਅਧਾਰ ਪਤਾ 0x10000 ਦਾ ਗੁਣਜ ਨਹੀਂ ਹੈ। ਤੁਹਾਨੂੰ ਉਹਨਾਂ ਨੂੰ 0x60000 ਜਾਂ 0x10000 ਦੇ ਹੋਰ ਗੁਣਜ 'ਤੇ ਦੁਬਾਰਾ ਨਿਰਧਾਰਤ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ। ਇਸ ਤਰ੍ਹਾਂ, ਪੈਰੀਫਿਰਲ ਖੇਤਰ B, ਜਿਸਦਾ ਆਕਾਰ 65536 ਬਾਈਟ ਹੈ ਅਤੇ ਅਧਾਰ ਪਤਾ 0x60000 ਹੈ, ਮਾਪਦੰਡਾਂ ਨੂੰ ਪੂਰਾ ਕਰਦਾ ਹੈ।

ਸਾਰਣੀ 20. ਮੁੜ-ਨਿਰਧਾਰਨ ਦੇ ਨਾਲ ਕੈਸ਼ ਕਰਨ ਯੋਗ ਅਤੇ ਅਣਕੈਸ਼ ਕਰਨ ਯੋਗ ਖੇਤਰ

ਅਧੀਨ

ਪਤਾ ਨਕਸ਼ਾ

ਸਥਿਤੀ

ਪੈਰੀਫਿਰਲ ਖੇਤਰ

ਆਕਾਰ

ਅਧਾਰ ਪਤਾ

ਯੂਜ਼ਰ_ਐਪਲੀਕੇਸ਼ਨ_ਮੈਮ.ਐੱਸ1

0x0 ~ 0x3ffff

ਕੈਸ਼ੇਬਲ

N/A

N/A

ਸੀਪੀਯੂ.ਡੀਐਮ_ਏਜੰਟ

0x40000 ~ 0x4ffff

ਕੈਸ਼ ਨਾ ਹੋਣ ਯੋਗ 65536 ਬਾਈਟ

0x40000

ਬੂਟਕਾਪੀਅਰ_ਰੋਮ.ਐਸ1

0x50000 ~ 0x517 ਐਫ

ਕੈਸ਼ੇਬਲ

N/A

N/A

ਬੂਟਕਾਪੀਅਰ_ਰਾਮ.ਐਸ1 ਸੀਪੀਯੂ.ਟਾਈਮਰ_ਐਸਡਬਲਯੂ_ਏਜੰਟ ਮੇਲਬਾਕਸ.ਏਵੀਐਮਐਮ ਸਿਸਿਡ_ਕਿਊਐਸਆਈਐਸ_0.ਕੰਟਰੋਲ_ਸਲੇਵ

0x52000 ~ 0x537ff 0x60000 ~ 0x6003f 0x60040 ~ 0x6007f 0x60080 ~ 0x60087

ਕੈਸ਼ੇਬਲ ਅਨਕੈਸ਼ੇਬਲ ਅਨਕੈਸ਼ੇਬਲ ਅਨਕੈਸ਼ੇਬਲ

144 ਬਾਈਟ (ਘੱਟੋ-ਘੱਟ ਆਕਾਰ 65536 ਬਾਈਟ ਹੈ)

0x60000

ਵੱਲੋਂ uart.avalon_jtag_ਗੁਲਾਮ

0x60088 ~ 0x6008f

ਕੈਸ਼ ਨਾ ਹੋਣ ਯੋਗ

2.3.1.3। ਟਾਈਟਲੀ ਕਪਲਡ ਮੈਮੋਰੀ
ਟਾਈਟਲੀ ਕਪਲਡ ਮੈਮੋਰੀਜ਼ (TCMs) ਨੂੰ ਔਨ-ਚਿੱਪ ਮੈਮੋਰੀ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਲਾਗੂ ਕੀਤਾ ਜਾਂਦਾ ਹੈ ਕਿਉਂਕਿ ਉਹਨਾਂ ਦੀ ਘੱਟ ਲੇਟੈਂਸੀ ਉਹਨਾਂ ਨੂੰ ਕੰਮ ਲਈ ਚੰਗੀ ਤਰ੍ਹਾਂ ਅਨੁਕੂਲ ਬਣਾਉਂਦੀ ਹੈ। TCMs ਆਮ ਐਡਰੈੱਸ ਸਪੇਸ ਵਿੱਚ ਮੈਪ ਕੀਤੀਆਂ ਯਾਦਾਂ ਹੁੰਦੀਆਂ ਹਨ ਪਰ ਉਹਨਾਂ ਵਿੱਚ ਮਾਈਕ੍ਰੋਪ੍ਰੋਸੈਸਰ ਲਈ ਇੱਕ ਸਮਰਪਿਤ ਇੰਟਰਫੇਸ ਹੁੰਦਾ ਹੈ ਅਤੇ ਕੈਸ਼ ਮੈਮੋਰੀ ਦੇ ਉੱਚ-ਪ੍ਰਦਰਸ਼ਨ, ਘੱਟ-ਲੇਟੈਂਸੀ ਗੁਣ ਹੁੰਦੇ ਹਨ। TCM ਬਾਹਰੀ ਹੋਸਟ ਲਈ ਇੱਕ ਅਧੀਨ ਇੰਟਰਫੇਸ ਵੀ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ। ਪ੍ਰੋਸੈਸਰ ਅਤੇ ਬਾਹਰੀ ਹੋਸਟ ਕੋਲ TCM ਨੂੰ ਸੰਭਾਲਣ ਲਈ ਇੱਕੋ ਜਿਹੀ ਅਨੁਮਤੀ ਪੱਧਰ ਹੁੰਦੀ ਹੈ।

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 28

ਫੀਡਬੈਕ ਭੇਜੋ

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਨਾਲ
726952 | 2025.07.16 ਹੈ

ਨੋਟ:

ਜਦੋਂ TCM ਅਧੀਨ ਪੋਰਟ ਕਿਸੇ ਬਾਹਰੀ ਹੋਸਟ ਨਾਲ ਜੁੜਿਆ ਹੁੰਦਾ ਹੈ, ਤਾਂ ਇਹ ਪ੍ਰੋਸੈਸਰ ਕੋਰ ਵਿੱਚ ਨਿਰਧਾਰਤ ਕੀਤੇ ਗਏ ਅਧਾਰ ਪਤੇ ਨਾਲੋਂ ਵੱਖਰੇ ਅਧਾਰ ਪਤੇ ਨਾਲ ਪ੍ਰਦਰਸ਼ਿਤ ਹੋ ਸਕਦਾ ਹੈ। ਅਲਟੇਰਾ ਦੋਵਾਂ ਪਤਿਆਂ ਨੂੰ ਇੱਕੋ ਮੁੱਲ ਨਾਲ ਇਕਸਾਰ ਕਰਨ ਦੀ ਸਿਫਾਰਸ਼ ਕਰਦਾ ਹੈ।

2.3.1.4. ਬਾਹਰੀ ਮੈਮੋਰੀ ਇੰਟਰਫੇਸ (EMIF)
EMIF (ਬਾਹਰੀ ਮੈਮੋਰੀ ਇੰਟਰਫੇਸ) SRAM (ਸਟੈਟਿਕ ਰੈਂਡਮ ਐਕਸੈਸ ਮੈਮੋਰੀ) ਵਾਂਗ ਹੀ ਕੰਮ ਕਰਦਾ ਹੈ, ਪਰ ਇਹ ਗਤੀਸ਼ੀਲ ਹੈ ਅਤੇ ਇਸਦੀ ਸਮੱਗਰੀ ਨੂੰ ਬਣਾਈ ਰੱਖਣ ਲਈ ਸਮੇਂ-ਸਮੇਂ 'ਤੇ ਤਾਜ਼ਗੀ ਦੀ ਲੋੜ ਹੁੰਦੀ ਹੈ। EMIF ਵਿੱਚ ਗਤੀਸ਼ੀਲ ਮੈਮੋਰੀ ਸੈੱਲ SRAM ਵਿੱਚ ਸਥਿਰ ਮੈਮੋਰੀ ਸੈੱਲਾਂ ਨਾਲੋਂ ਬਹੁਤ ਛੋਟੇ ਹੁੰਦੇ ਹਨ, ਜਿਸਦੇ ਨਤੀਜੇ ਵਜੋਂ ਉੱਚ ਸਮਰੱਥਾ ਅਤੇ ਘੱਟ ਕੀਮਤ ਵਾਲੇ ਮੈਮੋਰੀ ਡਿਵਾਈਸ ਹੁੰਦੇ ਹਨ।
ਰਿਫਰੈਸ਼ ਲੋੜ ਤੋਂ ਇਲਾਵਾ, EMIF ਦੀਆਂ ਖਾਸ ਇੰਟਰਫੇਸ ਲੋੜਾਂ ਹੁੰਦੀਆਂ ਹਨ ਜਿਨ੍ਹਾਂ ਲਈ ਅਕਸਰ ਵਿਸ਼ੇਸ਼ ਕੰਟਰੋਲਰ ਹਾਰਡਵੇਅਰ ਦੀ ਲੋੜ ਹੁੰਦੀ ਹੈ। SRAM ਦੇ ਉਲਟ, ਜਿਸ ਵਿੱਚ ਐਡਰੈੱਸ ਲਾਈਨਾਂ ਦਾ ਇੱਕ ਨਿਸ਼ਚਿਤ ਸੈੱਟ ਹੁੰਦਾ ਹੈ, EMIF ਆਪਣੀ ਮੈਮੋਰੀ ਸਪੇਸ ਨੂੰ ਬੈਂਕਾਂ, ਕਤਾਰਾਂ ਅਤੇ ਕਾਲਮਾਂ ਵਿੱਚ ਸੰਗਠਿਤ ਕਰਦਾ ਹੈ। ਬੈਂਕਾਂ ਅਤੇ ਕਤਾਰਾਂ ਵਿਚਕਾਰ ਸਵਿਚ ਕਰਨ ਨਾਲ ਕੁਝ ਓਵਰਹੈੱਡ ਪੇਸ਼ ਹੁੰਦਾ ਹੈ, ਇਸ ਲਈ ਤੁਹਾਨੂੰ EMIF ਨੂੰ ਕੁਸ਼ਲਤਾ ਨਾਲ ਵਰਤਣ ਲਈ ਮੈਮੋਰੀ ਐਕਸੈਸ ਨੂੰ ਧਿਆਨ ਨਾਲ ਆਰਡਰ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ। EMIF ਇੱਕੋ ਐਡਰੈੱਸ ਲਾਈਨਾਂ ਉੱਤੇ ਕਤਾਰ ਅਤੇ ਕਾਲਮ ਪਤਿਆਂ ਨੂੰ ਮਲਟੀਪਲੈਕਸ ਵੀ ਕਰਦਾ ਹੈ, ਜਿਸ ਨਾਲ ਦਿੱਤੇ ਗਏ EMIF ਆਕਾਰ ਲਈ ਲੋੜੀਂਦੇ ਪਿੰਨਾਂ ਦੀ ਗਿਣਤੀ ਘਟਦੀ ਹੈ।
EMIF ਦੇ ਉੱਚ-ਸਪੀਡ ਸੰਸਕਰਣ, ਜਿਵੇਂ ਕਿ DDR, DDR2, DDR3, DDR4, ਅਤੇ DDR5, ਸਖ਼ਤ ਸਿਗਨਲ ਇਕਸਾਰਤਾ ਜ਼ਰੂਰਤਾਂ ਲਗਾਉਂਦੇ ਹਨ ਜਿਨ੍ਹਾਂ 'ਤੇ PCB ਡਿਜ਼ਾਈਨਰਾਂ ਨੂੰ ਵਿਚਾਰ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ।
EMIF ਡਿਵਾਈਸਾਂ ਉਪਲਬਧ ਸਭ ਤੋਂ ਵੱਧ ਲਾਗਤ-ਪ੍ਰਭਾਵਸ਼ਾਲੀ ਅਤੇ ਉੱਚ-ਸਮਰੱਥਾ ਵਾਲੇ RAM ਕਿਸਮਾਂ ਵਿੱਚੋਂ ਇੱਕ ਹਨ, ਜੋ ਉਹਨਾਂ ਨੂੰ ਇੱਕ ਪ੍ਰਸਿੱਧ ਵਿਕਲਪ ਬਣਾਉਂਦੀਆਂ ਹਨ। EMIF ਇੰਟਰਫੇਸ ਦਾ ਇੱਕ ਮੁੱਖ ਹਿੱਸਾ EMIF IP ਹੈ, ਜੋ ਕਿ ਐਡਰੈੱਸ ਮਲਟੀਪਲੈਕਸਿੰਗ, ਰਿਫਰੈਸ਼ਿੰਗ, ਅਤੇ ਕਤਾਰਾਂ ਅਤੇ ਬੈਂਕਾਂ ਵਿਚਕਾਰ ਸਵਿਚ ਕਰਨ ਨਾਲ ਸਬੰਧਤ ਕਾਰਜਾਂ ਦਾ ਪ੍ਰਬੰਧਨ ਕਰਦਾ ਹੈ। ਇਹ ਡਿਜ਼ਾਈਨ ਬਾਕੀ ਸਿਸਟਮ ਨੂੰ ਇਸਦੇ ਅੰਦਰੂਨੀ ਢਾਂਚੇ ਨੂੰ ਸਮਝਣ ਦੀ ਲੋੜ ਤੋਂ ਬਿਨਾਂ EMIF ਤੱਕ ਪਹੁੰਚ ਕਰਨ ਦੀ ਆਗਿਆ ਦਿੰਦਾ ਹੈ।

ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ ਬਾਹਰੀ ਮੈਮੋਰੀ ਇੰਟਰਫੇਸ IP ਸਹਾਇਤਾ ਕੇਂਦਰ

2.3.1.4.1. ਪਤਾ ਸਪੈਨ ਐਕਸਟੈਂਡਰ IP
ਐਡਰੈੱਸ ਸਪੈਨ ਐਕਸਟੈਂਡਰ ਅਲਟੇਰਾ ਐਫਪੀਜੀਏ ਆਈਪੀ ਮੈਮੋਰੀ-ਮੈਪਡ ਹੋਸਟ ਇੰਟਰਫੇਸਾਂ ਨੂੰ ਉਹਨਾਂ ਦੇ ਐਡਰੈੱਸ ਸਿਗਨਲਾਂ ਦੀ ਚੌੜਾਈ ਨਾਲੋਂ ਵੱਡੇ ਜਾਂ ਛੋਟੇ ਐਡਰੈੱਸ ਮੈਪ ਤੱਕ ਪਹੁੰਚ ਕਰਨ ਦੀ ਆਗਿਆ ਦਿੰਦਾ ਹੈ। ਐਡਰੈੱਸ ਸਪੈਨ ਐਕਸਟੈਂਡਰ ਆਈਪੀ ਐਡਰੈੱਸੇਬਲ ਸਪੇਸ ਨੂੰ ਕਈ ਵੱਖਰੀਆਂ ਵਿੰਡੋਜ਼ ਵਿੱਚ ਵੰਡਦਾ ਹੈ ਤਾਂ ਜੋ ਹੋਸਟ ਵਿੰਡੋ ਰਾਹੀਂ ਮੈਮੋਰੀ ਦੇ ਢੁਕਵੇਂ ਹਿੱਸੇ ਤੱਕ ਪਹੁੰਚ ਕਰ ਸਕੇ।
ਐਡਰੈੱਸ ਸਪੈਨ ਐਕਸਟੈਂਡਰ ਹੋਸਟ ਅਤੇ ਏਜੰਟ ਚੌੜਾਈ ਨੂੰ 32-ਬਿੱਟ ਅਤੇ 64ਬਿੱਟ ਸੰਰਚਨਾ ਤੱਕ ਸੀਮਿਤ ਨਹੀਂ ਕਰਦਾ ਹੈ। ਤੁਸੀਂ ਐਡਰੈੱਸ ਸਪੈਨ ਐਕਸਟੈਂਡਰ ਨੂੰ 1-64 ਬਿੱਟ ਐਡਰੈੱਸ ਵਿੰਡੋਜ਼ ਨਾਲ ਵਰਤ ਸਕਦੇ ਹੋ।

ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 29

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਨਾਲ
726952 | 2025.07.16 ਹੈ

ਚਿੱਤਰ 13. ਐਡਰੈੱਸ ਸਪੈਨ ਐਕਸਟੈਂਡਰ ਅਲਟੇਰਾ ਐਫਪੀਜੀਏ ਆਈਪੀ
ਏਜੰਟ ਸ਼ਬਦ ਪਤਾ

ਐਡਰੈੱਸ ਸਪੈਨ ਐਕਸਟੈਂਡਰ

A

ਮੈਪਿੰਗ ਸਾਰਣੀ
ਕੰਟਰੋਲ ਪੋਰਟ A

ਕੰਟਰੋਲ ਰਜਿਸਟਰ 0 ਕੰਟਰੋਲ ਰਜਿਸਟਰ Z-1

ਫੈਲਾਇਆ ਹੋਇਆ ਹੋਸਟ ਐਡਰੈੱਸ H

ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
Quartus® Prime Pro Edition ਯੂਜ਼ਰ ਗਾਈਡ: ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਹੋਰ ਜਾਣਕਾਰੀ ਲਈ ਐਡਰੈੱਸ ਸਪੈਨ ਐਕਸਟੈਂਡਰ Intel® FPGA IP ਵਿਸ਼ੇ ਨੂੰ ਵੇਖੋ।

2.3.1.4.2. Nios V ਪ੍ਰੋਸੈਸਰ ਨਾਲ ਐਡਰੈੱਸ ਸਪੈਨ ਐਕਸਟੈਂਡਰ IP ਦੀ ਵਰਤੋਂ ਕਰਨਾ
32-ਬਿੱਟ Nios V ਪ੍ਰੋਸੈਸਰ ਇੱਕ ਐਡਰੈੱਸ ਸਪੈਨ ਦੇ 4 GB ਤੱਕ ਐਡਰੈੱਸ ਕਰ ਸਕਦਾ ਹੈ। ਜੇਕਰ EMIF ਵਿੱਚ 4 GB ਤੋਂ ਵੱਧ ਮੈਮੋਰੀ ਹੈ, ਤਾਂ ਇਹ ਵੱਧ ਤੋਂ ਵੱਧ ਸਮਰਥਿਤ ਐਡਰੈੱਸ ਸਪੈਨ ਤੋਂ ਵੱਧ ਜਾਂਦਾ ਹੈ, ਜਿਸ ਨਾਲ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਸਿਸਟਮ ਗਲਤ ਹੋ ਜਾਂਦਾ ਹੈ। ਇੱਕ ਸਿੰਗਲ EMIF ਐਡਰੈੱਸ ਸਪੇਸ ਨੂੰ ਕਈ ਛੋਟੀਆਂ ਵਿੰਡੋਜ਼ ਵਿੱਚ ਵੰਡ ਕੇ ਇਸ ਮੁੱਦੇ ਨੂੰ ਹੱਲ ਕਰਨ ਲਈ ਇੱਕ ਐਡਰੈੱਸ ਸਪੈਨ ਐਕਸਟੈਂਡਰ IP ਦੀ ਲੋੜ ਹੁੰਦੀ ਹੈ।
ਅਲਟੇਰਾ ਸਿਫ਼ਾਰਸ਼ ਕਰਦਾ ਹੈ ਕਿ ਤੁਸੀਂ ਹੇਠਾਂ ਦਿੱਤੇ ਮਾਪਦੰਡਾਂ 'ਤੇ ਵਿਚਾਰ ਕਰੋ।

ਸਾਰਣੀ 21. ਐਡਰੈੱਸ ਸਪੈਨ ਐਕਸਟੈਂਡਰ ਪੈਰਾਮੀਟਰ

ਪੈਰਾਮੀਟਰ

ਸਿਫਾਰਸ਼ੀ ਸੈਟਿੰਗਾਂ

ਡਾਟਾਪਾਥ ਚੌੜਾਈ
ਫੈਲੀ ਹੋਈ ਮਾਸਟਰ ਬਾਈਟ ਐਡਰੈੱਸ ਚੌੜਾਈ

32-ਬਿੱਟ ਚੁਣੋ, ਜੋ 32-ਬਿੱਟ ਪ੍ਰੋਸੈਸਰ ਨਾਲ ਜੁੜਦਾ ਹੈ। EMIF ਮੈਮੋਰੀ ਆਕਾਰ 'ਤੇ ਨਿਰਭਰ ਕਰਦਾ ਹੈ।

ਸਲੇਵ ਵਰਡ ਐਡਰੈੱਸ ਚੌੜਾਈ ਬਰਸਟਕਾਉਂਟ ਚੌੜਾਈ

2 GB ਜਾਂ ਘੱਟ ਚੁਣੋ। Nios V ਪ੍ਰੋਸੈਸਰ ਦਾ ਬਾਕੀ ਐਡਰੈੱਸ ਸਪੈਨ ਹੋਰ ਏਮਬੈਡਡ ਸਾਫਟ IP ਲਈ ਰਾਖਵਾਂ ਹੈ।
1 ਨਾਲ ਸ਼ੁਰੂ ਕਰੋ ਅਤੇ ਪ੍ਰਦਰਸ਼ਨ ਨੂੰ ਬਿਹਤਰ ਬਣਾਉਣ ਲਈ ਇਸ ਮੁੱਲ ਨੂੰ ਹੌਲੀ-ਹੌਲੀ ਵਧਾਓ।

ਸਬ-ਵਿੰਡੋਜ਼ ਦੀ ਗਿਣਤੀ

ਜੇਕਰ ਤੁਸੀਂ EMIF ਨੂੰ Nios V ਪ੍ਰੋਸੈਸਰ ਨਾਲ ਹਦਾਇਤ ਅਤੇ ਡਾਟਾ ਮੈਮੋਰੀ ਦੇ ਤੌਰ 'ਤੇ ਜੋੜ ਰਹੇ ਹੋ, ਜਾਂ ਦੋਵਾਂ ਲਈ 1 ਸਬ-ਵਿੰਡੋ ਚੁਣੋ। ਜਦੋਂ Nios V ਪ੍ਰੋਸੈਸਰ EMIF ਤੋਂ ਚੱਲ ਰਿਹਾ ਹੋਵੇ ਤਾਂ ਕਈ ਸਬ-ਵਿੰਡੋਜ਼ ਵਿਚਕਾਰ ਸਵਿਚ ਕਰਨਾ ਖ਼ਤਰਨਾਕ ਹੈ।

ਸਲੇਵ ਕੰਟਰੋਲ ਪੋਰਟ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ

ਜੇਕਰ ਤੁਸੀਂ EMIF ਨੂੰ Nios V ਪ੍ਰੋਸੈਸਰ ਨਾਲ ਹਦਾਇਤ ਅਤੇ/ਜਾਂ ਡੇਟਾ ਮੈਮੋਰੀ ਦੇ ਤੌਰ 'ਤੇ ਕਨੈਕਟ ਕਰ ਰਹੇ ਹੋ ਤਾਂ ਸਲੇਵ ਕੰਟਰੋਲ ਪੋਰਟ ਨੂੰ ਅਯੋਗ ਕਰੋ। ਸਬ-ਵਿੰਡੋਜ਼ ਦੀ ਗਿਣਤੀ ਦੇ ਸਮਾਨ ਚਿੰਤਾਵਾਂ।

ਵੱਧ ਤੋਂ ਵੱਧ ਬਕਾਇਆ ਪੜ੍ਹਨ ਦੀ ਗਿਣਤੀ

1 ਨਾਲ ਸ਼ੁਰੂ ਕਰੋ ਅਤੇ ਪ੍ਰਦਰਸ਼ਨ ਨੂੰ ਬਿਹਤਰ ਬਣਾਉਣ ਲਈ ਇਸ ਮੁੱਲ ਨੂੰ ਹੌਲੀ-ਹੌਲੀ ਵਧਾਓ।

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 30

ਫੀਡਬੈਕ ਭੇਜੋ

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ 726952 ਨਾਲ | 2025.07.16
ਚਿੱਤਰ 14. ਨਿਰਦੇਸ਼ ਅਤੇ ਡੇਟਾ ਮੈਨੇਜਰ ਨੂੰ ਐਡਰੈੱਸ ਸਪੈਨ ਐਕਸਟੈਂਡਰ ਨਾਲ ਜੋੜਨਾ

ਚਿੱਤਰ 15. ਪਤਾ ਮੈਪਿੰਗ

ਧਿਆਨ ਦਿਓ ਕਿ ਐਡਰੈੱਸ ਸਪੈਨ ਐਕਸਟੈਂਡਰ EMIF ਦੀ ਪੂਰੀ 8GB ਮੈਮੋਰੀ ਸਪੇਸ ਤੱਕ ਪਹੁੰਚ ਕਰ ਸਕਦਾ ਹੈ। ਹਾਲਾਂਕਿ, ਐਡਰੈੱਸ ਸਪੈਨ ਐਕਸਟੈਂਡਰ ਰਾਹੀਂ, Nios V ਪ੍ਰੋਸੈਸਰ EMIF ਦੀ ਸਿਰਫ ਪਹਿਲੀ 1GB ਮੈਮੋਰੀ ਸਪੇਸ ਤੱਕ ਪਹੁੰਚ ਕਰ ਸਕਦਾ ਹੈ।

ਚਿੱਤਰ 16. ਸਰਲੀਕ੍ਰਿਤ ਬਲਾਕ ਡਾਇਗ੍ਰਾਮ

ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਸਿਸਟਮ

ਬਾਕੀ 3 ਜੀ.ਬੀ.

Nios V ਪ੍ਰੋਸੈਸਰ ਪਤਾ

ਸਪੈਨ ਏਮਬੈਡਡ ਲਈ ਹੈ

ਐਨ.ਐਨ.ਆਈ.ਓ.ਐਸ.ਵੀ.ਪੀ.ਪ੍ਰੋ.ਸੀ.ਸੀ.ਐਸ.ਆਰ
M

ਉਸੇ ਸਿਸਟਮ ਵਿੱਚ ਸਾਫਟ ਆਈਪੀ।
1 GB ਵਿੰਡੋ

ਪਤਾ ਸਪੈਨ

S

ਐਕਸਟੈਂਡਰ

M

ਸਿਰਫ਼ ਪਹਿਲਾ 1 ਜੀ.ਬੀ.

EMIF ਮੈਮੋਰੀ ਦਾ ਇੱਕ ਹਿੱਸਾ Nios V ਨਾਲ ਜੁੜਿਆ ਹੋਇਆ ਹੈ

EMIF

ਪ੍ਰੋਸੈਸਰ

8 ਜੀ.ਬੀ
S

ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 31

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਨਾਲ
726952 | 2025.07.16 ਹੈ
2.3.1.4.3. ਐਡਰੈੱਸ ਸਪੈਨ ਐਕਸਟੈਂਡਰ ਲਿੰਕਰ ਮੈਮੋਰੀ ਡਿਵਾਈਸ ਨੂੰ ਪਰਿਭਾਸ਼ਿਤ ਕਰਨਾ 1. ਐਡਰੈੱਸ ਸਪੈਨ ਐਕਸਟੈਂਡਰ (EMIF) ਨੂੰ ਰੀਸੈਟ ਵੈਕਟਰ ਵਜੋਂ ਪਰਿਭਾਸ਼ਿਤ ਕਰੋ। ਵਿਕਲਪਕ ਤੌਰ 'ਤੇ, ਤੁਸੀਂ Nios V ਪ੍ਰੋਸੈਸਰ ਰੀਸੈਟ ਵੈਕਟਰ ਨੂੰ ਹੋਰ ਯਾਦਾਂ, ਜਿਵੇਂ ਕਿ OCRAM ਜਾਂ ਫਲੈਸ਼ ਡਿਵਾਈਸਾਂ ਨੂੰ ਨਿਰਧਾਰਤ ਕਰ ਸਕਦੇ ਹੋ।
ਚਿੱਤਰ 17. ਰੀਸੈਟ ਵੈਕਟਰ ਦੇ ਤੌਰ 'ਤੇ ਕਈ ਵਿਕਲਪ
ਹਾਲਾਂਕਿ, ਬੋਰਡ ਸਪੋਰਟ ਪੈਕੇਜ (BSP) ਐਡੀਟਰ ਐਡਰੈੱਸ ਸਪੈਨ ਐਕਸਟੈਂਡਰ (EMIF) ਨੂੰ ਇੱਕ ਵੈਧ ਮੈਮੋਰੀ ਵਜੋਂ ਆਪਣੇ ਆਪ ਰਜਿਸਟਰ ਨਹੀਂ ਕਰ ਸਕਦਾ। ਤੁਹਾਡੇ ਦੁਆਰਾ ਕੀਤੀ ਗਈ ਚੋਣ ਦੇ ਅਧਾਰ ਤੇ, ਤੁਸੀਂ ਦੋ ਵੱਖ-ਵੱਖ ਸਥਿਤੀਆਂ ਦੇਖਦੇ ਹੋ ਜਿਵੇਂ ਕਿ ਹੇਠਾਂ ਦਿੱਤੇ ਅੰਕੜਿਆਂ ਵਿੱਚ ਦਿਖਾਇਆ ਗਿਆ ਹੈ। ਚਿੱਤਰ 18. ਐਡਰੈੱਸ ਸਪੈਨ ਐਕਸਟੈਂਡਰ (EMIF) ਨੂੰ ਰੀਸੈਟ ਵੈਕਟਰ ਵਜੋਂ ਪਰਿਭਾਸ਼ਿਤ ਕਰਦੇ ਸਮੇਂ BSP ਗਲਤੀ।

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 32

ਫੀਡਬੈਕ ਭੇਜੋ

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ 726952 ਨਾਲ | 2025.07.16
ਚਿੱਤਰ 19. ਹੋਰ ਯਾਦਾਂ ਨੂੰ ਰੀਸੈਟ ਵੈਕਟਰ ਵਜੋਂ ਪਰਿਭਾਸ਼ਿਤ ਕਰਦੇ ਸਮੇਂ EMIF ਗੁੰਮ ਹੈ।

2. ਤੁਹਾਨੂੰ BSP ਲਿੰਕਰ ਸਕ੍ਰਿਪਟ ਟੈਬ ਵਿੱਚ ਐਡ ਮੈਮੋਰੀ ਡਿਵਾਈਸ, ਐਡ ਲਿੰਕਰ ਮੈਮੋਰੀ ਰੀਜਨ, ਅਤੇ ਐਡ ਲਿੰਕਰ ਸੈਕਸ਼ਨ ਮੈਪਿੰਗਜ਼ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਐਡਰੈੱਸ ਸਪੈਨ ਐਕਸਟੈਂਡਰ (EMIF) ਨੂੰ ਹੱਥੀਂ ਜੋੜਨਾ ਪਵੇਗਾ।
3. ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ:
a. ਮੈਮੋਰੀ ਮੈਪ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਐਡਰੈੱਸ ਸਪੈਨ ਐਕਸਟੈਂਡਰ ਦੇ ਐਡਰੈੱਸ ਸਪੈਨ ਦਾ ਪਤਾ ਲਗਾਓ (ਸਾਬਕਾampਹੇਠਾਂ ਦਿੱਤੇ ਚਿੱਤਰ ਵਿੱਚ le 0x0 ਤੋਂ 0x3fff_ffff ਤੱਕ ਐਡਰੈੱਸ ਸਪੈਨ ਐਕਸਟੈਂਡਰ ਰੇਂਜ ਦੀ ਵਰਤੋਂ ਕਰਦਾ ਹੈ)।
ਚਿੱਤਰ 20. ਮੈਮੋਰੀ ਮੈਪ

b. ਐਡ ਮੈਮੋਰੀ ਡਿਵਾਈਸ 'ਤੇ ਕਲਿੱਕ ਕਰੋ, ਅਤੇ ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਦੇ ਮੈਮੋਰੀ ਮੈਪ ਵਿੱਚ ਦਿੱਤੀ ਜਾਣਕਾਰੀ ਦੇ ਆਧਾਰ 'ਤੇ ਭਰੋ: i. ਡਿਵਾਈਸ ਦਾ ਨਾਮ: emif_ddr4. ਨੋਟ: ਯਕੀਨੀ ਬਣਾਓ ਕਿ ਤੁਸੀਂ ਮੈਮੋਰੀ ਮੈਪ ਤੋਂ ਉਹੀ ਨਾਮ ਕਾਪੀ ਕੀਤਾ ਹੈ। ii. ਬੇਸ ਐਡਰੈੱਸ: 0x0 iii. ਆਕਾਰ: 0x40000000
c. ਇੱਕ ਨਵਾਂ ਲਿੰਕਰ ਮੈਮੋਰੀ ਖੇਤਰ ਜੋੜਨ ਲਈ ਜੋੜੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ:

ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 33

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਨਾਲ
726952 | 2025.07.16 ਹੈ

ਸਾਰਣੀ 22. ਲਿੰਕਰ ਮੈਮੋਰੀ ਖੇਤਰ ਜੋੜਨਾ

ਕਦਮ

ਵੈਕਟਰ ਰੀਸੈਟ ਕਰੋ

ਵੱਲੋਂ emif_ddr4

ਹੋਰ ਯਾਦਾਂ

1

ਇੱਕ ਨਵਾਂ ਲਿੰਕਰ ਮੈਮੋਰੀ ਖੇਤਰ ਸ਼ਾਮਲ ਕਰੋ ਜਿਸਨੂੰ ਰੀਸੈਟ ਕਿਹਾ ਜਾਂਦਾ ਹੈ। ਲਈ ਇੱਕ ਨਵਾਂ ਲਿੰਕਰ ਮੈਮੋਰੀ ਖੇਤਰ ਸ਼ਾਮਲ ਕਰੋ

· ਖੇਤਰ ਦਾ ਨਾਮ: ਰੀਸੈਟ

ਈਐਮਆਈਐਫ_ਡੀਡੀਆਰ4।

· ਖੇਤਰ ਦਾ ਆਕਾਰ: 0x20

· ਖੇਤਰ ਦਾ ਨਾਮ: emif_ddr4

· ਮੈਮੋਰੀ ਡਿਵਾਈਸ: emif_ddr4

· ਖੇਤਰ ਦਾ ਆਕਾਰ: 0x40000000

· ਮੈਮੋਰੀ ਆਫਸੈੱਟ: 0x0

· ਮੈਮੋਰੀ ਡਿਵਾਈਸ: emif_ddr4

· ਮੈਮੋਰੀ ਆਫਸੈੱਟ: 0x0

2

ਲਈ ਇੱਕ ਨਵਾਂ ਲਿੰਕਰ ਮੈਮੋਰੀ ਖੇਤਰ ਸ਼ਾਮਲ ਕਰੋ

ਬਾਕੀ emif_ddr4।

· ਖੇਤਰ ਦਾ ਨਾਮ: emif_ddr4

· ਖੇਤਰ ਦਾ ਆਕਾਰ: 0x3ffffe0

· ਮੈਮੋਰੀ ਡਿਵਾਈਸ: emif_ddr4

· ਮੈਮੋਰੀ ਆਫਸੈੱਟ: 0x20

ਚਿੱਤਰ 21. ਐਡਰੈੱਸ ਸਪੈਨ ਐਕਸਟੈਂਡਰ (EMIF) ਨੂੰ ਰੀਸੈਟ ਵੈਕਟਰ ਵਜੋਂ ਪਰਿਭਾਸ਼ਿਤ ਕਰਦੇ ਸਮੇਂ ਲਿੰਕਰ ਖੇਤਰ

ਚਿੱਤਰ 22. ਲਿੰਕਰ ਖੇਤਰ ਜਦੋਂ ਹੋਰ ਯਾਦਾਂ ਨੂੰ ਰੀਸੈਟ ਵੈਕਟਰ ਵਜੋਂ ਪਰਿਭਾਸ਼ਿਤ ਕੀਤਾ ਜਾਂਦਾ ਹੈ
d. ਇੱਕ ਵਾਰ emif_ddr4 ਨੂੰ BSP ਵਿੱਚ ਜੋੜ ਦਿੱਤਾ ਜਾਂਦਾ ਹੈ, ਤੁਸੀਂ ਇਸਨੂੰ ਕਿਸੇ ਵੀ ਲਿੰਕਰ ਸੈਕਸ਼ਨ ਲਈ ਚੁਣ ਸਕਦੇ ਹੋ।
ਚਿੱਤਰ 23. ਐਡਰੈੱਸ ਸਪੈਨ ਐਕਸਟੈਂਡਰ (EMIF) ਸਫਲਤਾਪੂਰਵਕ ਜੋੜਿਆ ਗਿਆ।

e. ਮੈਮੋਰੀ ਡਿਵਾਈਸ ਬਾਰੇ ਚੇਤਾਵਨੀ ਨੂੰ ਅਣਡਿੱਠ ਕਰੋ emif_ddr4 SOPC ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਦਿਖਾਈ ਨਹੀਂ ਦੇ ਰਿਹਾ ਹੈ।
f. BSP ਤਿਆਰ ਕਰਨ ਲਈ ਅੱਗੇ ਵਧੋ।
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ ਪੰਨਾ 51 'ਤੇ Nios V ਪ੍ਰੋਸੈਸਰ ਬੂਟਿੰਗ ਵਿਧੀਆਂ ਦੀ ਜਾਣ-ਪਛਾਣ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 34

ਫੀਡਬੈਕ ਭੇਜੋ

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ 726952 ਨਾਲ | 2025.07.16
2.3.2. ਗੈਰ-ਅਸਥਿਰ ਮੈਮੋਰੀ
ਜਦੋਂ ਪਾਵਰ ਬੰਦ ਹੋ ਜਾਂਦੀ ਹੈ ਤਾਂ ਗੈਰ-ਅਸਥਿਰ ਮੈਮੋਰੀ ਆਪਣੀ ਸਮੱਗਰੀ ਨੂੰ ਬਰਕਰਾਰ ਰੱਖਦੀ ਹੈ, ਜਿਸ ਨਾਲ ਇਹ ਜਾਣਕਾਰੀ ਸਟੋਰ ਕਰਨ ਲਈ ਇੱਕ ਵਧੀਆ ਵਿਕਲਪ ਬਣ ਜਾਂਦੀ ਹੈ ਜੋ ਸਿਸਟਮ ਨੂੰ ਸਿਸਟਮ ਪਾਵਰ ਚੱਕਰ ਤੋਂ ਬਾਅਦ ਪ੍ਰਾਪਤ ਕਰਨੀ ਪੈਂਦੀ ਹੈ। ਗੈਰ-ਅਸਥਿਰ ਮੈਮੋਰੀ ਆਮ ਤੌਰ 'ਤੇ ਪ੍ਰੋਸੈਸਰ ਬੂਟ-ਕੋਡ, ਸਥਾਈ ਐਪਲੀਕੇਸ਼ਨ ਸੈਟਿੰਗਾਂ, ਅਤੇ ਅਲਟੇਰਾ FPGA ਸੰਰਚਨਾ ਡੇਟਾ ਨੂੰ ਸਟੋਰ ਕਰਦੀ ਹੈ। ਹਾਲਾਂਕਿ ਗੈਰ-ਅਸਥਿਰ ਮੈਮੋਰੀ ਦਾ ਫਾਇਦਾ ਹੈtagਜਦੋਂ ਤੁਸੀਂ ਪਾਵਰ ਹਟਾਉਂਦੇ ਹੋ ਤਾਂ ਇਸਦੇ ਡੇਟਾ ਨੂੰ ਬਰਕਰਾਰ ਰੱਖਣ ਦੇ ਬਾਵਜੂਦ, ਇਹ ਅਸਥਿਰ ਮੈਮੋਰੀ ਦੇ ਮੁਕਾਬਲੇ ਬਹੁਤ ਹੌਲੀ ਹੁੰਦਾ ਹੈ, ਅਤੇ ਅਕਸਰ ਲਿਖਣ ਅਤੇ ਮਿਟਾਉਣ ਦੀਆਂ ਪ੍ਰਕਿਰਿਆਵਾਂ ਵਧੇਰੇ ਗੁੰਝਲਦਾਰ ਹੁੰਦੀਆਂ ਹਨ। ਗੈਰ-ਅਸਥਿਰ ਮੈਮੋਰੀ ਨੂੰ ਆਮ ਤੌਰ 'ਤੇ ਸਿਰਫ ਕੁਝ ਵਾਰ ਮਿਟਾਉਣ ਦੀ ਗਰੰਟੀ ਦਿੱਤੀ ਜਾਂਦੀ ਹੈ, ਜਿਸ ਤੋਂ ਬਾਅਦ ਇਹ ਅਸਫਲ ਹੋ ਸਕਦੀ ਹੈ।
Exampਘੱਟ ਗੈਰ-ਅਸਥਿਰ ਮੈਮੋਰੀ ਵਿੱਚ ਹਰ ਕਿਸਮ ਦੇ ਫਲੈਸ਼, EPROM, ਅਤੇ EEPROM ਸ਼ਾਮਲ ਹਨ। Altera ਤੁਹਾਨੂੰ Altera FPGA ਬਿੱਟਸਟ੍ਰੀਮ ਅਤੇ Nios V ਪ੍ਰੋਗਰਾਮ ਚਿੱਤਰਾਂ ਨੂੰ ਇੱਕ ਗੈਰ-ਅਸਥਿਰ ਮੈਮੋਰੀ ਵਿੱਚ ਸਟੋਰ ਕਰਨ ਦੀ ਸਿਫਾਰਸ਼ ਕਰਦਾ ਹੈ, ਅਤੇ Nios V ਪ੍ਰੋਸੈਸਰਾਂ ਲਈ ਬੂਟ ਡਿਵਾਈਸ ਵਜੋਂ ਸੀਰੀਅਲ ਫਲੈਸ਼ ਦੀ ਵਰਤੋਂ ਕਰਦਾ ਹੈ।
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
· ਜੈਨਰਿਕ ਸੀਰੀਅਲ ਫਲੈਸ਼ ਇੰਟਰਫੇਸ ਅਲਟੇਰਾ ਐਫਪੀਜੀਏ ਆਈਪੀ ਯੂਜ਼ਰ ਗਾਈਡ
· ਮੇਲਬਾਕਸ ਕਲਾਇੰਟ ਅਲਟੇਰਾ ਐਫਪੀਜੀਏ ਆਈਪੀ ਯੂਜ਼ਰ ਗਾਈਡ · ਮੈਕਸ® 10 ਯੂਜ਼ਰ ਫਲੈਸ਼ ਮੈਮੋਰੀ ਯੂਜ਼ਰ ਗਾਈਡ: ਆਨ-ਚਿੱਪ ਫਲੈਸ਼ ਅਲਟੇਰਾ ਐਫਪੀਜੀਏ ਆਈਪੀ ਕੋਰ
2.4. ਘੜੀਆਂ ਅਤੇ ਰੀਸੈਟ ਸਭ ਤੋਂ ਵਧੀਆ ਅਭਿਆਸ
ਇਹ ਸਮਝਣਾ ਮਹੱਤਵਪੂਰਨ ਹੈ ਕਿ Nios V ਪ੍ਰੋਸੈਸਰ ਘੜੀ ਅਤੇ ਰੀਸੈਟ ਡੋਮੇਨ ਹਰੇਕ ਪੈਰੀਫਿਰਲ ਨਾਲ ਕਿਵੇਂ ਇੰਟਰੈਕਟ ਕਰਦਾ ਹੈ ਜਿਸ ਨਾਲ ਇਹ ਜੁੜਦਾ ਹੈ। ਇੱਕ ਸਧਾਰਨ Nios V ਪ੍ਰੋਸੈਸਰ ਸਿਸਟਮ ਇੱਕ ਸਿੰਗਲ ਕਲਾਕ ਡੋਮੇਨ ਨਾਲ ਸ਼ੁਰੂ ਹੁੰਦਾ ਹੈ, ਅਤੇ ਇਹ ਇੱਕ ਮਲਟੀ-ਕਲਾਕ ਡੋਮੇਨ ਸਿਸਟਮ ਨਾਲ ਗੁੰਝਲਦਾਰ ਹੋ ਸਕਦਾ ਹੈ ਜਦੋਂ ਇੱਕ ਤੇਜ਼ ਘੜੀ ਡੋਮੇਨ ਇੱਕ ਹੌਲੀ ਘੜੀ ਡੋਮੇਨ ਨਾਲ ਟਕਰਾ ਜਾਂਦਾ ਹੈ। ਤੁਹਾਨੂੰ ਧਿਆਨ ਦੇਣ ਅਤੇ ਸਮਝਣ ਦੀ ਜ਼ਰੂਰਤ ਹੈ ਕਿ ਇਹ ਵੱਖ-ਵੱਖ ਡੋਮੇਨ ਰੀਸੈਟ ਤੋਂ ਕਿਵੇਂ ਕ੍ਰਮਬੱਧ ਹੁੰਦੇ ਹਨ ਅਤੇ ਇਹ ਯਕੀਨੀ ਬਣਾਉਣ ਦੀ ਜ਼ਰੂਰਤ ਹੈ ਕਿ ਕੋਈ ਸੂਖਮ ਸਮੱਸਿਆਵਾਂ ਨਹੀਂ ਹਨ।
ਸਭ ਤੋਂ ਵਧੀਆ ਅਭਿਆਸ ਲਈ, Altera Nios V ਪ੍ਰੋਸੈਸਰ ਅਤੇ ਬੂਟ ਮੈਮੋਰੀ ਨੂੰ ਇੱਕੋ ਕਲਾਕ ਡੋਮੇਨ ਵਿੱਚ ਰੱਖਣ ਦੀ ਸਿਫ਼ਾਰਸ਼ ਕਰਦਾ ਹੈ। Nios V ਪ੍ਰੋਸੈਸਰ ਨੂੰ ਇੱਕ ਤੇਜ਼ ਕਲਾਕ ਡੋਮੇਨ ਵਿੱਚ ਰੀਸੈਟ ਤੋਂ ਨਾ ਛੱਡੋ ਜਦੋਂ ਇਹ ਇੱਕ ਬਹੁਤ ਹੀ ਹੌਲੀ ਕਲਾਕ ਡੋਮੇਨ ਵਿੱਚ ਰਹਿੰਦੀ ਮੈਮੋਰੀ ਤੋਂ ਬੂਟ ਹੁੰਦਾ ਹੈ, ਜਿਸ ਨਾਲ ਇੱਕ ਹਦਾਇਤ ਪ੍ਰਾਪਤ ਕਰਨ ਵਿੱਚ ਗਲਤੀ ਹੋ ਸਕਦੀ ਹੈ। ਤੁਹਾਨੂੰ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਦੁਆਰਾ ਡਿਫੌਲਟ ਦੁਆਰਾ ਪ੍ਰਦਾਨ ਕੀਤੇ ਗਏ ਤੋਂ ਪਰੇ ਕੁਝ ਮੈਨੂਅਲ ਸੀਕਵੈਂਸਿੰਗ ਦੀ ਲੋੜ ਹੋ ਸਕਦੀ ਹੈ, ਅਤੇ ਤੁਹਾਡੇ ਵਰਤੋਂ ਦੇ ਮਾਮਲੇ ਦੇ ਆਧਾਰ 'ਤੇ ਰੀਸੈਟ ਰੀਲੀਜ਼ ਟੌਪੋਲੋਜੀ ਦੀ ਯੋਜਨਾ ਬਣਾ ਸਕਦੇ ਹੋ। ਜੇਕਰ ਤੁਸੀਂ ਆਪਣੇ ਸਿਸਟਮ ਦੇ ਆਉਣ ਅਤੇ ਕੁਝ ਸਮੇਂ ਲਈ ਚੱਲਣ ਤੋਂ ਬਾਅਦ ਰੀਸੈਟ ਕਰਨਾ ਚਾਹੁੰਦੇ ਹੋ, ਤਾਂ ਸਿਸਟਮ ਰੀਸੈਟ ਸੀਕਵੈਂਸਿੰਗ ਅਤੇ ਰੀਸੈਟ ਤੋਂ ਬਾਅਦ ਸ਼ੁਰੂਆਤੀ ਲੋੜਾਂ 'ਤੇ ਵੀ ਉਹੀ ਵਿਚਾਰ ਲਾਗੂ ਕਰੋ।
2.4.1. ਸਿਸਟਮ JTAG ਘੜੀ
ਹਰੇਕ Nios V ਪ੍ਰੋਸੈਸਰ ਸਿਸਟਮ ਵਿੱਚ ਘੜੀ ਦੀਆਂ ਸੀਮਾਵਾਂ ਨੂੰ ਨਿਰਧਾਰਤ ਕਰਨਾ ਇੱਕ ਮਹੱਤਵਪੂਰਨ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਵਿਚਾਰ ਹੈ ਅਤੇ ਸ਼ੁੱਧਤਾ ਅਤੇ ਨਿਰਣਾਇਕ ਵਿਵਹਾਰ ਲਈ ਲੋੜੀਂਦਾ ਹੈ। ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਟਾਈਮਿੰਗ ਐਨਾਲਾਈਜ਼ਰ ਉਦਯੋਗ-ਮਿਆਰੀ ਰੁਕਾਵਟ, ਵਿਸ਼ਲੇਸ਼ਣ ਅਤੇ ਰਿਪੋਰਟਿੰਗ ਵਿਧੀ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਤੁਹਾਡੇ ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਸਾਰੇ ਤਰਕ ਦੇ ਸਮੇਂ ਦੇ ਪ੍ਰਦਰਸ਼ਨ ਨੂੰ ਪ੍ਰਮਾਣਿਤ ਕਰਨ ਲਈ ਸਥਿਰ ਸਮਾਂ ਵਿਸ਼ਲੇਸ਼ਣ ਕਰਦਾ ਹੈ।
Example 1. 50/50 ਡਿਊਟੀ ਸਾਈਕਲ ਅਤੇ 16 MHz J ਦੇ ਨਾਲ ਮੁੱਢਲੀ 100 MHz ਘੜੀTAG ਘੜੀ
#*************************************************************** # 100MHz ਘੜੀ ਬਣਾਓ #***************************************************************************** create_clock -name {clk} -period 10 [get_ports {clk}] #*************************** 16MHz J ਬਣਾਓTAG ਘੜੀ #************************

ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 35

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਨਾਲ
726952 | 2025.07.16 ਹੈ
create_clock -name {altera_reserved_tck} -ਪੀਰੀਅਡ 62.500 [get_ports {altera_reserved_tck}] set_clock_groups -asynchronous -group [get_clocks {altera_reserved_tck}] ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਟਾਈਮਿੰਗ ਐਨਾਲਾਈਜ਼ਰ ਕੁੱਕਬੁੱਕ
2.4.2. ਬੇਨਤੀ ਇੰਟਰਫੇਸ ਰੀਸੈਟ ਕਰੋ
Nios V ਪ੍ਰੋਸੈਸਰ ਵਿੱਚ ਇੱਕ ਵਿਕਲਪਿਕ ਰੀਸੈਟ ਬੇਨਤੀ ਸਹੂਲਤ ਸ਼ਾਮਲ ਹੈ। ਰੀਸੈਟ ਬੇਨਤੀ ਸਹੂਲਤ ਵਿੱਚ reset_req ਅਤੇ reset_req_ack ਸਿਗਨਲ ਸ਼ਾਮਲ ਹੁੰਦੇ ਹਨ।
ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਵਿੱਚ ਰੀਸੈਟ ਬੇਨਤੀ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਣ ਲਈ: 1. Nios V ਪ੍ਰੋਸੈਸਰ IP ਪੈਰਾਮੀਟਰ ਐਡੀਟਰ ਲਾਂਚ ਕਰੋ। 2. ਰੀਸੈਟ ਬੇਨਤੀ ਦੀ ਵਰਤੋਂ ਕਰੋ ਸੈਟਿੰਗ 'ਤੇ, ਐਡ ਰੀਸੈਟ ਬੇਨਤੀ ਇੰਟਰਫੇਸ ਨੂੰ ਚਾਲੂ ਕਰੋ।
ਵਿਕਲਪ।
ਚਿੱਤਰ 24. Nios V ਪ੍ਰੋਸੈਸਰ ਰੀਸੈਟ ਬੇਨਤੀ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ
reset_req ਸਿਗਨਲ ਇੱਕ ਰੁਕਾਵਟ ਵਾਂਗ ਕੰਮ ਕਰਦਾ ਹੈ। ਜਦੋਂ ਤੁਸੀਂ reset_req ਦਾ ਦਾਅਵਾ ਕਰਦੇ ਹੋ, ਤਾਂ ਤੁਸੀਂ ਕੋਰ 'ਤੇ ਰੀਸੈਟ ਕਰਨ ਦੀ ਬੇਨਤੀ ਕਰ ਰਹੇ ਹੋ। ਕੋਰ ਕਿਸੇ ਵੀ ਬਕਾਇਆ ਬੱਸ ਟ੍ਰਾਂਜੈਕਸ਼ਨ ਦੇ ਆਪਣੇ ਕੰਮ ਨੂੰ ਪੂਰਾ ਕਰਨ ਦੀ ਉਡੀਕ ਕਰਦਾ ਹੈ। ਉਦਾਹਰਣ ਵਜੋਂampਜਾਂ, ਜੇਕਰ ਕੋਈ ਲੰਬਿਤ ਮੈਮੋਰੀ ਐਕਸੈਸ ਟ੍ਰਾਂਜੈਕਸ਼ਨ ਹੈ, ਤਾਂ ਕੋਰ ਇੱਕ ਪੂਰੇ ਜਵਾਬ ਦੀ ਉਡੀਕ ਕਰਦਾ ਹੈ। ਇਸੇ ਤਰ੍ਹਾਂ, ਕੋਰ ਕਿਸੇ ਵੀ ਲੰਬਿਤ ਹਦਾਇਤ ਜਵਾਬ ਨੂੰ ਸਵੀਕਾਰ ਕਰਦਾ ਹੈ ਪਰ reset_req ਸਿਗਨਲ ਪ੍ਰਾਪਤ ਕਰਨ ਤੋਂ ਬਾਅਦ ਇੱਕ ਹਦਾਇਤ ਬੇਨਤੀ ਜਾਰੀ ਨਹੀਂ ਕਰਦਾ ਹੈ।
ਰੀਸੈਟ ਓਪਰੇਸ਼ਨ ਵਿੱਚ ਹੇਠ ਲਿਖੇ ਪ੍ਰਵਾਹ ਸ਼ਾਮਲ ਹਨ: 1. ਸਾਰੇ ਬਕਾਇਆ ਓਪਰੇਸ਼ਨ ਪੂਰੇ ਕਰੋ 2. ਅੰਦਰੂਨੀ ਪਾਈਪਲਾਈਨ ਨੂੰ ਫਲੱਸ਼ ਕਰੋ 3. ਪ੍ਰੋਗਰਾਮ ਕਾਊਂਟਰ ਨੂੰ ਰੀਸੈਟ ਵੈਕਟਰ 'ਤੇ ਸੈੱਟ ਕਰੋ 4. ਕੋਰ ਨੂੰ ਰੀਸੈਟ ਕਰੋ ਪੂਰਾ ਰੀਸੈਟ ਓਪਰੇਸ਼ਨ ਕੁਝ ਘੜੀ ਚੱਕਰ ਲੈਂਦਾ ਹੈ। reset_req ਨੂੰ ਉਦੋਂ ਤੱਕ ਜ਼ੋਰਦਾਰ ਰਹਿਣਾ ਚਾਹੀਦਾ ਹੈ ਜਦੋਂ ਤੱਕ reset_req_ack ਦਾਅਵਾ ਨਹੀਂ ਕੀਤਾ ਜਾਂਦਾ ਜੋ ਦਰਸਾਉਂਦਾ ਹੈ ਕਿ ਕੋਰ ਰੀਸੈਟ ਓਪਰੇਸ਼ਨ ਸਫਲਤਾਪੂਰਵਕ ਪੂਰਾ ਹੋ ਗਿਆ ਹੈ। ਅਜਿਹਾ ਕਰਨ ਵਿੱਚ ਅਸਫਲ ਰਹਿਣ ਦੇ ਨਤੀਜੇ ਵਜੋਂ ਕੋਰ ਦੀ ਸਥਿਤੀ ਗੈਰ-ਨਿਰਧਾਰਨਵਾਦੀ ਹੋ ਜਾਂਦੀ ਹੈ।

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 36

ਫੀਡਬੈਕ ਭੇਜੋ

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ 726952 ਨਾਲ | 2025.07.16
2.4.2.1. ਆਮ ਵਰਤੋਂ ਦੇ ਮਾਮਲੇ
· ਤੁਸੀਂ ਪਾਵਰ-ਆਨ ਤੋਂ reset_req ਸਿਗਨਲ ਨੂੰ ਜ਼ੋਰ ਦੇ ਸਕਦੇ ਹੋ ਤਾਂ ਜੋ Nios V ਪ੍ਰੋਸੈਸਰ ਕੋਰ ਨੂੰ ਇਸਦੇ ਰੀਸੈਟ ਵੈਕਟਰ ਤੋਂ ਪ੍ਰੋਗਰਾਮ ਐਗਜ਼ੀਕਿਊਸ਼ਨ ਸ਼ੁਰੂ ਕਰਨ ਤੋਂ ਰੋਕਿਆ ਜਾ ਸਕੇ ਜਦੋਂ ਤੱਕ ਸਿਸਟਮ ਵਿੱਚ ਹੋਰ FPGA ਹੋਸਟ Nios V ਪ੍ਰੋਸੈਸਰ ਬੂਟ ਮੈਮੋਰੀ ਨੂੰ ਸ਼ੁਰੂ ਨਹੀਂ ਕਰਦੇ। ਇਸ ਸਥਿਤੀ ਵਿੱਚ, ਪੂਰਾ ਸਬਸਿਸਟਮ ਇੱਕ ਸਾਫ਼ ਹਾਰਡਵੇਅਰ ਰੀਸੈਟ ਦਾ ਅਨੁਭਵ ਕਰ ਸਕਦਾ ਹੈ। Nios V ਪ੍ਰੋਸੈਸਰ ਨੂੰ ਰੀਸੈਟ ਬੇਨਤੀ ਸਥਿਤੀ ਵਿੱਚ ਅਣਮਿੱਥੇ ਸਮੇਂ ਲਈ ਰੱਖਿਆ ਜਾਂਦਾ ਹੈ ਜਦੋਂ ਤੱਕ ਦੂਜੇ FPGA ਹੋਸਟ ਪ੍ਰੋਸੈਸਰ ਬੂਟ ਮੈਮੋਰੀ ਨੂੰ ਸ਼ੁਰੂ ਨਹੀਂ ਕਰਦੇ।
· ਇੱਕ ਸਿਸਟਮ ਵਿੱਚ ਜਿੱਥੇ ਤੁਹਾਨੂੰ ਬਾਕੀ ਸਿਸਟਮ ਨੂੰ ਵਿਘਨ ਪਾਏ ਬਿਨਾਂ Nios V ਪ੍ਰੋਸੈਸਰ ਕੋਰ ਨੂੰ ਰੀਸੈਟ ਕਰਨਾ ਪੈਂਦਾ ਹੈ, ਤੁਸੀਂ ਕੋਰ ਦੇ ਮੌਜੂਦਾ ਕਾਰਜ ਨੂੰ ਸਾਫ਼-ਸਾਫ਼ ਰੋਕਣ ਲਈ reset_req ਸਿਗਨਲ ਦਾ ਦਾਅਵਾ ਕਰ ਸਕਦੇ ਹੋ ਅਤੇ ਸਿਸਟਮ ਦੁਆਰਾ reset_req_ack ਸਿਗਨਲ ਜਾਰੀ ਕਰਨ ਤੋਂ ਬਾਅਦ ਰੀਸੈਟ ਵੈਕਟਰ ਤੋਂ ਪ੍ਰੋਸੈਸਰ ਨੂੰ ਮੁੜ ਚਾਲੂ ਕਰ ਸਕਦੇ ਹੋ।
· ਇੱਕ ਬਾਹਰੀ ਹੋਸਟ ਹੇਠ ਲਿਖੇ ਕਾਰਜਾਂ ਨੂੰ ਲਾਗੂ ਕਰਨ ਵਿੱਚ ਆਸਾਨੀ ਲਈ ਰੀਸੈਟ ਬੇਨਤੀ ਇੰਟਰਫੇਸ ਦੀ ਵਰਤੋਂ ਕਰ ਸਕਦਾ ਹੈ:
— ਮੌਜੂਦਾ Nios V ਪ੍ਰੋਸੈਸਰ ਪ੍ਰੋਗਰਾਮ ਨੂੰ ਰੋਕੋ।
— Nios V ਪ੍ਰੋਸੈਸਰ ਬੂਟ ਮੈਮੋਰੀ ਵਿੱਚ ਇੱਕ ਨਵਾਂ ਪ੍ਰੋਗਰਾਮ ਲੋਡ ਕਰੋ।
— ਪ੍ਰੋਸੈਸਰ ਨੂੰ ਨਵਾਂ ਪ੍ਰੋਗਰਾਮ ਚਲਾਉਣ ਦੀ ਆਗਿਆ ਦਿਓ।
ਅਲਟੇਰਾ ਤੁਹਾਨੂੰ reset_req_ack ਸਿਗਨਲ ਦੀ ਸਥਿਤੀ ਦੀ ਨਿਗਰਾਨੀ ਕਰਨ ਲਈ ਇੱਕ ਟਾਈਮਆਉਟ ਵਿਧੀ ਲਾਗੂ ਕਰਨ ਦੀ ਸਿਫਾਰਸ਼ ਕਰਦਾ ਹੈ। ਜੇਕਰ Nios V ਪ੍ਰੋਸੈਸਰ ਕੋਰ ਇੱਕ ਅਨੰਤ ਉਡੀਕ ਸਥਿਤੀ ਸਥਿਤੀ ਵਿੱਚ ਡਿੱਗਦਾ ਹੈ ਅਤੇ ਕਿਸੇ ਅਣਜਾਣ ਕਾਰਨ ਕਰਕੇ ਰੁਕ ਜਾਂਦਾ ਹੈ, ਤਾਂ reset_req_ack ਅਣਮਿੱਥੇ ਸਮੇਂ ਲਈ ਦਾਅਵਾ ਨਹੀਂ ਕਰ ਸਕਦਾ। ਟਾਈਮਆਉਟ ਵਿਧੀ ਤੁਹਾਨੂੰ ਇਹ ਕਰਨ ਦੇ ਯੋਗ ਬਣਾਉਂਦੀ ਹੈ:
· ਰਿਕਵਰੀ ਟਾਈਮਆਊਟ ਪੀਰੀਅਡ ਪਰਿਭਾਸ਼ਿਤ ਕਰੋ ਅਤੇ ਸਿਸਟਮ ਲੈਵਲ ਰੀਸੈਟ ਨਾਲ ਸਿਸਟਮ ਰਿਕਵਰੀ ਕਰੋ।
· ਹਾਰਡਵੇਅਰ ਲੈਵਲ ਰੀਸੈਟ ਕਰੋ।
2.4.3. ਰੀਲੀਜ਼ IP ਰੀਸੈਟ ਕਰੋ
ਅਲਟੇਰਾ SDM-ਅਧਾਰਿਤ ਡਿਵਾਈਸਾਂ ਇੱਕ ਸਮਾਨਾਂਤਰ, ਸੈਕਟਰ-ਅਧਾਰਿਤ ਆਰਕੀਟੈਕਚਰ ਦੀ ਵਰਤੋਂ ਕਰਦੀਆਂ ਹਨ ਜੋ ਕੋਰ ਫੈਬਰਿਕ ਲਾਜਿਕ ਨੂੰ ਕਈ ਸੈਕਟਰਾਂ ਵਿੱਚ ਵੰਡਦੀਆਂ ਹਨ। ਅਲਟੇਰਾ ਤੁਹਾਨੂੰ ਰੀਸੈਟ ਸਰਕਟ ਦੇ ਸ਼ੁਰੂਆਤੀ ਇਨਪੁਟਸ ਵਿੱਚੋਂ ਇੱਕ ਵਜੋਂ ਰੀਸੈਟ ਰੀਲੀਜ਼ ਅਲਟੇਰਾ FPGA IP ਦੀ ਵਰਤੋਂ ਕਰਨ ਦੀ ਸਿਫਾਰਸ਼ ਕਰਦਾ ਹੈ। Intel® SDM-ਅਧਾਰਿਤ ਡਿਵਾਈਸਾਂ ਵਿੱਚ Stratix® 10, ਅਤੇ AgilexTM ਡਿਵਾਈਸਾਂ ਸ਼ਾਮਲ ਹਨ। ਕੰਟਰੋਲ-ਬਲਾਕ ਅਧਾਰਿਤ ਡਿਵਾਈਸਾਂ ਇਸ ਲੋੜ ਤੋਂ ਪ੍ਰਭਾਵਿਤ ਨਹੀਂ ਹੁੰਦੀਆਂ ਹਨ।
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
AN 891: ਰੀਸੈਟ ਰੀਲੀਜ਼ Altera FPGA IP ਦੀ ਵਰਤੋਂ ਕਰਨਾ
2.5. ਇੱਕ ਡਿਫਾਲਟ ਏਜੰਟ ਨਿਰਧਾਰਤ ਕਰਨਾ
ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਤੁਹਾਨੂੰ ਇੱਕ ਡਿਫੌਲਟ ਏਜੰਟ ਨਿਰਧਾਰਤ ਕਰਨ ਦੀ ਆਗਿਆ ਦਿੰਦਾ ਹੈ ਜੋ ਗਲਤੀ ਪ੍ਰਤੀਕਿਰਿਆ ਡਿਫੌਲਟ ਏਜੰਟ ਵਜੋਂ ਕੰਮ ਕਰਦਾ ਹੈ। ਤੁਹਾਡੇ ਦੁਆਰਾ ਨਿਰਧਾਰਤ ਡਿਫੌਲਟ ਏਜੰਟ ਉਹਨਾਂ ਹੋਸਟਾਂ ਲਈ ਇੱਕ ਗਲਤੀ ਪ੍ਰਤੀਕਿਰਿਆ ਸੇਵਾ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ ਜੋ ਐਡਰੈੱਸ ਮੈਪ ਵਿੱਚ ਗੈਰ-ਡੀਕੋਡ ਕੀਤੇ ਐਕਸੈਸ ਦੀ ਕੋਸ਼ਿਸ਼ ਕਰਦੇ ਹਨ।
ਹੇਠ ਲਿਖੇ ਦ੍ਰਿਸ਼ ਇੱਕ ਗੈਰ-ਡੀਕੋਡਡ ਘਟਨਾ ਨੂੰ ਚਾਲੂ ਕਰਦੇ ਹਨ:
· ਬੱਸ ਲੈਣ-ਦੇਣ ਸੁਰੱਖਿਆ ਸਥਿਤੀ ਦੀ ਉਲੰਘਣਾ
· ਪਰਿਭਾਸ਼ਿਤ ਮੈਮੋਰੀ ਖੇਤਰ ਤੱਕ ਲੈਣ-ਦੇਣ ਦੀ ਪਹੁੰਚ
· ਅਪਵਾਦ ਘਟਨਾ ਅਤੇ ਆਦਿ।

ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 37

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਨਾਲ
726952 | 2025.07.16 ਹੈ

ਅਜਿਹੀਆਂ ਘਟਨਾਵਾਂ ਨੂੰ ਸੰਭਾਲਣ ਲਈ ਇੱਕ ਡਿਫਾਲਟ ਏਜੰਟ ਨਿਰਧਾਰਤ ਕੀਤਾ ਜਾਣਾ ਚਾਹੀਦਾ ਹੈ, ਜਿੱਥੇ ਪਰਿਭਾਸ਼ਿਤ ਲੈਣ-ਦੇਣ ਨੂੰ ਡਿਫਾਲਟ ਏਜੰਟ ਵੱਲ ਰੀਰੂਟ ਕੀਤਾ ਜਾਂਦਾ ਹੈ ਅਤੇ ਬਾਅਦ ਵਿੱਚ Nios V ਪ੍ਰੋਸੈਸਰ ਨੂੰ ਇੱਕ ਗਲਤੀ ਪ੍ਰਤੀਕਿਰਿਆ ਦੇ ਨਾਲ ਜਵਾਬ ਦਿੰਦਾ ਹੈ।
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
· ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋ ਐਡੀਸ਼ਨ ਯੂਜ਼ਰ ਗਾਈਡ: ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ। ਇੱਕ ਡਿਫਾਲਟ ਏਜੰਟ ਨਿਰਧਾਰਤ ਕਰਨਾ
· ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋ ਐਡੀਸ਼ਨ ਯੂਜ਼ਰ ਗਾਈਡ: ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ। ਗਲਤੀ ਪ੍ਰਤੀਕਿਰਿਆ ਸਲੇਵ ਅਲਟੇਰਾ FPGA IP
· Github – Qsys ਲਈ ਸਪਲੀਮੈਂਟਲ ਰੀਸੈਟ ਕੰਪੋਨੈਂਟਸ

2.6. ਛਪਾਈ ਲਈ ਇੱਕ UART ਏਜੰਟ ਨਿਰਧਾਰਤ ਕਰਨਾ
ਪ੍ਰਿੰਟਿੰਗ ਸਾਫਟਵੇਅਰ ਐਪਲੀਕੇਸ਼ਨ ਨੂੰ ਡੀਬੱਗ ਕਰਨ ਦੇ ਨਾਲ-ਨਾਲ ਤੁਹਾਡੇ ਸਿਸਟਮ ਦੀ ਸਥਿਤੀ ਦੀ ਨਿਗਰਾਨੀ ਕਰਨ ਲਈ ਲਾਭਦਾਇਕ ਹੈ। ਅਲਟੇਰਾ ਮੁੱਢਲੀ ਜਾਣਕਾਰੀ ਜਿਵੇਂ ਕਿ ਸ਼ੁਰੂਆਤੀ ਸੁਨੇਹਾ, ਗਲਤੀ ਸੁਨੇਹਾ, ਅਤੇ ਸਾਫਟਵੇਅਰ ਐਪਲੀਕੇਸ਼ਨ ਦੀ ਐਗਜ਼ੀਕਿਊਸ਼ਨ ਪ੍ਰਗਤੀ ਨੂੰ ਪ੍ਰਿੰਟ ਕਰਨ ਦੀ ਸਿਫ਼ਾਰਸ਼ ਕਰਦਾ ਹੈ।
ਹੇਠ ਲਿਖੀਆਂ ਸਥਿਤੀਆਂ ਵਿੱਚ printf() ਲਾਇਬ੍ਰੇਰੀ ਫੰਕਸ਼ਨ ਦੀ ਵਰਤੋਂ ਕਰਨ ਤੋਂ ਬਚੋ: · ਜੇਕਰ ਕੋਈ ਹੋਸਟ ਆਉਟਪੁੱਟ ਨਹੀਂ ਪੜ੍ਹ ਰਿਹਾ ਹੈ ਤਾਂ printf() ਲਾਇਬ੍ਰੇਰੀ ਐਪਲੀਕੇਸ਼ਨ ਨੂੰ ਰੋਕ ਦਿੰਦੀ ਹੈ।
ਇਹ J 'ਤੇ ਲਾਗੂ ਹੁੰਦਾ ਹੈ।TAG ਸਿਰਫ਼ UART। · printf() ਲਾਇਬ੍ਰੇਰੀ ਵੱਡੀ ਮਾਤਰਾ ਵਿੱਚ ਪ੍ਰੋਗਰਾਮ ਮੈਮੋਰੀ ਦੀ ਖਪਤ ਕਰਦੀ ਹੈ।

2.6.1. J ਦੁਆਰਾ ਸਟਾਲ ਨੂੰ ਰੋਕਣਾTAG UART

ਸਾਰਣੀ 23. ਪਰੰਪਰਾਗਤ UART ਅਤੇ J ਵਿਚਕਾਰ ਅੰਤਰTAG UART

UART ਕਿਸਮ ਰਵਾਇਤੀ UART

ਵਰਣਨ
ਸੀਰੀਅਲ ਡੇਟਾ ਪ੍ਰਸਾਰਿਤ ਕਰਦਾ ਹੈ ਭਾਵੇਂ ਕੋਈ ਬਾਹਰੀ ਹੋਸਟ ਸੁਣ ਰਿਹਾ ਹੋਵੇ ਜਾਂ ਨਾ। ਜੇਕਰ ਕੋਈ ਹੋਸਟ ਸੀਰੀਅਲ ਡੇਟਾ ਨਹੀਂ ਪੜ੍ਹਦਾ, ਤਾਂ ਡੇਟਾ ਗੁੰਮ ਹੋ ਜਾਂਦਾ ਹੈ।

JTAG UART

ਪ੍ਰਸਾਰਿਤ ਡੇਟਾ ਨੂੰ ਇੱਕ ਆਉਟਪੁੱਟ ਬਫਰ ਤੇ ਲਿਖਦਾ ਹੈ ਅਤੇ ਇਸਨੂੰ ਖਾਲੀ ਕਰਨ ਲਈ ਬਫਰ ਤੋਂ ਪੜ੍ਹਨ ਲਈ ਇੱਕ ਬਾਹਰੀ ਹੋਸਟ ਤੇ ਨਿਰਭਰ ਕਰਦਾ ਹੈ।

ਜੇTAG UART ਡਰਾਈਵਰ ਆਉਟਪੁੱਟ ਬਫਰ ਦੇ ਭਰ ਜਾਣ ਦੀ ਉਡੀਕ ਕਰਦਾ ਹੈ। JTAG UART ਡਰਾਈਵਰ ਹੋਰ ਟ੍ਰਾਂਸਮਿਟ ਡੇਟਾ ਲਿਖਣ ਤੋਂ ਪਹਿਲਾਂ ਆਉਟਪੁੱਟ ਬਫਰ ਤੋਂ ਬਾਹਰੀ ਹੋਸਟ ਦੇ ਪੜ੍ਹਨ ਦੀ ਉਡੀਕ ਕਰਦਾ ਹੈ। ਇਹ ਪ੍ਰਕਿਰਿਆ ਟ੍ਰਾਂਸਮਿਟ ਡੇਟਾ ਦੇ ਨੁਕਸਾਨ ਨੂੰ ਰੋਕਦੀ ਹੈ।
ਹਾਲਾਂਕਿ, ਜਦੋਂ ਸਿਸਟਮ ਡੀਬੱਗਿੰਗ ਦੀ ਲੋੜ ਨਹੀਂ ਹੁੰਦੀ, ਜਿਵੇਂ ਕਿ ਉਤਪਾਦਨ ਦੌਰਾਨ, ਏਮਬੈਡਡ ਸਿਸਟਮ J ਨਾਲ ਜੁੜੇ ਹੋਸਟ ਪੀਸੀ ਤੋਂ ਬਿਨਾਂ ਤੈਨਾਤ ਕੀਤੇ ਜਾਂਦੇ ਹਨ।TAG UART। ਜੇਕਰ ਸਿਸਟਮ ਨੇ J ਚੁਣਿਆ ਹੈTAG UART ਨੂੰ UART ਏਜੰਟ ਦੇ ਤੌਰ 'ਤੇ, ਇਹ ਸਿਸਟਮ ਨੂੰ ਰੋਕਣ ਦਾ ਕਾਰਨ ਬਣ ਸਕਦਾ ਹੈ ਕਿਉਂਕਿ ਕੋਈ ਬਾਹਰੀ ਹੋਸਟ ਜੁੜਿਆ ਨਹੀਂ ਹੈ।
J ਦੁਆਰਾ ਰੁਕਣ ਤੋਂ ਰੋਕਣ ਲਈTAG UART, ਹੇਠ ਲਿਖੇ ਵਿਕਲਪਾਂ ਨੂੰ ਲਾਗੂ ਕਰੋ:

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 38

ਫੀਡਬੈਕ ਭੇਜੋ

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਨਾਲ
726952 | 2025.07.16 ਹੈ

ਸਾਰਣੀ 24. ਜੇ ਦੁਆਰਾ ਸਟਾਲਿੰਗ 'ਤੇ ਰੋਕਥਾਮTAG UART

ਵਿਕਲਪ
ਕੋਈ UART ਇੰਟਰਫੇਸ ਅਤੇ ਡਰਾਈਵਰ ਮੌਜੂਦ ਨਹੀਂ ਹੈ।
ਹੋਰ UART ਇੰਟਰਫੇਸ ਅਤੇ ਡਰਾਈਵਰ ਦੀ ਵਰਤੋਂ ਕਰੋ
ਜੇ ਨੂੰ ਸੁਰੱਖਿਅਤ ਰੱਖੋTAG UART ਇੰਟਰਫੇਸ (ਡਰਾਈਵਰ ਤੋਂ ਬਿਨਾਂ)

ਹਾਰਡਵੇਅਰ ਵਿਕਾਸ ਦੌਰਾਨ (ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਵਿੱਚ)

ਸਾਫਟਵੇਅਰ ਵਿਕਾਸ ਦੌਰਾਨ (ਬੋਰਡ ਸਪੋਰਟ ਪੈਕੇਜ ਐਡੀਟਰ ਵਿੱਚ)

J ਹਟਾਓTAG ਸਿਸਟਮ ਤੋਂ UART

hal.stdin, hal.stdout ਅਤੇ hal.stderr ਨੂੰ None ਦੇ ਰੂਪ ਵਿੱਚ ਕੌਂਫਿਗਰ ਕਰੋ।

J ਨੂੰ ਬਦਲੋTAG ਹੋਰ ਸਾਫਟ ਨਾਲ UART hal.stdin, hal.stdout ਅਤੇ hal.stderr ਨੂੰ ਕੌਂਫਿਗਰ ਕਰੋ

ਯੂਆਰਟੀ ਆਈਪੀ

ਹੋਰ ਸਾਫਟ UART IP ਦੇ ਨਾਲ।

ਜੇ ਨੂੰ ਸੁਰੱਖਿਅਤ ਰੱਖੋTAG ਸਿਸਟਮ ਵਿੱਚ UART

· ਬੋਰਡ ਸਪੋਰਟ ਪੈਕੇਜ ਐਡੀਟਰ ਵਿੱਚ hal.stdin, hal.stdout ਅਤੇ hal.stderr ਨੂੰ None ਦੇ ਰੂਪ ਵਿੱਚ ਸੰਰਚਿਤ ਕਰੋ।
· J ਨੂੰ ਅਯੋਗ ਕਰੋTAG BSP ਡਰਾਈਵਰ ਟੈਬ ਵਿੱਚ UART ਡਰਾਈਵਰ।

2.7. ਜੇTAG ਸਿਗਨਲ
Nios V ਪ੍ਰੋਸੈਸਰ ਡੀਬੱਗ ਮੋਡੀਊਲ J ਦੀ ਵਰਤੋਂ ਕਰਦਾ ਹੈTAG ਸਾਫਟਵੇਅਰ ELF ਡਾਊਨਲੋਡ ਅਤੇ ਸਾਫਟਵੇਅਰ ਡੀਬੱਗਿੰਗ ਲਈ ਇੰਟਰਫੇਸ। ਜਦੋਂ ਤੁਸੀਂ J ਨਾਲ ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਨੂੰ ਡੀਬੱਗ ਕਰਦੇ ਹੋTAG ਇੰਟਰਫੇਸ, ਜੇ.TAG ਸਿਗਨਲ TCK, TMS, TDI, ਅਤੇ TDO ਡਿਜ਼ਾਈਨ ਦੇ ਹਿੱਸੇ ਵਜੋਂ ਲਾਗੂ ਕੀਤੇ ਗਏ ਹਨ। J ਨੂੰ ਨਿਰਧਾਰਤ ਕਰਨਾTAG ਹਰੇਕ Nios V ਪ੍ਰੋਸੈਸਰ ਸਿਸਟਮ ਵਿੱਚ ਸਿਗਨਲ ਰੁਕਾਵਟਾਂ ਇੱਕ ਮਹੱਤਵਪੂਰਨ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਵਿਚਾਰ ਹੈ ਅਤੇ ਸ਼ੁੱਧਤਾ ਅਤੇ ਨਿਰਣਾਇਕ ਵਿਵਹਾਰ ਲਈ ਜ਼ਰੂਰੀ ਹੈ।
ਅਲਟੇਰਾ ਸਿਫ਼ਾਰਸ਼ ਕਰਦਾ ਹੈ ਕਿ ਕਿਸੇ ਵੀ ਡਿਜ਼ਾਈਨ ਦੀ ਸਿਸਟਮ ਘੜੀ ਬਾਰੰਬਾਰਤਾ J ਤੋਂ ਘੱਟੋ-ਘੱਟ ਚਾਰ ਗੁਣਾ ਹੋਵੇ।TAG ਇਹ ਯਕੀਨੀ ਬਣਾਉਣ ਲਈ ਕਿ ਔਨ-ਚਿੱਪ ਇੰਸਟਰੂਮੈਂਟੇਸ਼ਨ (OCI) ਕੋਰ ਸਹੀ ਢੰਗ ਨਾਲ ਕੰਮ ਕਰਦਾ ਹੈ, ਘੜੀ ਬਾਰੰਬਾਰਤਾ।
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ · Quartus® ਪ੍ਰਾਈਮ ਟਾਈਮਿੰਗ ਐਨਾਲਾਈਜ਼ਰ ਕੁੱਕਬੁੱਕ: JTAG ਸਿਗਨਲ
ਇਸ ਬਾਰੇ ਹੋਰ ਜਾਣਕਾਰੀ ਲਈ ਜੇTAG ਸਮੇਂ ਦੀਆਂ ਪਾਬੰਦੀਆਂ ਦੇ ਦਿਸ਼ਾ-ਨਿਰਦੇਸ਼। · KDB: niosv-ਡਾਊਨਲੋਡ ਇੱਕ ਗੈਰ-ਪਾਈਪਲਾਈਨ ਵਾਲੇ Nios® V/m ਪ੍ਰੋਸੈਸਰ ਨਾਲ ਕਿਉਂ ਅਸਫਲ ਹੁੰਦਾ ਹੈ?
JTAG ਬਾਰੰਬਾਰਤਾ 24MHz ਜਾਂ 16Mhz?
2.8. ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਸਿਸਟਮ ਪ੍ਰਦਰਸ਼ਨ ਨੂੰ ਅਨੁਕੂਲ ਬਣਾਉਣਾ
ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਅਲਟੇਰਾ FPGA ਡਿਜ਼ਾਈਨਾਂ ਲਈ ਸਿਸਟਮ ਇੰਟਰਕਨੈਕਟ ਦੇ ਪ੍ਰਦਰਸ਼ਨ ਨੂੰ ਅਨੁਕੂਲ ਬਣਾਉਣ ਲਈ ਟੂਲ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ।

ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 39

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਨਾਲ
726952 | 2025.07.16 ਹੈ
ਚਿੱਤਰ 25. ਅਨੁਕੂਲਤਾ ਉਦਾਹਰਨamples

ਸਾਬਕਾampਚਿੱਤਰ ਵਿੱਚ ਦਿਖਾਇਆ ਗਿਆ le ਹੇਠ ਲਿਖੇ ਕਦਮਾਂ ਨੂੰ ਦਰਸਾਉਂਦਾ ਹੈ:
1. ਇਹਨਾਂ ਨੂੰ ਰੱਖ ਕੇ ਮਹੱਤਵਪੂਰਨ ਮਾਰਗਾਂ ਨੂੰ ਘਟਾਉਣ ਲਈ ਪਾਈਪਲਾਈਨ ਬ੍ਰਿਜ ਜੋੜਦਾ ਹੈ: a. ਹਦਾਇਤ ਪ੍ਰਬੰਧਕ ਅਤੇ ਇਸਦੇ ਏਜੰਟਾਂ ਵਿਚਕਾਰ b. ਡੇਟਾ ਪ੍ਰਬੰਧਕ ਅਤੇ ਇਸਦੇ ਏਜੰਟਾਂ ਵਿਚਕਾਰ
2. ਟਰੂ ਡਿਊਲ ਪੋਰਟ ਆਨ-ਚਿੱਪ ਰੈਮ ਲਾਗੂ ਕਰੋ, ਹਰੇਕ ਪੋਰਟ ਕ੍ਰਮਵਾਰ ਇੰਸਟ੍ਰਕਸ਼ਨ ਮੈਨੇਜਰ ਅਤੇ ਡੇਟਾ ਮੈਨੇਜਰ ਨੂੰ ਸਮਰਪਿਤ ਹੋਵੇ।

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 40

ਫੀਡਬੈਕ ਭੇਜੋ

2. ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ 726952 ਨਾਲ | 2025.07.16
ਹੇਠਾਂ ਦਿੱਤੇ ਸੰਬੰਧਿਤ ਲਿੰਕ ਵੇਖੋ, ਜੋ ਉਪਲਬਧ ਔਜ਼ਾਰਾਂ ਦੀ ਵਰਤੋਂ ਕਰਨ ਦੀਆਂ ਤਕਨੀਕਾਂ ਅਤੇ ਹਰੇਕ ਲਾਗੂਕਰਨ ਦੇ ਟ੍ਰੇਡ-ਆਫ ਪੇਸ਼ ਕਰਦੇ ਹਨ।
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ · Quartus® Prime Pro ਐਡੀਸ਼ਨ ਯੂਜ਼ਰ ਗਾਈਡ: ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ
ਵਧੇਰੇ ਜਾਣਕਾਰੀ ਲਈ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਸਿਸਟਮ ਪ੍ਰਦਰਸ਼ਨ ਨੂੰ ਅਨੁਕੂਲ ਬਣਾਉਣਾ ਵਿਸ਼ਾ ਵੇਖੋ। · Quartus® ਪ੍ਰਾਈਮ ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ ਉਪਭੋਗਤਾ ਗਾਈਡ: ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਵਧੇਰੇ ਜਾਣਕਾਰੀ ਲਈ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਸਿਸਟਮ ਪ੍ਰਦਰਸ਼ਨ ਨੂੰ ਅਨੁਕੂਲ ਬਣਾਉਣਾ ਵਿਸ਼ਾ ਵੇਖੋ।

ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 41

726952 | 2025.07.16 ਫੀਡਬੈਕ ਭੇਜੋ

3. Nios V ਪ੍ਰੋਸੈਸਰ ਸਾਫਟਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ
ਇਹ ਅਧਿਆਇ Nios V ਪ੍ਰੋਸੈਸਰ ਸਾਫਟਵੇਅਰ ਵਿਕਾਸ ਪ੍ਰਵਾਹ ਅਤੇ ਉਹਨਾਂ ਸਾਫਟਵੇਅਰ ਟੂਲਸ ਦਾ ਵਰਣਨ ਕਰਦਾ ਹੈ ਜੋ ਤੁਸੀਂ ਆਪਣੇ ਏਮਬੈਡਡ ਡਿਜ਼ਾਈਨ ਸਿਸਟਮ ਨੂੰ ਵਿਕਸਤ ਕਰਨ ਵਿੱਚ ਵਰਤ ਸਕਦੇ ਹੋ। ਸਮੱਗਰੀ ਇੱਕ ਓਵਰ ਵਜੋਂ ਕੰਮ ਕਰਦੀ ਹੈview Nios V ਪ੍ਰੋਸੈਸਰ ਸਾਫਟਵੇਅਰ ਸਿਸਟਮ ਵਿਕਸਤ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ।
ਚਿੱਤਰ 26. ਸਾਫਟਵੇਅਰ ਡਿਜ਼ਾਈਨ ਪ੍ਰਵਾਹ
ਸ਼ੁਰੂ ਕਰੋ

BSP ਐਡੀਟਰ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਵਿੱਚ BSP ਤਿਆਰ ਕਰੋ

Nios V ਕਮਾਂਡ ਸ਼ੈੱਲ ਦੀ ਵਰਤੋਂ ਕਰਕੇ BSP ਤਿਆਰ ਕਰੋ
ਐਪਲੀਕੇਸ਼ਨ CMake ਬਿਲਡ ਤਿਆਰ ਕਰੋ File Nios V ਕਮਾਂਡ ਸ਼ੈੱਲ ਦੀ ਵਰਤੋਂ ਕਰਨਾ

ਨੋਟ:

BSP ਅਤੇ ਐਪਲੀਕੇਸ਼ਨ CMake ਬਿਲਡ ਨੂੰ ਆਯਾਤ ਕਰੋ File
ਦੀ ਵਰਤੋਂ ਕਰਕੇ Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਬਣਾਓ
ਇੰਟੇਲ ਐਫਪੀਜੀਏ ਲਈ ਰਿਸਕਫ੍ਰੀ ਆਈਡੀਈ

ਕਿਸੇ ਵੀ ਦੀ ਵਰਤੋਂ ਕਰਕੇ Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਬਣਾਓ
ਕਮਾਂਡ-ਲਾਈਨ ਸੋਰਸ ਕੋਡ ਐਡੀਟਰ, ਸੀਮੇਕ, ਅਤੇ ਮੇਕ
ਹੁਕਮ
ਅੰਤ

ਅਲਟੇਰਾ ਸਿਫ਼ਾਰਸ਼ ਕਰਦਾ ਹੈ ਕਿ ਤੁਸੀਂ ਸਾਫਟਵੇਅਰ ਵਿਕਾਸ ਅਤੇ ਡੀਬੱਗਿੰਗ ਲਈ ਇੱਕ ਅਲਟੇਰਾ FPGA ਵਿਕਾਸ ਕਿੱਟ ਜਾਂ ਇੱਕ ਕਸਟਮ ਪ੍ਰੋਟੋਟਾਈਪ ਬੋਰਡ ਦੀ ਵਰਤੋਂ ਕਰੋ। ਬਹੁਤ ਸਾਰੇ ਪੈਰੀਫਿਰਲ ਅਤੇ ਸਿਸਟਮ-ਪੱਧਰ ਦੀਆਂ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਸਿਰਫ਼ ਉਦੋਂ ਹੀ ਉਪਲਬਧ ਹੁੰਦੀਆਂ ਹਨ ਜਦੋਂ ਤੁਹਾਡਾ ਸਾਫਟਵੇਅਰ ਅਸਲ ਬੋਰਡ 'ਤੇ ਚੱਲਦਾ ਹੈ।

© ਅਲਟੇਰਾ ਕਾਰਪੋਰੇਸ਼ਨ। ਅਲਟੇਰਾ, ਅਲਟੇਰਾ ਲੋਗੋ, 'ਏ' ਲੋਗੋ, ਅਤੇ ਹੋਰ ਅਲਟੇਰਾ ਚਿੰਨ੍ਹ ਅਲਟੇਰਾ ਕਾਰਪੋਰੇਸ਼ਨ ਦੇ ਟ੍ਰੇਡਮਾਰਕ ਹਨ। ਅਲਟੇਰਾ ਬਿਨਾਂ ਕਿਸੇ ਨੋਟਿਸ ਦੇ ਕਿਸੇ ਵੀ ਸਮੇਂ ਕਿਸੇ ਵੀ ਉਤਪਾਦ ਅਤੇ ਸੇਵਾਵਾਂ ਵਿੱਚ ਬਦਲਾਅ ਕਰਨ ਦਾ ਅਧਿਕਾਰ ਰਾਖਵਾਂ ਰੱਖਦਾ ਹੈ। ਅਲਟੇਰਾ ਇੱਥੇ ਦੱਸੀ ਗਈ ਕਿਸੇ ਵੀ ਜਾਣਕਾਰੀ, ਉਤਪਾਦ ਜਾਂ ਸੇਵਾ ਦੀ ਵਰਤੋਂ ਜਾਂ ਵਰਤੋਂ ਤੋਂ ਪੈਦਾ ਹੋਣ ਵਾਲੀ ਕੋਈ ਜ਼ਿੰਮੇਵਾਰੀ ਜਾਂ ਦੇਣਦਾਰੀ ਨਹੀਂ ਲੈਂਦਾ ਸਿਵਾਏ ਇਸਦੇ ਕਿ ਅਲਟੇਰਾ ਦੁਆਰਾ ਲਿਖਤੀ ਰੂਪ ਵਿੱਚ ਸਪੱਸ਼ਟ ਤੌਰ 'ਤੇ ਸਹਿਮਤੀ ਦਿੱਤੀ ਗਈ ਹੋਵੇ। ਅਲਟੇਰਾ ਗਾਹਕਾਂ ਨੂੰ ਸਲਾਹ ਦਿੱਤੀ ਜਾਂਦੀ ਹੈ ਕਿ ਉਹ ਕਿਸੇ ਵੀ ਪ੍ਰਕਾਸ਼ਿਤ ਜਾਣਕਾਰੀ 'ਤੇ ਭਰੋਸਾ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ ਅਤੇ ਉਤਪਾਦਾਂ ਜਾਂ ਸੇਵਾਵਾਂ ਲਈ ਆਰਡਰ ਦੇਣ ਤੋਂ ਪਹਿਲਾਂ ਡਿਵਾਈਸ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦਾ ਨਵੀਨਤਮ ਸੰਸਕਰਣ ਪ੍ਰਾਪਤ ਕਰਨ। *ਹੋਰ ਨਾਵਾਂ ਅਤੇ ਬ੍ਰਾਂਡਾਂ ਨੂੰ ਦੂਜਿਆਂ ਦੀ ਜਾਇਦਾਦ ਵਜੋਂ ਦਾਅਵਾ ਕੀਤਾ ਜਾ ਸਕਦਾ ਹੈ।

3. Nios V ਪ੍ਰੋਸੈਸਰ ਸਾਫਟਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ 726952 | 2025.07.16
3.1. Nios V ਪ੍ਰੋਸੈਸਰ ਸਾਫਟਵੇਅਰ ਵਿਕਾਸ ਪ੍ਰਵਾਹ
3.1.1. ਬੋਰਡ ਸਪੋਰਟ ਪੈਕੇਜ ਪ੍ਰੋਜੈਕਟ
ਇੱਕ Nios V ਬੋਰਡ ਸਪੋਰਟ ਪੈਕੇਜ (BSP) ਪ੍ਰੋਜੈਕਟ ਇੱਕ ਵਿਸ਼ੇਸ਼ ਲਾਇਬ੍ਰੇਰੀ ਹੈ ਜਿਸ ਵਿੱਚ ਸਿਸਟਮ-ਵਿਸ਼ੇਸ਼ ਸਹਾਇਤਾ ਕੋਡ ਹੁੰਦਾ ਹੈ। ਇੱਕ BSP ਇੱਕ ਸਾਫਟਵੇਅਰ ਰਨਟਾਈਮ ਵਾਤਾਵਰਣ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ ਜੋ Nios V ਪ੍ਰੋਸੈਸਰ ਹਾਰਡਵੇਅਰ ਸਿਸਟਮ ਵਿੱਚ ਇੱਕ ਪ੍ਰੋਸੈਸਰ ਲਈ ਅਨੁਕੂਲਿਤ ਕੀਤਾ ਜਾਂਦਾ ਹੈ।
ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸਾਫਟਵੇਅਰ BSP ਦੇ ਵਿਵਹਾਰ ਨੂੰ ਨਿਯੰਤਰਿਤ ਕਰਨ ਵਾਲੀਆਂ ਸੈਟਿੰਗਾਂ ਨੂੰ ਸੋਧਣ ਲਈ Nios V ਬੋਰਡ ਸਪੋਰਟ ਪੈਕੇਜ ਐਡੀਟਰ ਅਤੇ niosv-bsp ਉਪਯੋਗਤਾ ਟੂਲ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ।
ਇੱਕ BSP ਵਿੱਚ ਹੇਠ ਲਿਖੇ ਤੱਤ ਹੁੰਦੇ ਹਨ: · ਹਾਰਡਵੇਅਰ ਐਬਸਟਰੈਕਸ਼ਨ ਲੇਅਰ · ਡਿਵਾਈਸ ਡਰਾਈਵਰ · ਵਿਕਲਪਿਕ ਸਾਫਟਵੇਅਰ ਪੈਕੇਜ · ਵਿਕਲਪਿਕ ਰੀਅਲ-ਟਾਈਮ ਓਪਰੇਟਿੰਗ ਸਿਸਟਮ
3.1.2. ਐਪਲੀਕੇਸ਼ਨ ਪ੍ਰੋਜੈਕਟ
ਇੱਕ Nios VC/C++ ਐਪਲੀਕੇਸ਼ਨ ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ ਹੇਠ ਲਿਖੀਆਂ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਹਨ: · ਇਸ ਵਿੱਚ ਸਰੋਤ ਕੋਡ ਦਾ ਸੰਗ੍ਰਹਿ ਅਤੇ ਇੱਕ CMakeLists.txt ਸ਼ਾਮਲ ਹੁੰਦਾ ਹੈ।
— CMakeLists.txt ਸਰੋਤ ਕੋਡ ਨੂੰ ਕੰਪਾਇਲ ਕਰਦਾ ਹੈ ਅਤੇ ਇਸਨੂੰ ਇੱਕ BSP ਅਤੇ ਇੱਕ ਜਾਂ ਇੱਕ ਤੋਂ ਵੱਧ ਵਿਕਲਪਿਕ ਲਾਇਬ੍ਰੇਰੀਆਂ ਨਾਲ ਜੋੜਦਾ ਹੈ, ਤਾਂ ਜੋ ਇੱਕ .elf ਬਣਾਇਆ ਜਾ ਸਕੇ। file
· ਸਰੋਤਾਂ ਵਿੱਚੋਂ ਇੱਕ files ਵਿੱਚ ਫੰਕਸ਼ਨ ਮੇਨ() ਹੁੰਦਾ ਹੈ। · ਲਾਇਬ੍ਰੇਰੀਆਂ ਅਤੇ BSP ਵਿੱਚ ਫੰਕਸ਼ਨਾਂ ਨੂੰ ਕਾਲ ਕਰਨ ਵਾਲਾ ਕੋਡ ਸ਼ਾਮਲ ਕਰਦਾ ਹੈ।
ਅਲਟੇਰਾ, ਐਪਲੀਕੇਸ਼ਨ CMakeLists.txt ਬਣਾਉਣ ਲਈ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਯੂਟਿਲਿਟੀ ਟੂਲਸ ਵਿੱਚ niosv-app ਯੂਟਿਲਿਟੀ ਟੂਲ ਅਤੇ ਇਕਲਿਪਸ-ਅਧਾਰਿਤ ਵਾਤਾਵਰਣ ਵਿੱਚ ਸਰੋਤ ਕੋਡ ਨੂੰ ਸੋਧਣ ਲਈ ਅਲਟੇਰਾ FPGAs ਲਈ RiscFree IDE ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ।
3.2. ਅਲਟੇਰਾ FPGA ਏਮਬੈਡਡ ਡਿਵੈਲਪਮੈਂਟ ਟੂਲ
Nios V ਪ੍ਰੋਸੈਸਰ ਸਾਫਟਵੇਅਰ ਵਿਕਾਸ ਲਈ ਹੇਠ ਲਿਖੇ ਟੂਲਸ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ: · ਗ੍ਰਾਫਿਕਲ ਯੂਜ਼ਰ ਇੰਟਰਫੇਸ (GUI) - ਗ੍ਰਾਫਿਕਲ ਵਿਕਾਸ ਟੂਲ ਜੋ ਕਿ ਵਿੱਚ ਉਪਲਬਧ ਹਨ
ਵਿੰਡੋਜ਼* ਅਤੇ ਲੀਨਕਸ* ਓਪਰੇਟਿੰਗ ਸਿਸਟਮ (OS) ਦੋਵੇਂ। — Nios V ਬੋਰਡ ਸਪੋਰਟ ਪੈਕੇਜ ਐਡੀਟਰ (Nios V BSP ਐਡੀਟਰ) — Altera FPGAs ਲਈ Ashling RiscFree IDE · ਕਮਾਂਡ-ਲਾਈਨ ਟੂਲਸ (CLI) - ਵਿਕਾਸ ਟੂਲ ਜੋ Nios V ਕਮਾਂਡ ਸ਼ੈੱਲ ਤੋਂ ਸ਼ੁਰੂ ਕੀਤੇ ਜਾਂਦੇ ਹਨ। ਹਰੇਕ ਟੂਲ ਕਮਾਂਡ ਲਾਈਨ ਤੋਂ ਪਹੁੰਚਯੋਗ ਮਦਦ ਦੇ ਰੂਪ ਵਿੱਚ ਆਪਣਾ ਦਸਤਾਵੇਜ਼ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ। Nios V ਕਮਾਂਡ ਸ਼ੈੱਲ ਖੋਲ੍ਹੋ ਅਤੇ ਹੇਠ ਲਿਖੀ ਕਮਾਂਡ ਟਾਈਪ ਕਰੋ: - ਮਦਦ ਕਰੋ view ਮਦਦ ਮੀਨੂ। — Nios V ਉਪਯੋਗਤਾ ਟੂਲ — File ਫਾਰਮੈਟ ਪਰਿਵਰਤਨ ਟੂਲ — ਹੋਰ ਉਪਯੋਗਤਾ ਟੂਲ

ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 43

3. Nios V ਪ੍ਰੋਸੈਸਰ ਸਾਫਟਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ 726952 | 2025.07.16

ਸਾਰਣੀ 25. GUI ਟੂਲ ਅਤੇ ਕਮਾਂਡ-ਲਾਈਨ ਟੂਲ ਟਾਸਕ ਸੰਖੇਪ

ਟਾਸਕ

GUI ਟੂਲ

ਕਮਾਂਡ-ਲਾਈਨ ਟੂਲ

ਬੀਐਸਪੀ ਬਣਾਉਣਾ

ਨਿਓਸ ਵੀ ਬੀਐਸਪੀ ਸੰਪਾਦਕ

· ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋ ਐਡੀਸ਼ਨ ਸਾਫਟਵੇਅਰ ਵਿੱਚ: niosv-bsp -c -s=<.qsys file> -t= [ਵਿਕਲਪ] ਸੈਟਿੰਗਾਂ।bsp
· ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ ਸਾਫਟਵੇਅਰ ਵਿੱਚ: niosv-bsp -c -s=<.sopcinfo file> -t= [ਵਿਕਲਪ] ਸੈਟਿੰਗਾਂ।bsp

ਮੌਜੂਦਾ .bsp ਦੀ ਵਰਤੋਂ ਕਰਕੇ BSP ਬਣਾਉਣਾ file
BSP ਨੂੰ ਅੱਪਡੇਟ ਕਰਨਾ

ਨਿਓਸ ਵੀ ਬੀਐਸਪੀ ਸੰਪਾਦਕ ਨਿਓਸ ਵੀ ਬੀਐਸਪੀ ਸੰਪਾਦਕ

niosv-bsp -g [ਵਿਕਲਪ] ਸੈਟਿੰਗਾਂ.bsp niosv-bsp -u [ਵਿਕਲਪ] ਸੈਟਿੰਗਾਂ.bsp

ਬੀਐਸਪੀ ਦੀ ਜਾਂਚ ਕਰਨਾ

ਨਿਓਸ ਵੀ ਬੀਐਸਪੀ ਸੰਪਾਦਕ

niosv-bsp -q -E= [ਵਿਕਲਪ] ਸੈਟਿੰਗਾਂ।bsp

ਇੱਕ ਐਪਲੀਕੇਸ਼ਨ ਬਣਾਉਣਾ

niosv-ਐਪ -a= -ਬੀ= -ਸ= files ਡਾਇਰੈਕਟਰੀ> [ਵਿਕਲਪ]

ਇੱਕ ਯੂਜ਼ਰ ਲਾਇਬ੍ਰੇਰੀ ਬਣਾਉਣਾ

niosv-ਐਪ -l= -ਸ= files ਡਾਇਰੈਕਟਰੀ> -p= [ਵਿਕਲਪ]

ਇੱਕ ਐਪਲੀਕੇਸ਼ਨ ਨੂੰ ਸੋਧਣਾ ਇੱਕ ਯੂਜ਼ਰ ਲਾਇਬ੍ਰੇਰੀ ਨੂੰ ਸੋਧਣਾ ਇੱਕ ਐਪਲੀਕੇਸ਼ਨ ਬਣਾਉਣਾ

ਅਲਟੇਰਾ FPGA ਲਈ ਰਿਸਕਫ੍ਰੀ IDE
ਅਲਟੇਰਾ FPGA ਲਈ ਰਿਸਕਫ੍ਰੀ IDE
ਅਲਟੇਰਾ FPGA ਲਈ ਰਿਸਕਫ੍ਰੀ IDE

ਕੋਈ ਵੀ ਕਮਾਂਡ-ਲਾਈਨ ਸਰੋਤ ਸੰਪਾਦਕ
ਕੋਈ ਵੀ ਕਮਾਂਡ-ਲਾਈਨ ਸਰੋਤ ਸੰਪਾਦਕ
· ਬਣਾਉਣਾ · ਸੀਮੇਕ

ਇੱਕ ਯੂਜ਼ਰ ਲਾਇਬ੍ਰੇਰੀ ਬਣਾਉਣਾ

ਅਲਟੇਰਾ FPGA ਲਈ ਰਿਸਕਫ੍ਰੀ IDE

· ਬਣਾਉਣਾ · ਸੀਮੇਕ

ਇੱਕ ਐਪਲੀਕੇਸ਼ਨ ਡਾਊਨਲੋਡ ਕਰਨਾ ELF
.elf ਨੂੰ ਬਦਲਣਾ file

ਅਲਟੇਰਾ FPGA ਲਈ ਰਿਸਕਫ੍ਰੀ IDE

niosv-ਡਾਊਨਲੋਡ
· ਐਲਫ2ਫਲੈਸ਼ · ਐਲਫ2ਹੈਕਸ

ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ
ਅਲਟੇਰਾ FPGAs ਯੂਜ਼ਰ ਗਾਈਡ ਲਈ ਐਸ਼ਲਿੰਗ ਰਿਸਕਫ੍ਰੀ ਇੰਟੀਗ੍ਰੇਟਿਡ ਡਿਵੈਲਪਮੈਂਟ ਇਨਵਾਇਰਮੈਂਟ (IDE)

3.2.1. Nios V ਪ੍ਰੋਸੈਸਰ ਬੋਰਡ ਸਪੋਰਟ ਪੈਕੇਜ ਐਡੀਟਰ
ਤੁਸੀਂ ਹੇਠ ਲਿਖੇ ਕੰਮ ਕਰਨ ਲਈ Nios V ਪ੍ਰੋਸੈਸਰ BSP ਐਡੀਟਰ ਦੀ ਵਰਤੋਂ ਕਰ ਸਕਦੇ ਹੋ: · Nios V ਪ੍ਰੋਸੈਸਰ BSP ਪ੍ਰੋਜੈਕਟ ਬਣਾਓ ਜਾਂ ਸੋਧੋ · ਸੈਟਿੰਗਾਂ, ਲਿੰਕਰ ਖੇਤਰ ਅਤੇ ਸੈਕਸ਼ਨ ਮੈਪਿੰਗ ਸੰਪਾਦਿਤ ਕਰੋ · ਸਾਫਟਵੇਅਰ ਪੈਕੇਜ ਅਤੇ ਡਿਵਾਈਸ ਡਰਾਈਵਰ ਚੁਣੋ।
BSP ਐਡੀਟਰ ਦੀਆਂ ਸਮਰੱਥਾਵਾਂ ਵਿੱਚ niosv-bsp ਯੂਟਿਲਿਟੀਆਂ ਦੀਆਂ ਸਮਰੱਥਾਵਾਂ ਸ਼ਾਮਲ ਹਨ। BSP ਐਡੀਟਰ ਵਿੱਚ ਬਣਾਇਆ ਗਿਆ ਕੋਈ ਵੀ ਪ੍ਰੋਜੈਕਟ ਕਮਾਂਡ-ਲਾਈਨ ਯੂਟਿਲਿਟੀਆਂ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਵੀ ਬਣਾਇਆ ਜਾ ਸਕਦਾ ਹੈ।

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 44

ਫੀਡਬੈਕ ਭੇਜੋ

3. Nios V ਪ੍ਰੋਸੈਸਰ ਸਾਫਟਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ 726952 | 2025.07.16

ਨੋਟ:

ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸਟੈਂਡਰਡ ਐਡੀਸ਼ਨ ਸੌਫਟਵੇਅਰ ਲਈ, BSP ਐਡੀਟਰ GUI ਨੂੰ ਇਨਵੋਕ ਕਰਨ ਦੇ ਕਦਮਾਂ ਲਈ AN 980: Nios V ਪ੍ਰੋਸੈਸਰ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਸਪੋਰਟ ਵੇਖੋ।

BSP ਐਡੀਟਰ ਲਾਂਚ ਕਰਨ ਲਈ, ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ: 1. ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਖੋਲ੍ਹੋ, ਅਤੇ ਇਸ 'ਤੇ ਨੈਵੀਗੇਟ ਕਰੋ File ਮੀਨੂ।
a. ਮੌਜੂਦਾ BSP ਸੈਟਿੰਗ ਖੋਲ੍ਹਣ ਲਈ file, ਓਪਨ… ਤੇ ਕਲਿੱਕ ਕਰੋ। b. ਨਵਾਂ BSP ਬਣਾਉਣ ਲਈ, ਨਵਾਂ BSP… ਤੇ ਕਲਿੱਕ ਕਰੋ। 2. BSP ਐਡੀਟਰ ਟੈਬ ਚੁਣੋ ਅਤੇ ਢੁਕਵੇਂ ਵੇਰਵੇ ਪ੍ਰਦਾਨ ਕਰੋ।

ਚਿੱਤਰ 27. BSP ਐਡੀਟਰ ਲਾਂਚ ਕਰੋ

ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ AN 980: Nios V ਪ੍ਰੋਸੈਸਰ Quartus Prime ਸਾਫਟਵੇਅਰ ਸਪੋਰਟ
3.2.2. ਅਲਟੇਰਾ FPGA ਲਈ ਰਿਸਕਫ੍ਰੀ IDE
Altera FPGAs ਲਈ RiscFree IDE, Nios V ਪ੍ਰੋਸੈਸਰ ਲਈ ਇੱਕ Eclipse-ਅਧਾਰਿਤ IDE ਹੈ। Altera ਸਿਫ਼ਾਰਸ਼ ਕਰਦਾ ਹੈ ਕਿ ਤੁਸੀਂ ਹੇਠ ਲਿਖੇ ਕਾਰਨਾਂ ਕਰਕੇ ਇਸ IDE ਵਿੱਚ Nios V ਪ੍ਰੋਸੈਸਰ ਸੌਫਟਵੇਅਰ ਵਿਕਸਤ ਕਰੋ: · ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਨੂੰ Nios V ਦੇ ਅਨੁਕੂਲ ਹੋਣ ਲਈ ਵਿਕਸਤ ਅਤੇ ਪ੍ਰਮਾਣਿਤ ਕੀਤਾ ਗਿਆ ਹੈ।
ਪ੍ਰੋਸੈਸਰ ਬਿਲਡ ਫਲੋ। · ਸਾਰੀਆਂ ਜ਼ਰੂਰੀ ਟੂਲਚੇਨਾਂ ਅਤੇ ਸਹਾਇਕ ਟੂਲਸ ਨਾਲ ਲੈਸ ਜੋ ਤੁਹਾਨੂੰ ਯੋਗ ਬਣਾਉਂਦੇ ਹਨ
Nios V ਪ੍ਰੋਸੈਸਰ ਵਿਕਾਸ ਨੂੰ ਆਸਾਨੀ ਨਾਲ ਸ਼ੁਰੂ ਕਰਨ ਲਈ।
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ ਐਸ਼ਲਿੰਗ ਰਿਸਕਫ੍ਰੀ ਇੰਟੀਗ੍ਰੇਟਿਡ ਡਿਵੈਲਪਮੈਂਟ ਇਨਵਾਇਰਮੈਂਟ (IDE) ਫਾਰ ਅਲਟੇਰਾ FPGAs ਯੂਜ਼ਰ ਗਾਈਡ
3.2.3. Nios V ਉਪਯੋਗਤਾਵਾਂ ਟੂਲ
ਤੁਸੀਂ ਕਮਾਂਡ ਲਾਈਨ 'ਤੇ ਟਾਈਪ ਕੀਤੀਆਂ ਜਾਂ ਸਕ੍ਰਿਪਟ ਵਿੱਚ ਏਮਬੈਡ ਕੀਤੀਆਂ ਕਮਾਂਡਾਂ ਨਾਲ Nios V ਪ੍ਰੋਗਰਾਮ ਬਣਾ ਸਕਦੇ ਹੋ, ਸੋਧ ਸਕਦੇ ਹੋ ਅਤੇ ਬਣਾ ਸਕਦੇ ਹੋ। ਇਸ ਭਾਗ ਵਿੱਚ ਦੱਸੇ ਗਏ Nios V ਕਮਾਂਡ-ਲਾਈਨ ਟੂਲ ਵਿੱਚ ਹਨ /niosv/bin ਡਾਇਰੈਕਟਰੀ।

ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 45

3. Nios V ਪ੍ਰੋਸੈਸਰ ਸਾਫਟਵੇਅਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ 726952 | 2025.07.16

ਸਾਰਣੀ 26. Nios V ਉਪਯੋਗਤਾਵਾਂ ਦੇ ਸੰਦ

ਕਮਾਂਡ-ਲਾਈਨ ਟੂਲ

ਸੰਖੇਪ

niosv-ਐਪ niosv-bsp niosv-ਡਾਊਨਲੋਡ niosv-ਸ਼ੈੱਲ niosv-ਸਟੈਕ-ਰਿਪੋਰਟ

ਇੱਕ ਐਪਲੀਕੇਸ਼ਨ ਪ੍ਰੋਜੈਕਟ ਤਿਆਰ ਕਰਨ ਅਤੇ ਕੌਂਫਿਗਰ ਕਰਨ ਲਈ।
BSP ਸੈਟਿੰਗਾਂ ਬਣਾਉਣ ਜਾਂ ਅੱਪਡੇਟ ਕਰਨ ਲਈ file ਅਤੇ ਬਸਪਾ ਬਣਾਓ fileਐੱਸ. ELF ਡਾਊਨਲੋਡ ਕਰਨ ਲਈ file ਇੱਕ Nios® V ਪ੍ਰੋਸੈਸਰ ਨੂੰ।
Nios V ਕਮਾਂਡ ਸ਼ੈੱਲ ਖੋਲ੍ਹਣ ਲਈ। ਸਟੈਕ ਜਾਂ ਹੀਪ ਵਰਤੋਂ ਲਈ ਤੁਹਾਡੀ ਐਪਲੀਕੇਸ਼ਨ .elf ਵਿੱਚ ਉਪਲਬਧ ਬਚੀ ਹੋਈ ਮੈਮੋਰੀ ਸਪੇਸ ਬਾਰੇ ਤੁਹਾਨੂੰ ਸੂਚਿਤ ਕਰਨ ਲਈ।

3.2.4. File ਫਾਰਮੈਟ ਪਰਿਵਰਤਨ ਟੂਲ

File ਇੱਕ ਉਪਯੋਗਤਾ ਤੋਂ ਦੂਜੀ ਤੱਕ ਡੇਟਾ ਪਾਸ ਕਰਨ ਵੇਲੇ ਕਈ ਵਾਰ ਫਾਰਮੈਟ ਪਰਿਵਰਤਨ ਜ਼ਰੂਰੀ ਹੁੰਦਾ ਹੈ। file ਫਾਰਮੈਟ ਪਰਿਵਰਤਨ ਟੂਲ ਇਸ ਵਿੱਚ ਹਨ
ਸਾਫਟਵੇਅਰ ਇੰਸਟਾਲੇਸ਼ਨ ਡਾਇਰੈਕਟਰੀ>/niosv/bin ਡਾਇਰੈਕਟਰੀ।

ਸਾਰਣੀ 27. File ਫਾਰਮੈਟ ਪਰਿਵਰਤਨ ਟੂਲ

ਕਮਾਂਡ-ਲਾਈਨ ਟੂਲਸ elf2flash elf2hex

ਸੰਖੇਪ .elf ਦਾ ਅਨੁਵਾਦ ਕਰਨ ਲਈ file ਫਲੈਸ਼ ਮੈਮੋਰੀ ਪ੍ਰੋਗਰਾਮਿੰਗ ਲਈ .srec ਫਾਰਮੈਟ ਵਿੱਚ। .elf ਦਾ ਅਨੁਵਾਦ ਕਰਨ ਲਈ file ਮੈਮੋਰੀ ਸ਼ੁਰੂਆਤ ਲਈ .hex ਫਾਰਮੈਟ ਵਿੱਚ।

3.2.5. ਹੋਰ ਉਪਯੋਗਤਾ ਟੂਲ

Nios V ਪ੍ਰੋਸੈਸਰ ਅਧਾਰਤ ਸਿਸਟਮ ਬਣਾਉਂਦੇ ਸਮੇਂ ਤੁਹਾਨੂੰ ਹੇਠਾਂ ਦਿੱਤੇ ਕਮਾਂਡ-ਲਾਈਨ ਟੂਲਸ ਦੀ ਲੋੜ ਹੋ ਸਕਦੀ ਹੈ। ਇਹ ਕਮਾਂਡ-ਲਾਈਨ ਟੂਲ ਜਾਂ ਤਾਂ Intel ਦੁਆਰਾ ਪ੍ਰਦਾਨ ਕੀਤੇ ਜਾਂਦੇ ਹਨ /quartus/bin ਜਾਂ ਇਸ ਤੋਂ ਪ੍ਰਾਪਤ ਕੀਤਾ ਗਿਆ
ਓਪਨ-ਸੋਰਸ ਟੂਲ।

ਸਾਰਣੀ 28. ਹੋਰ ਕਮਾਂਡ-ਲਾਈਨ ਟੂਲ

ਕਮਾਂਡ-ਲਾਈਨ ਟੂਲ

ਟਾਈਪ ਕਰੋ

ਸੰਖੇਪ

ਜੁਆਰਟ-ਟਰਮੀਨਲ

ਇੰਟੇਲ ਦੁਆਰਾ ਪ੍ਰਦਾਨ ਕੀਤਾ ਗਿਆ

stdout ਅਤੇ stderr ਦੀ ਨਿਗਰਾਨੀ ਕਰਨ ਲਈ, ਅਤੇ Nios® V ਪ੍ਰੋਸੈਸਰ ਨੂੰ ਇਨਪੁੱਟ ਪ੍ਰਦਾਨ ਕਰਨ ਲਈ
stdin ਰਾਹੀਂ ਸਬਸਿਸਟਮ। ਇਹ ਟੂਲ ਸਿਰਫ਼ J 'ਤੇ ਲਾਗੂ ਹੁੰਦਾ ਹੈTAG UART IP ਜਦੋਂ ਇਹ Nios® V ਪ੍ਰੋਸੈਸਰ ਨਾਲ ਜੁੜਿਆ ਹੁੰਦਾ ਹੈ।

ਓਪਨਓਸੀਡੀ

OpenOCD ਨੂੰ ਚਲਾਉਣ ਲਈ Intel ਦੁਆਰਾ ਪ੍ਰਦਾਨ ਕੀਤਾ ਗਿਆ।

ਓਪਨਓਸੀਡੀ-ਸੀਐਫਜੀ-ਜਨਰੇਸ਼ਨ

ਇੰਟੈਲ ਦੁਆਰਾ ਪ੍ਰਦਾਨ ਕੀਤਾ ਗਿਆ · OpenOCD ਸੰਰਚਨਾ ਤਿਆਰ ਕਰਨ ਲਈ file. · J ਪ੍ਰਦਰਸ਼ਿਤ ਕਰਨ ਲਈTAG ਚੇਨ ਡਿਵਾਈਸ ਇੰਡੈਕਸ।

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 46

ਫੀਡਬੈਕ ਭੇਜੋ

726952 | 2025.07.16 ਫੀਡਬੈਕ ਭੇਜੋ
4. Nios V ਪ੍ਰੋਸੈਸਰ ਸੰਰਚਨਾ ਅਤੇ ਬੂਟਿੰਗ ਹੱਲ
ਤੁਸੀਂ Nios V ਪ੍ਰੋਸੈਸਰ ਨੂੰ ਵੱਖ-ਵੱਖ ਮੈਮੋਰੀ ਸਥਾਨਾਂ ਤੋਂ ਸਾਫਟਵੇਅਰ ਨੂੰ ਬੂਟ ਕਰਨ ਅਤੇ ਚਲਾਉਣ ਲਈ ਕੌਂਫਿਗਰ ਕਰ ਸਕਦੇ ਹੋ। ਬੂਟ ਮੈਮੋਰੀ ਕਵਾਡ ਸੀਰੀਅਲ ਪੈਰੀਫਿਰਲ ਇੰਟਰਫੇਸ (QSPI) ਫਲੈਸ਼, ਆਨ-ਚਿੱਪ ਮੈਮੋਰੀ (OCRAM), ਜਾਂ ਟਾਈਟਲੀ ਕਪਲਡ ਮੈਮੋਰੀ (TCM) ਹੈ।
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ · ਪੰਨਾ 193 'ਤੇ ਪਾਵਰ-ਅੱਪ ਟਰਿੱਗਰ ਸ਼ਰਤਾਂ · ਪਾਵਰ-ਅੱਪ ਟਰਿੱਗਰ
ਪਾਵਰ-ਅੱਪ ਟਰਿੱਗਰਾਂ ਬਾਰੇ ਹੋਰ ਜਾਣਕਾਰੀ ਲਈ।
4.1. ਜਾਣ-ਪਛਾਣ
Nios V ਪ੍ਰੋਸੈਸਰ ਦੋ ਤਰ੍ਹਾਂ ਦੀਆਂ ਬੂਟ ਪ੍ਰਕਿਰਿਆਵਾਂ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ: · alt_load() ਫੰਕਸ਼ਨ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਐਗਜ਼ੀਕਿਊਟ-ਇਨ-ਪਲੇਸ (XIP) · ਬੂਟ ਕਾਪੀਅਰ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਪ੍ਰੋਗਰਾਮ ਨੂੰ RAM ਵਿੱਚ ਕਾਪੀ ਕੀਤਾ ਜਾਂਦਾ ਹੈ। Nios V ਏਮਬੈਡਡ ਪ੍ਰੋਗਰਾਮ ਡਿਵੈਲਪਮੈਂਟ ਹਾਰਡਵੇਅਰ ਐਬਸਟਰੈਕਸ਼ਨ ਲੇਅਰ (HAL) 'ਤੇ ਅਧਾਰਤ ਹੈ। HAL ਇੱਕ ਛੋਟਾ ਬੂਟ ਲੋਡਰ ਪ੍ਰੋਗਰਾਮ (ਜਿਸਨੂੰ ਬੂਟ ਕਾਪੀਅਰ ਵੀ ਕਿਹਾ ਜਾਂਦਾ ਹੈ) ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ ਜੋ ਬੂਟ ਸਮੇਂ 'ਤੇ ਬੂਟ ਮੈਮੋਰੀ ਤੋਂ ਸੰਬੰਧਿਤ ਲਿੰਕਰ ਭਾਗਾਂ ਨੂੰ ਉਹਨਾਂ ਦੇ ਰਨ ਟਾਈਮ ਸਥਾਨ 'ਤੇ ਕਾਪੀ ਕਰਦਾ ਹੈ। ਤੁਸੀਂ ਬੋਰਡ ਸਪੋਰਟ ਪੈਕੇਜ (BSP) ਐਡੀਟਰ ਸੈਟਿੰਗਾਂ ਨੂੰ ਹੇਰਾਫੇਰੀ ਕਰਕੇ ਪ੍ਰੋਗਰਾਮ ਅਤੇ ਡੇਟਾ ਮੈਮੋਰੀ ਰਨ ਟਾਈਮ ਸਥਾਨਾਂ ਨੂੰ ਨਿਰਧਾਰਤ ਕਰ ਸਕਦੇ ਹੋ। ਇਹ ਭਾਗ ਵਰਣਨ ਕਰਦਾ ਹੈ: · Nios V ਪ੍ਰੋਸੈਸਰ ਬੂਟ ਕਾਪੀਅਰ ਜੋ ਤੁਹਾਡੇ Nios V ਪ੍ਰੋਸੈਸਰ ਸਿਸਟਮ ਨੂੰ ਅਨੁਸਾਰ ਬੂਟ ਕਰਦਾ ਹੈ
ਬੂਟ ਮੈਮੋਰੀ ਚੋਣ · Nios V ਪ੍ਰੋਸੈਸਰ ਬੂਟਿੰਗ ਵਿਕਲਪ ਅਤੇ ਆਮ ਪ੍ਰਵਾਹ · ਚੁਣੀ ਗਈ ਬੂਟ ਮੈਮੋਰੀ ਲਈ Nios V ਪ੍ਰੋਗਰਾਮਿੰਗ ਹੱਲ
4.2. ਐਪਲੀਕੇਸ਼ਨਾਂ ਨੂੰ ਜੋੜਨਾ
ਜਦੋਂ ਤੁਸੀਂ Nios V ਪ੍ਰੋਸੈਸਰ ਪ੍ਰੋਜੈਕਟ ਤਿਆਰ ਕਰਦੇ ਹੋ, ਤਾਂ BSP ਐਡੀਟਰ ਦੋ ਲਿੰਕਰ ਸੰਬੰਧਿਤ ਤਿਆਰ ਕਰਦਾ ਹੈ files: · linker.x: ਲਿੰਕਰ ਕਮਾਂਡ file ਜੋ ਤਿਆਰ ਕੀਤੀ ਐਪਲੀਕੇਸ਼ਨ ਬਣਾਉਂਦੀ ਹੈfile ਵਰਤਦਾ ਹੈ
.elf ਬਾਈਨਰੀ ਬਣਾਉਣ ਲਈ file. · linker.h: ਲਿੰਕਰ ਮੈਮੋਰੀ ਲੇਆਉਟ ਬਾਰੇ ਜਾਣਕਾਰੀ ਰੱਖਦਾ ਹੈ। BSP ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ ਤੁਹਾਡੇ ਦੁਆਰਾ ਕੀਤੇ ਗਏ ਸਾਰੇ ਲਿੰਕਰ ਸੈਟਿੰਗ ਸੋਧਾਂ ਇਹਨਾਂ ਦੋਵਾਂ ਲਿੰਕਰਾਂ ਦੀ ਸਮੱਗਰੀ ਨੂੰ ਪ੍ਰਭਾਵਤ ਕਰਦੀਆਂ ਹਨ। files. ਹਰੇਕ Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਵਿੱਚ ਹੇਠ ਲਿਖੇ ਲਿੰਕਰ ਭਾਗ ਹੁੰਦੇ ਹਨ:
© ਅਲਟੇਰਾ ਕਾਰਪੋਰੇਸ਼ਨ। ਅਲਟੇਰਾ, ਅਲਟੇਰਾ ਲੋਗੋ, 'ਏ' ਲੋਗੋ, ਅਤੇ ਹੋਰ ਅਲਟੇਰਾ ਚਿੰਨ੍ਹ ਅਲਟੇਰਾ ਕਾਰਪੋਰੇਸ਼ਨ ਦੇ ਟ੍ਰੇਡਮਾਰਕ ਹਨ। ਅਲਟੇਰਾ ਬਿਨਾਂ ਕਿਸੇ ਨੋਟਿਸ ਦੇ ਕਿਸੇ ਵੀ ਸਮੇਂ ਕਿਸੇ ਵੀ ਉਤਪਾਦ ਅਤੇ ਸੇਵਾਵਾਂ ਵਿੱਚ ਬਦਲਾਅ ਕਰਨ ਦਾ ਅਧਿਕਾਰ ਰਾਖਵਾਂ ਰੱਖਦਾ ਹੈ। ਅਲਟੇਰਾ ਇੱਥੇ ਦੱਸੀ ਗਈ ਕਿਸੇ ਵੀ ਜਾਣਕਾਰੀ, ਉਤਪਾਦ ਜਾਂ ਸੇਵਾ ਦੀ ਵਰਤੋਂ ਜਾਂ ਵਰਤੋਂ ਤੋਂ ਪੈਦਾ ਹੋਣ ਵਾਲੀ ਕੋਈ ਜ਼ਿੰਮੇਵਾਰੀ ਜਾਂ ਦੇਣਦਾਰੀ ਨਹੀਂ ਲੈਂਦਾ ਸਿਵਾਏ ਇਸਦੇ ਕਿ ਅਲਟੇਰਾ ਦੁਆਰਾ ਲਿਖਤੀ ਰੂਪ ਵਿੱਚ ਸਪੱਸ਼ਟ ਤੌਰ 'ਤੇ ਸਹਿਮਤੀ ਦਿੱਤੀ ਗਈ ਹੋਵੇ। ਅਲਟੇਰਾ ਗਾਹਕਾਂ ਨੂੰ ਸਲਾਹ ਦਿੱਤੀ ਜਾਂਦੀ ਹੈ ਕਿ ਉਹ ਕਿਸੇ ਵੀ ਪ੍ਰਕਾਸ਼ਿਤ ਜਾਣਕਾਰੀ 'ਤੇ ਭਰੋਸਾ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ ਅਤੇ ਉਤਪਾਦਾਂ ਜਾਂ ਸੇਵਾਵਾਂ ਲਈ ਆਰਡਰ ਦੇਣ ਤੋਂ ਪਹਿਲਾਂ ਡਿਵਾਈਸ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦਾ ਨਵੀਨਤਮ ਸੰਸਕਰਣ ਪ੍ਰਾਪਤ ਕਰਨ। *ਹੋਰ ਨਾਵਾਂ ਅਤੇ ਬ੍ਰਾਂਡਾਂ ਨੂੰ ਦੂਜਿਆਂ ਦੀ ਜਾਇਦਾਦ ਵਜੋਂ ਦਾਅਵਾ ਕੀਤਾ ਜਾ ਸਕਦਾ ਹੈ।

4. Nios V ਪ੍ਰੋਸੈਸਰ ਸੰਰਚਨਾ ਅਤੇ ਬੂਟਿੰਗ ਹੱਲ 726952 | 2025.07.16

ਸਾਰਣੀ 29. ਲਿੰਕਰ ਭਾਗ

.ਟੈਕਸਟ

ਲਿੰਕਰ ਸੈਕਸ਼ਨ

.rodata

.rwdata

.ਬੀ.ਐਸ.ਐਸ.

.ਢੇਰ

.ਸਟੈਕ

ਵਰਣਨ ਐਗਜ਼ੀਕਿਊਟੇਬਲ ਕੋਡ। ਪ੍ਰੋਗਰਾਮ ਦੇ ਐਗਜ਼ੀਕਿਊਸ਼ਨ ਵਿੱਚ ਵਰਤਿਆ ਜਾਣ ਵਾਲਾ ਕੋਈ ਵੀ ਰੀਡ-ਓਨਲੀ ਡੇਟਾ। ਪ੍ਰੋਗਰਾਮ ਦੇ ਐਗਜ਼ੀਕਿਊਸ਼ਨ ਵਿੱਚ ਵਰਤਿਆ ਜਾਣ ਵਾਲਾ ਰੀਡ-ਰਾਈਟ ਡੇਟਾ ਸਟੋਰ ਕਰਦਾ ਹੈ। ਇਸ ਵਿੱਚ ਸ਼ੁਰੂ ਨਾ ਕੀਤਾ ਗਿਆ ਸਟੈਟਿਕ ਡੇਟਾ ਹੁੰਦਾ ਹੈ। ਇਸ ਵਿੱਚ ਗਤੀਸ਼ੀਲ ਤੌਰ 'ਤੇ ਨਿਰਧਾਰਤ ਮੈਮੋਰੀ ਹੁੰਦੀ ਹੈ। ਫੰਕਸ਼ਨ-ਕਾਲ ਪੈਰਾਮੀਟਰ ਅਤੇ ਹੋਰ ਅਸਥਾਈ ਡੇਟਾ ਸਟੋਰ ਕਰਦਾ ਹੈ।

ਤੁਸੀਂ .elf ਵਿੱਚ ਵਾਧੂ ਲਿੰਕਰ ਭਾਗ ਜੋੜ ਸਕਦੇ ਹੋ file ਕਸਟਮ ਕੋਡ ਅਤੇ ਡੇਟਾ ਰੱਖਣ ਲਈ। ਇਹ ਲਿੰਕਰ ਭਾਗ ਨਾਮਿਤ ਮੈਮੋਰੀ ਖੇਤਰਾਂ ਵਿੱਚ ਰੱਖੇ ਗਏ ਹਨ, ਜੋ ਭੌਤਿਕ ਮੈਮੋਰੀ ਡਿਵਾਈਸਾਂ ਅਤੇ ਪਤਿਆਂ ਨਾਲ ਮੇਲ ਖਾਂਦੇ ਹਨ। ਡਿਫਾਲਟ ਰੂਪ ਵਿੱਚ, BSP ਸੰਪਾਦਕ ਆਪਣੇ ਆਪ ਹੀ ਇਹਨਾਂ ਲਿੰਕਰ ਭਾਗਾਂ ਨੂੰ ਤਿਆਰ ਕਰਦਾ ਹੈ। ਹਾਲਾਂਕਿ, ਤੁਸੀਂ ਇੱਕ ਖਾਸ ਐਪਲੀਕੇਸ਼ਨ ਲਈ ਲਿੰਕਰ ਭਾਗਾਂ ਨੂੰ ਨਿਯੰਤਰਿਤ ਕਰ ਸਕਦੇ ਹੋ।

4.2.1. ਲਿੰਕਿੰਗ ਵਿਵਹਾਰ
ਇਹ ਭਾਗ BSP ਸੰਪਾਦਕ ਦੇ ਡਿਫਾਲਟ ਲਿੰਕਿੰਗ ਵਿਵਹਾਰ ਅਤੇ ਲਿੰਕਿੰਗ ਵਿਵਹਾਰ ਨੂੰ ਕਿਵੇਂ ਨਿਯੰਤਰਿਤ ਕਰਨਾ ਹੈ ਬਾਰੇ ਦੱਸਦਾ ਹੈ।

4.2.1.1. ਡਿਫਾਲਟ BSP ਲਿੰਕਿੰਗ
BSP ਸੰਰਚਨਾ ਦੌਰਾਨ, ਟੂਲ ਆਪਣੇ ਆਪ ਹੇਠ ਲਿਖੇ ਕਦਮ ਚੁੱਕਦੇ ਹਨ:
1. ਮੈਮੋਰੀ ਖੇਤਰ ਦੇ ਨਾਮ ਨਿਰਧਾਰਤ ਕਰੋ: ਹਰੇਕ ਸਿਸਟਮ ਮੈਮੋਰੀ ਡਿਵਾਈਸ ਨੂੰ ਇੱਕ ਨਾਮ ਨਿਰਧਾਰਤ ਕਰੋ ਅਤੇ ਹਰੇਕ ਨਾਮ ਨੂੰ ਲਿੰਕਰ ਵਿੱਚ ਸ਼ਾਮਲ ਕਰੋ। file ਇੱਕ ਯਾਦਦਾਸ਼ਤ ਖੇਤਰ ਦੇ ਰੂਪ ਵਿੱਚ।
2. ਸਭ ਤੋਂ ਵੱਡੀ ਮੈਮੋਰੀ ਲੱਭੋ: ਲਿੰਕਰ ਵਿੱਚ ਸਭ ਤੋਂ ਵੱਡੇ ਪੜ੍ਹਨ-ਲਿਖਣ ਵਾਲੇ ਮੈਮੋਰੀ ਖੇਤਰ ਦੀ ਪਛਾਣ ਕਰੋ। file.
3. ਲਿੰਕਰ ਸੈਕਸ਼ਨ ਨਿਰਧਾਰਤ ਕਰੋ: ਡਿਫਾਲਟ ਲਿੰਕਰ ਸੈਕਸ਼ਨ (.text, .rodata, .rwdata, .bss, .heap, ਅਤੇ .stack) ਨੂੰ ਪਿਛਲੇ ਪੜਾਅ ਵਿੱਚ ਪਛਾਣੇ ਗਏ ਮੈਮੋਰੀ ਖੇਤਰ ਵਿੱਚ ਰੱਖੋ।
4. ਲਿਖੋ files: linker.x ਅਤੇ linker.h ਲਿਖੋ। files.
ਆਮ ਤੌਰ 'ਤੇ, ਲਿੰਕਰ ਸੈਕਸ਼ਨ ਅਲਾਟਮੈਂਟ ਸਕੀਮ ਸਾਫਟਵੇਅਰ ਡਿਵੈਲਪਮੈਂਟ ਪ੍ਰਕਿਰਿਆ ਦੌਰਾਨ ਕੰਮ ਕਰਦੀ ਹੈ ਕਿਉਂਕਿ ਜੇਕਰ ਮੈਮੋਰੀ ਕਾਫ਼ੀ ਵੱਡੀ ਹੈ ਤਾਂ ਐਪਲੀਕੇਸ਼ਨ ਦੇ ਕੰਮ ਕਰਨ ਦੀ ਗਰੰਟੀ ਹੈ।
ਡਿਫਾਲਟ ਲਿੰਕਿੰਗ ਵਿਵਹਾਰ ਲਈ ਨਿਯਮ Altera-ਜਨਰੇਟ ਕੀਤੇ Tcl ਸਕ੍ਰਿਪਟਾਂ bsp-set-defaults.tcl ਅਤੇ bsp-linker-utils.tcl ਵਿੱਚ ਪਾਏ ਜਾਂਦੇ ਹਨ। /niosv/scripts/bsp-defaults ਡਾਇਰੈਕਟਰੀ। niosv-bsp ਕਮਾਂਡ ਇਹਨਾਂ ਸਕ੍ਰਿਪਟਾਂ ਨੂੰ ਇਨਵੋਕ ਕਰਦੀ ਹੈ। ਇਹਨਾਂ ਸਕ੍ਰਿਪਟਾਂ ਨੂੰ ਸਿੱਧੇ ਨਾ ਸੋਧੋ।

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 48

ਫੀਡਬੈਕ ਭੇਜੋ

4. Nios V ਪ੍ਰੋਸੈਸਰ ਸੰਰਚਨਾ ਅਤੇ ਬੂਟਿੰਗ ਹੱਲ 726952 | 2025.07.16

4.2.1.2. ਕੌਂਫਿਗਰੇਬਲ BSP ਲਿੰਕਿੰਗ
ਤੁਸੀਂ BSP ਐਡੀਟਰ ਦੇ ਲਿੰਕਰ ਸਕ੍ਰਿਪਟ ਟੈਬ ਵਿੱਚ ਡਿਫਾਲਟ ਲਿੰਕਿੰਗ ਵਿਵਹਾਰ ਦਾ ਪ੍ਰਬੰਧਨ ਕਰ ਸਕਦੇ ਹੋ। ਹੇਠ ਲਿਖੇ ਤਰੀਕਿਆਂ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਲਿੰਕਰ ਸਕ੍ਰਿਪਟ ਨੂੰ ਹੇਰਾਫੇਰੀ ਕਰੋ: · ਇੱਕ ਮੈਮੋਰੀ ਖੇਤਰ ਜੋੜੋ: ਇੱਕ ਭੌਤਿਕ ਮੈਮੋਰੀ ਡਿਵਾਈਸ ਵਿੱਚ ਇੱਕ ਮੈਮੋਰੀ ਖੇਤਰ ਦੇ ਨਾਮ ਨੂੰ ਮੈਪ ਕਰੋ। · ਇੱਕ ਸੈਕਸ਼ਨ ਮੈਪਿੰਗ ਸ਼ਾਮਲ ਕਰੋ: ਇੱਕ ਸੈਕਸ਼ਨ ਨਾਮ ਨੂੰ ਇੱਕ ਮੈਮੋਰੀ ਖੇਤਰ ਵਿੱਚ ਮੈਪ ਕਰੋ। BSP
ਸੰਪਾਦਕ ਤੁਹਾਨੂੰ ਇਜਾਜ਼ਤ ਦਿੰਦਾ ਹੈ view ਬਦਲਾਅ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ ਅਤੇ ਬਾਅਦ ਵਿੱਚ ਮੈਮੋਰੀ ਮੈਪ।

4.3. Nios V ਪ੍ਰੋਸੈਸਰ ਬੂਟਿੰਗ ਢੰਗ

Altera FPGA ਡਿਵਾਈਸਾਂ ਵਿੱਚ Nios V ਪ੍ਰੋਸੈਸਰ ਨੂੰ ਬੂਟ ਕਰਨ ਦੇ ਕੁਝ ਤਰੀਕੇ ਹਨ। Nios V ਪ੍ਰੋਸੈਸਰ ਨੂੰ ਬੂਟ ਕਰਨ ਦੇ ਤਰੀਕੇ ਫਲੈਸ਼ ਮੈਮੋਰੀ ਚੋਣ ਅਤੇ ਡਿਵਾਈਸ ਪਰਿਵਾਰਾਂ ਦੇ ਅਨੁਸਾਰ ਵੱਖ-ਵੱਖ ਹੁੰਦੇ ਹਨ।

ਸਾਰਣੀ 30. ਸੰਬੰਧਿਤ ਬੂਟ ਵਿਕਲਪਾਂ ਦੇ ਨਾਲ ਸਮਰਥਿਤ ਫਲੈਸ਼ ਯਾਦਾਂ

ਸਮਰਥਿਤ ਬੂਟ ਯਾਦਾਂ

ਡਿਵਾਈਸ

ਆਨ-ਚਿੱਪ ਫਲੈਸ਼ (ਅੰਦਰੂਨੀ ਸੰਰਚਨਾ ਲਈ)

ਵੱਧ ਤੋਂ ਵੱਧ 10 ਡਿਵਾਈਸਾਂ ਸਿਰਫ਼ (ਆਨ-ਚਿੱਪ ਫਲੈਸ਼ IP ਦੇ ਨਾਲ)

ਜਨਰਲ ਪਰਪਜ਼ QSPI ਫਲੈਸ਼ (ਸਿਰਫ਼ ਯੂਜ਼ਰ ਡੇਟਾ ਲਈ)

ਸਾਰੇ ਸਮਰਥਿਤ FPGA ਡਿਵਾਈਸਾਂ (ਜੈਨਰਿਕ ਸੀਰੀਅਲ ਫਲੈਸ਼ ਇੰਟਰਫੇਸ FPGA IP ਦੇ ਨਾਲ)

ਸੰਰਚਨਾ QSPI ਫਲੈਸ਼ (ਐਕਟਿਵ ਸੀਰੀਅਲ ਸੰਰਚਨਾ ਲਈ)

ਬਲਾਕ-ਅਧਾਰਿਤ ਕੰਟਰੋਲ ਕਰੋ
ਡਿਵਾਈਸਾਂ (ਜਨਰਿਕ ਦੇ ਨਾਲ)
ਸੀਰੀਅਲ ਫਲੈਸ਼ ਇੰਟਰਫੇਸ ਇੰਟੇਲ ਐਫਪੀਜੀਏ ਆਈਪੀ)(2)

Nios V ਪ੍ਰੋਸੈਸਰ ਬੂਟਿੰਗ ਵਿਧੀਆਂ

ਐਪਲੀਕੇਸ਼ਨ ਰਨਟਾਈਮ ਟਿਕਾਣਾ

ਬੂਟ ਕਾਪੀਰ

Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਨੂੰ ਆਨ-ਚਿੱਪ ਫਲੈਸ਼ ਤੋਂ ਜਗ੍ਹਾ-ਜਗ੍ਹਾ ਚਲਾਇਆ ਜਾਂਦਾ ਹੈ।

ਆਨ-ਚਿੱਪ ਫਲੈਸ਼ (XIP) + OCRAM/ ਬਾਹਰੀ RAM (ਲਿਖਣਯੋਗ ਡੇਟਾ ਭਾਗਾਂ ਲਈ)

alt_load() ਫੰਕਸ਼ਨ

Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਨੂੰ ਬੂਟ ਕਾਪੀਅਰ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਔਨ-ਚਿੱਪ ਫਲੈਸ਼ ਤੋਂ RAM ਵਿੱਚ ਕਾਪੀ ਕੀਤਾ ਗਿਆ

OCRAM/ਬਾਹਰੀ RAM

GSFI ਰਾਹੀਂ ਬੂਟਲੋਡਰ ਦੀ ਮੁੜ ਵਰਤੋਂ

Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਆਮ ਉਦੇਸ਼ QSPI ਫਲੈਸ਼ ਤੋਂ ਜਗ੍ਹਾ-ਜਗ੍ਹਾ ਚਲਾਇਆ ਜਾਂਦਾ ਹੈ

ਜਨਰਲ ਪਰਪਜ਼ QSPI ਫਲੈਸ਼ (XIP) + OCRAM/ ਬਾਹਰੀ RAM (ਲਿਖਣਯੋਗ ਡੇਟਾ ਭਾਗਾਂ ਲਈ)

alt_load() ਫੰਕਸ਼ਨ

Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਨੂੰ ਬੂਟ ਕਾਪੀਅਰ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਜਨਰਲ ਪਰਪਜ਼ QSPI ਫਲੈਸ਼ ਤੋਂ RAM ਵਿੱਚ ਕਾਪੀ ਕੀਤਾ ਗਿਆ

OCRAM/ਬਾਹਰੀ RAM

GSFI ਰਾਹੀਂ ਬੂਟਲੋਡਰ

Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਨੂੰ QSPI ਫਲੈਸ਼ ਸੰਰਚਨਾ ਤੋਂ ਜਗ੍ਹਾ-ਜਗ੍ਹਾ ਚਲਾਇਆ ਜਾਂਦਾ ਹੈ।

ਸੰਰਚਨਾ QSPI ਫਲੈਸ਼ (XIP) + OCRAM/ ਬਾਹਰੀ RAM (ਲਿਖਣਯੋਗ ਡੇਟਾ ਭਾਗਾਂ ਲਈ)

alt_load() ਫੰਕਸ਼ਨ

Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਨੂੰ ਬੂਟ ਕਾਪੀਅਰ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਸੰਰਚਨਾ QSPI ਫਲੈਸ਼ ਤੋਂ RAM ਵਿੱਚ ਕਾਪੀ ਕੀਤਾ ਗਿਆ

GSFI ਰਾਹੀਂ OCRAM/ ਬਾਹਰੀ RAM ਬੂਟਲੋਡਰ ਜਾਰੀ ਰਿਹਾ...

(2) ਡਿਵਾਈਸ ਸੂਚੀ ਲਈ AN 980: Nios V ਪ੍ਰੋਸੈਸਰ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸਾਫਟਵੇਅਰ ਸਪੋਰਟ ਵੇਖੋ।

ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 49

4. Nios V ਪ੍ਰੋਸੈਸਰ ਸੰਰਚਨਾ ਅਤੇ ਬੂਟਿੰਗ ਹੱਲ 726952 | 2025.07.16

ਸਮਰਥਿਤ ਬੂਟ ਯਾਦਾਂ
ਆਨ-ਚਿੱਪ ਮੈਮੋਰੀ (OCRAM) ਟਾਈਟਲੀ ਕਪਲਡ ਮੈਮੋਰੀ (TCM)

ਡਿਵਾਈਸ
SDM-ਅਧਾਰਿਤ ਡਿਵਾਈਸਾਂ (ਮੇਲਬਾਕਸ ਕਲਾਇੰਟ ਇੰਟੇਲ FPGA IP ਦੇ ਨਾਲ)। (2)
ਸਾਰੇ ਸਮਰਥਿਤ Altera FPGA ਡਿਵਾਈਸਾਂ (2)
ਸਾਰੇ ਸਮਰਥਿਤ Altera FPGA ਡਿਵਾਈਸਾਂ (2)

Nios V ਪ੍ਰੋਸੈਸਰ ਬੂਟਿੰਗ ਵਿਧੀਆਂ
Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਨੂੰ ਬੂਟ ਕਾਪੀਅਰ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਸੰਰਚਨਾ QSPI ਫਲੈਸ਼ ਤੋਂ RAM ਵਿੱਚ ਕਾਪੀ ਕੀਤਾ ਗਿਆ
Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ OCRAM ਤੋਂ ਜਗ੍ਹਾ-ਜਗ੍ਹਾ ਚੱਲ ਰਹੀ ਹੈ
TCM ਤੋਂ Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਨੂੰ ਜਗ੍ਹਾ-ਜਗ੍ਹਾ ਲਾਗੂ ਕੀਤਾ ਜਾ ਰਿਹਾ ਹੈ

ਐਪਲੀਕੇਸ਼ਨ ਰਨਟਾਈਮ ਟਿਕਾਣਾ

ਬੂਟ ਕਾਪੀਰ

SDM ਰਾਹੀਂ OCRAM/ ਬਾਹਰੀ RAM ਬੂਟਲੋਡਰ

OCRAM

alt_load() ਫੰਕਸ਼ਨ

ਹਦਾਇਤ TCM (XIP) ਕੋਈ ਨਹੀਂ + ਡੇਟਾ TCM (ਲਿਖਣਯੋਗ ਡੇਟਾ ਭਾਗਾਂ ਲਈ)

ਚਿੱਤਰ 28. Nios V ਪ੍ਰੋਸੈਸਰ ਬੂਟ ਫਲੋ

ਰੀਸੈਟ ਕਰੋ

ਪ੍ਰੋਸੈਸਰ ਵੈਕਟਰ ਨੂੰ ਰੀਸੈਟ ਕਰਨ ਲਈ ਛਾਲ ਮਾਰਦਾ ਹੈ (ਬੂਟ ਕੋਡ ਸ਼ੁਰੂ)

ਐਪਲੀਕੇਸ਼ਨ ਕੋਡ ਨੂੰ ਕਿਸੇ ਹੋਰ ਮੈਮੋਰੀ ਸਥਾਨ 'ਤੇ ਕਾਪੀ ਕੀਤਾ ਜਾ ਸਕਦਾ ਹੈ (ਬੂਟ ਵਿਕਲਪਾਂ ਦੇ ਆਧਾਰ 'ਤੇ)
ਬੂਟ ਕੋਡ ਪ੍ਰੋਸੈਸਰ ਨੂੰ ਸ਼ੁਰੂ ਕਰਦਾ ਹੈ।

ਬੂਟ ਵਿਕਲਪਾਂ ਦੇ ਆਧਾਰ 'ਤੇ, ਬੂਟ ਕੋਡ ਡੇਟਾ/ਕੋਡ ਲਈ ਸ਼ੁਰੂਆਤੀ ਮੁੱਲਾਂ ਨੂੰ ਕਿਸੇ ਹੋਰ ਮੈਮੋਰੀ ਸਪੇਸ (alt_load) ਵਿੱਚ ਕਾਪੀ ਕਰ ਸਕਦਾ ਹੈ।
ਬੂਟ ਕੋਡ ਐਪਲੀਕੇਸ਼ਨ ਕੋਡ ਅਤੇ ਡੇਟਾ ਮੈਮੋਰੀ ਸਪੇਸ ਨੂੰ ਸ਼ੁਰੂ ਕਰਦਾ ਹੈ।
ਬੂਟ ਕੋਡ ਸਾਰੇ ਸਿਸਟਮ ਪੈਰੀਫਿਰਲਾਂ ਨੂੰ HAL ਡਰਾਈਵਰਾਂ (alt_main) ਨਾਲ ਸ਼ੁਰੂ ਕਰਦਾ ਹੈ।
ਮੁੱਖ ਵਿੱਚ ਦਾਖਲਾ
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ · ਜੈਨਰਿਕ ਸੀਰੀਅਲ ਫਲੈਸ਼ ਇੰਟਰਫੇਸ ਅਲਟੇਰਾ ਐਫਪੀਜੀਏ ਆਈਪੀ ਯੂਜ਼ਰ ਗਾਈਡ
Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 50

ਫੀਡਬੈਕ ਭੇਜੋ

4. Nios V ਪ੍ਰੋਸੈਸਰ ਸੰਰਚਨਾ ਅਤੇ ਬੂਟਿੰਗ ਹੱਲ 726952 | 2025.07.16
· ਮੇਲਬਾਕਸ ਕਲਾਇੰਟ ਅਲਟੇਰਾ ਐਫਪੀਜੀਏ ਆਈਪੀ ਯੂਜ਼ਰ ਗਾਈਡ · ਏਐਨ 980: ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸਾਫਟਵੇਅਰ ਸਪੋਰਟ
4.4. Nios V ਪ੍ਰੋਸੈਸਰ ਬੂਟਿੰਗ ਵਿਧੀਆਂ ਨਾਲ ਜਾਣ-ਪਛਾਣ
Nios V ਪ੍ਰੋਸੈਸਰ ਸਿਸਟਮਾਂ ਲਈ ਪ੍ਰੋਸੈਸਰ ਦੁਆਰਾ ਐਪਲੀਕੇਸ਼ਨ ਪ੍ਰੋਗਰਾਮ ਨੂੰ ਚਲਾਉਣਾ ਸ਼ੁਰੂ ਕਰਨ ਤੋਂ ਪਹਿਲਾਂ ਸਾਫਟਵੇਅਰ ਚਿੱਤਰਾਂ ਨੂੰ ਸਿਸਟਮ ਮੈਮੋਰੀ ਵਿੱਚ ਕੌਂਫਿਗਰ ਕਰਨ ਦੀ ਲੋੜ ਹੁੰਦੀ ਹੈ। ਡਿਫਾਲਟ ਲਿੰਕਰ ਸੈਕਸ਼ਨਾਂ ਲਈ ਲਿੰਕਰ ਸੈਕਸ਼ਨ ਵੇਖੋ।
BSP ਐਡੀਟਰ ਇੱਕ ਲਿੰਕਰ ਸਕ੍ਰਿਪਟ ਤਿਆਰ ਕਰਦਾ ਹੈ ਜੋ ਹੇਠ ਲਿਖੇ ਕਾਰਜ ਕਰਦਾ ਹੈ: · ਇਹ ਯਕੀਨੀ ਬਣਾਉਂਦਾ ਹੈ ਕਿ ਪ੍ਰੋਸੈਸਰ ਸਾਫਟਵੇਅਰ ਲਿੰਕਰ ਸੈਟਿੰਗਾਂ ਦੇ ਅਨੁਸਾਰ ਲਿੰਕ ਕੀਤਾ ਗਿਆ ਹੈ।
BSP ਐਡੀਟਰ ਦਾ ਪਤਾ ਲਗਾਉਂਦਾ ਹੈ ਅਤੇ ਇਹ ਨਿਰਧਾਰਤ ਕਰਦਾ ਹੈ ਕਿ ਸਾਫਟਵੇਅਰ ਮੈਮੋਰੀ ਵਿੱਚ ਕਿੱਥੇ ਰਹਿੰਦਾ ਹੈ। · ਪ੍ਰੋਸੈਸਰ ਦੇ ਕੋਡ ਖੇਤਰ ਨੂੰ ਮੈਮੋਰੀ ਕੰਪੋਨੈਂਟ ਵਿੱਚ ਸਥਿਤੀ ਦੇ ਅਨੁਸਾਰ ਰੱਖਦਾ ਹੈ
ਨਿਰਧਾਰਤ ਮੈਮੋਰੀ ਹਿੱਸੇ।
ਹੇਠਲਾ ਭਾਗ ਉਪਲਬਧ Nios V ਪ੍ਰੋਸੈਸਰ ਬੂਟਿੰਗ ਤਰੀਕਿਆਂ ਦਾ ਸੰਖੇਪ ਵਿੱਚ ਵਰਣਨ ਕਰਦਾ ਹੈ।
4.4.1. Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਬੂਟ ਫਲੈਸ਼ ਤੋਂ ਪਲੇਸ-ਇਨ-ਪਲੇਸ ਚਲਾਓ
ਅਲਟੇਰਾ ਨੇ ਫਲੈਸ਼ ਕੰਟਰੋਲਰਾਂ ਨੂੰ ਇਸ ਤਰ੍ਹਾਂ ਡਿਜ਼ਾਈਨ ਕੀਤਾ ਹੈ ਕਿ ਸਿਸਟਮ ਰੀਸੈਟ ਹੋਣ 'ਤੇ ਬੂਟ ਫਲੈਸ਼ ਐਡਰੈੱਸ ਸਪੇਸ Nios V ਪ੍ਰੋਸੈਸਰ ਲਈ ਤੁਰੰਤ ਪਹੁੰਚਯੋਗ ਹੋ ਜਾਂਦੀ ਹੈ, ਬਿਨਾਂ ਮੈਮੋਰੀ ਕੰਟਰੋਲਰ ਜਾਂ ਮੈਮੋਰੀ ਡਿਵਾਈਸਾਂ ਨੂੰ ਸ਼ੁਰੂ ਕਰਨ ਦੀ ਲੋੜ ਦੇ। ਇਹ Nios V ਪ੍ਰੋਸੈਸਰ ਨੂੰ ਬੂਟ ਡਿਵਾਈਸਾਂ 'ਤੇ ਸਟੋਰ ਕੀਤੇ ਐਪਲੀਕੇਸ਼ਨ ਕੋਡ ਨੂੰ ਸਿੱਧੇ ਤੌਰ 'ਤੇ ਚਲਾਉਣ ਦੇ ਯੋਗ ਬਣਾਉਂਦਾ ਹੈ ਬਿਨਾਂ ਕਿਸੇ ਹੋਰ ਮੈਮੋਰੀ ਕਿਸਮ ਵਿੱਚ ਕੋਡ ਦੀ ਕਾਪੀ ਕਰਨ ਲਈ ਬੂਟ ਕਾਪੀਰ ਦੀ ਵਰਤੋਂ ਕੀਤੇ। ਫਲੈਸ਼ ਕੰਟਰੋਲਰ ਹਨ: · ਔਨ-ਚਿੱਪ ਫਲੈਸ਼ ਔਨ-ਚਿੱਪ ਫਲੈਸ਼ IP ਦੇ ਨਾਲ (ਸਿਰਫ਼ MAX® 10 ਡਿਵਾਈਸ ਵਿੱਚ) · ਆਮ ਉਦੇਸ਼ QSPI ਫਲੈਸ਼ ਜੈਨਰਿਕ ਸੀਰੀਅਲ ਫਲੈਸ਼ ਇੰਟਰਫੇਸ IP ਦੇ ਨਾਲ · ਸੰਰਚਨਾ QSPI ਫਲੈਸ਼ ਜੈਨਰਿਕ ਸੀਰੀਅਲ ਫਲੈਸ਼ ਇੰਟਰਫੇਸ IP ਦੇ ਨਾਲ (MAX 10 ਨੂੰ ਛੱਡ ਕੇ)
ਉਪਕਰਣ)
ਜਦੋਂ Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਬੂਟ ਫਲੈਸ਼ ਤੋਂ ਜਗ੍ਹਾ-ਜਗ੍ਹਾ ਚਲਦੀ ਹੈ, ਤਾਂ BSP ਐਡੀਟਰ ਹੇਠ ਲਿਖੇ ਫੰਕਸ਼ਨ ਕਰਦਾ ਹੈ: · .text ਲਿੰਕਰ ਭਾਗਾਂ ਨੂੰ ਬੂਟ ਫਲੈਸ਼ ਮੈਮੋਰੀ ਖੇਤਰ ਵਿੱਚ ਸੈੱਟ ਕਰਦਾ ਹੈ। · .bss,.rodata,.rwdata,.stack ਅਤੇ.heap ਲਿੰਕਰ ਭਾਗਾਂ ਨੂੰ RAM ਵਿੱਚ ਸੈੱਟ ਕਰਦਾ ਹੈ।
ਮੈਮੋਰੀ ਖੇਤਰ। ਸਿਸਟਮ ਰੀਸੈਟ ਕਰਨ 'ਤੇ ਤੁਹਾਨੂੰ RAM ਵਿੱਚ ਡੇਟਾ ਭਾਗਾਂ (.rodata, .rwdata,, .exceptions) ਦੀ ਕਾਪੀ ਕਰਨ ਲਈ BSP ਸੈਟਿੰਗਾਂ ਵਿੱਚ alt_load() ਫੰਕਸ਼ਨ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਣਾ ਚਾਹੀਦਾ ਹੈ। ਕੋਡ ਭਾਗ (.text) ਬੂਟ ਫਲੈਸ਼ ਮੈਮੋਰੀ ਖੇਤਰ ਵਿੱਚ ਰਹਿੰਦਾ ਹੈ।
ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ · ਜੈਨਰਿਕ ਸੀਰੀਅਲ ਫਲੈਸ਼ ਇੰਟਰਫੇਸ ਅਲਟੇਰਾ ਐਫਪੀਜੀਏ ਆਈਪੀ ਯੂਜ਼ਰ ਗਾਈਡ · ਅਲਟੇਰਾ ਮੈਕਸ 10 ਯੂਜ਼ਰ ਫਲੈਸ਼ ਮੈਮੋਰੀ ਯੂਜ਼ਰ ਗਾਈਡ
4.4.1.1. ਅਲਟ_ਲੋਡ()
ਤੁਸੀਂ BSP ਐਡੀਟਰ ਦੀ ਵਰਤੋਂ ਕਰਕੇ HAL ਕੋਡ ਵਿੱਚ alt_load() ਫੰਕਸ਼ਨ ਨੂੰ ਸਮਰੱਥ ਕਰ ਸਕਦੇ ਹੋ।
ਜਦੋਂ ਐਗਜ਼ੀਕਿਊਟ-ਇਨ-ਪਲੇਸ ਬੂਟ ਫਲੋ ਵਿੱਚ ਵਰਤਿਆ ਜਾਂਦਾ ਹੈ, ਤਾਂ alt_load() ਫੰਕਸ਼ਨ ਹੇਠ ਲਿਖੇ ਕੰਮ ਕਰਦਾ ਹੈ:

ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 51

4. Nios V ਪ੍ਰੋਸੈਸਰ ਸੰਰਚਨਾ ਅਤੇ ਬੂਟਿੰਗ ਹੱਲ 726952 | 2025.07.16

· ਇੱਕ ਮਿੰਨੀ ਬੂਟ ਕਾਪੀਅਰ ਵਜੋਂ ਕੰਮ ਕਰਦਾ ਹੈ ਜੋ BSP ਸੈਟਿੰਗਾਂ ਦੇ ਆਧਾਰ 'ਤੇ ਮੈਮੋਰੀ ਸੈਕਸ਼ਨਾਂ ਨੂੰ RAM ਵਿੱਚ ਕਾਪੀ ਕਰਦਾ ਹੈ।
· ਡੇਟਾ ਸੈਕਸ਼ਨਾਂ (.rodata, .rwdata, .exceptions) ਨੂੰ RAM ਵਿੱਚ ਕਾਪੀ ਕਰਦਾ ਹੈ ਪਰ ਕੋਡ ਸੈਕਸ਼ਨਾਂ (.text) ਨੂੰ ਨਹੀਂ। ਕੋਡ ਸੈਕਸ਼ਨ (.text) ਸੈਕਸ਼ਨ ਇੱਕ ਰੀਡ-ਓਨਲੀ ਸੈਕਸ਼ਨ ਹੈ ਅਤੇ ਬੂਟਿੰਗ ਫਲੈਸ਼ ਮੈਮੋਰੀ ਖੇਤਰ ਵਿੱਚ ਰਹਿੰਦਾ ਹੈ। ਇਹ ਪਾਰਟੀਸ਼ਨਿੰਗ RAM ਦੀ ਵਰਤੋਂ ਨੂੰ ਘੱਟ ਕਰਨ ਵਿੱਚ ਮਦਦ ਕਰਦੀ ਹੈ ਪਰ ਕੋਡ ਐਗਜ਼ੀਕਿਊਸ਼ਨ ਪ੍ਰਦਰਸ਼ਨ ਨੂੰ ਸੀਮਤ ਕਰ ਸਕਦੀ ਹੈ ਕਿਉਂਕਿ ਫਲੈਸ਼ ਮੈਮੋਰੀ ਤੱਕ ਪਹੁੰਚ ਆਨ-ਚਿੱਪ RAM ਤੱਕ ਪਹੁੰਚ ਨਾਲੋਂ ਹੌਲੀ ਹੁੰਦੀ ਹੈ।

ਹੇਠ ਦਿੱਤੀ ਸਾਰਣੀ BSP ਸੰਪਾਦਕ ਸੈਟਿੰਗਾਂ ਅਤੇ ਕਾਰਜਾਂ ਦੀ ਸੂਚੀ ਦਿੰਦੀ ਹੈ:

ਸਾਰਣੀ 31. BSP ਸੰਪਾਦਕ ਸੈਟਿੰਗਾਂ
BSP ਸੰਪਾਦਕ ਸੈਟਿੰਗ hal.linker.enable_alt_load hal.linker.enable_alt_load_copy_rodata hal.linker.enable_alt_load_copy_rwdata hal.linker.enable_alt_load_copy_exceptions

ਫੰਕਸ਼ਨ alt_load() ਫੰਕਸ਼ਨ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਂਦਾ ਹੈ। alt_load() .rodata ਭਾਗ ਨੂੰ RAM ਵਿੱਚ ਕਾਪੀ ਕਰਦਾ ਹੈ। alt_load() .rwdata ਭਾਗ ਨੂੰ RAM ਵਿੱਚ ਕਾਪੀ ਕਰਦਾ ਹੈ। alt_load() .exceptions ਭਾਗ ਨੂੰ RAM ਵਿੱਚ ਕਾਪੀ ਕਰਦਾ ਹੈ।

4.4.2. Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਬੂਟ ਕਾਪੀਅਰ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਬੂਟ ਫਲੈਸ਼ ਤੋਂ RAM ਵਿੱਚ ਕਾਪੀ ਕੀਤੀ ਗਈ
Nios V ਪ੍ਰੋਸੈਸਰ ਅਤੇ HAL ਵਿੱਚ ਇੱਕ ਬੂਟ ਕਾਪੀਅਰ ਸ਼ਾਮਲ ਹੈ ਜੋ ਜ਼ਿਆਦਾਤਰ Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨਾਂ ਲਈ ਕਾਫ਼ੀ ਕਾਰਜਸ਼ੀਲਤਾ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ ਅਤੇ Nios V ਸਾਫਟਵੇਅਰ ਵਿਕਾਸ ਪ੍ਰਵਾਹ ਨਾਲ ਲਾਗੂ ਕਰਨ ਲਈ ਸੁਵਿਧਾਜਨਕ ਹੈ।
ਜਦੋਂ ਐਪਲੀਕੇਸ਼ਨ ਬੂਟ ਕਾਪੀਅਰ ਦੀ ਵਰਤੋਂ ਕਰਦੀ ਹੈ, ਤਾਂ ਇਹ ਸਾਰੇ ਲਿੰਕਰ ਸੈਕਸ਼ਨਾਂ (.text, .heap, .rwdata, .rodata, .bss, .stack) ਨੂੰ ਅੰਦਰੂਨੀ ਜਾਂ ਬਾਹਰੀ RAM ਤੇ ਸੈੱਟ ਕਰਦੀ ਹੈ। ਬੂਟ ਕਾਪੀਅਰ ਦੀ ਵਰਤੋਂ ਕਰਕੇ Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਨੂੰ ਬੂਟ ਫਲੈਸ਼ ਤੋਂ ਐਗਜ਼ੀਕਿਊਸ਼ਨ ਲਈ ਅੰਦਰੂਨੀ ਜਾਂ ਬਾਹਰੀ RAM ਤੇ ਕਾਪੀ ਕਰਨ ਨਾਲ ਐਗਜ਼ੀਕਿਊਸ਼ਨ ਪ੍ਰਦਰਸ਼ਨ ਨੂੰ ਬਿਹਤਰ ਬਣਾਉਣ ਵਿੱਚ ਮਦਦ ਮਿਲਦੀ ਹੈ।
ਇਸ ਬੂਟ ਵਿਕਲਪ ਲਈ, Nios V ਪ੍ਰੋਸੈਸਰ ਸਿਸਟਮ ਰੀਸੈਟ ਹੋਣ 'ਤੇ ਬੂਟ ਕਾਪੀਅਰ ਸੌਫਟਵੇਅਰ ਨੂੰ ਚਲਾਉਣਾ ਸ਼ੁਰੂ ਕਰ ਦਿੰਦਾ ਹੈ। ਸੌਫਟਵੇਅਰ ਬੂਟ ਫਲੈਸ਼ ਤੋਂ ਐਪਲੀਕੇਸ਼ਨ ਨੂੰ ਅੰਦਰੂਨੀ ਜਾਂ ਬਾਹਰੀ RAM ਵਿੱਚ ਕਾਪੀ ਕਰਦਾ ਹੈ। ਇੱਕ ਵਾਰ ਪ੍ਰਕਿਰਿਆ ਪੂਰੀ ਹੋਣ ਤੋਂ ਬਾਅਦ, Nios V ਪ੍ਰੋਸੈਸਰ ਪ੍ਰੋਗਰਾਮ ਨਿਯੰਤਰਣ ਨੂੰ ਐਪਲੀਕੇਸ਼ਨ ਵਿੱਚ ਟ੍ਰਾਂਸਫਰ ਕਰ ਦਿੰਦਾ ਹੈ।

ਨੋਟ:

ਜੇਕਰ ਬੂਟ ਕਾਪੀਅਰ ਫਲੈਸ਼ ਵਿੱਚ ਹੈ, ਤਾਂ alt_load() ਫੰਕਸ਼ਨ ਨੂੰ ਕਾਲ ਕਰਨ ਦੀ ਲੋੜ ਨਹੀਂ ਹੈ ਕਿਉਂਕਿ ਇਹ ਦੋਵੇਂ ਇੱਕੋ ਉਦੇਸ਼ ਦੀ ਪੂਰਤੀ ਕਰਦੇ ਹਨ।

4.4.2.1. ਜੈਨਰਿਕ ਸੀਰੀਅਲ ਫਲੈਸ਼ ਇੰਟਰਫੇਸ ਰਾਹੀਂ Nios V ਪ੍ਰੋਸੈਸਰ ਬੂਟਲੋਡਰ
GSFI ਰਾਹੀਂ ਬੂਟਲੋਡਰ Nios V ਪ੍ਰੋਸੈਸਰ ਬੂਟ ਕਾਪੀਅਰ ਹੈ ਜੋ ਕੰਟਰੋਲ ਬਲਾਕ-ਅਧਾਰਿਤ ਡਿਵਾਈਸਾਂ ਵਿੱਚ QSPI ਫਲੈਸ਼ ਮੈਮੋਰੀ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। GSFI ਰਾਹੀਂ ਬੂਟਲੋਡਰ ਵਿੱਚ ਹੇਠ ਲਿਖੀਆਂ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਸ਼ਾਮਲ ਹਨ:
· ਸਾਫਟਵੇਅਰ ਐਪਲੀਕੇਸ਼ਨ ਨੂੰ ਗੈਰ-ਅਸਥਿਰ ਮੈਮੋਰੀ ਵਿੱਚ ਲੱਭਦਾ ਹੈ।
· ਸਾਫਟਵੇਅਰ ਐਪਲੀਕੇਸ਼ਨ ਚਿੱਤਰ ਨੂੰ RAM ਵਿੱਚ ਖੋਲ੍ਹਦਾ ਹੈ ਅਤੇ ਕਾਪੀ ਕਰਦਾ ਹੈ।
· ਕਾਪੀ ਪੂਰੀ ਹੋਣ ਤੋਂ ਬਾਅਦ, ਪ੍ਰੋਸੈਸਰ ਐਗਜ਼ੀਕਿਊਸ਼ਨ ਨੂੰ ਆਟੋਮੈਟਿਕਲੀ RAM ਵਿੱਚ ਐਪਲੀਕੇਸ਼ਨ ਕੋਡ ਵਿੱਚ ਬਦਲ ਦਿੰਦਾ ਹੈ।

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 52

ਫੀਡਬੈਕ ਭੇਜੋ

4. Nios V ਪ੍ਰੋਸੈਸਰ ਸੰਰਚਨਾ ਅਤੇ ਬੂਟਿੰਗ ਹੱਲ 726952 | 2025.07.16

ਬੂਟ ਇਮੇਜ ਬੂਟ ਕਾਪੀਅਰ ਦੇ ਠੀਕ ਬਾਅਦ ਸਥਿਤ ਹੈ। ਤੁਹਾਨੂੰ ਇਹ ਯਕੀਨੀ ਬਣਾਉਣ ਦੀ ਲੋੜ ਹੈ ਕਿ Nios V ਪ੍ਰੋਸੈਸਰ ਰੀਸੈਟ ਆਫਸੈੱਟ ਬੂਟ ਕਾਪੀਅਰ ਦੀ ਸ਼ੁਰੂਆਤ ਵੱਲ ਪੁਆਇੰਟ ਕਰਦਾ ਹੈ। ਚਿੱਤਰ: GSFI ਰਾਹੀਂ ਬੂਟਲੋਡਰ ਨਾਲ QSPI ਫਲੈਸ਼ ਲਈ ਮੈਮੋਰੀ ਮੈਪ GSFI ਰਾਹੀਂ ਬੂਟਲੋਡਰ ਨਾਲ QSPI ਫਲੈਸ਼ ਲਈ ਮੈਮੋਰੀ ਮੈਪ ਬੂਟ ਕਾਪੀਅਰ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਸਮੇਂ QSPI ਫਲੈਸ਼ ਲਈ ਫਲੈਸ਼ ਮੈਮੋਰੀ ਮੈਪ ਦਿਖਾਉਂਦਾ ਹੈ। ਇਹ ਮੈਮੋਰੀ ਮੈਪ ਮੰਨਦਾ ਹੈ ਕਿ ਫਲੈਸ਼ ਮੈਮੋਰੀ ਮੈਮੋਰੀ FPGA ਚਿੱਤਰ ਅਤੇ ਐਪਲੀਕੇਸ਼ਨ ਸੌਫਟਵੇਅਰ ਨੂੰ ਸਟੋਰ ਕਰਦੀ ਹੈ।

ਟੇਬਲ 32. Nios V ਪ੍ਰੋਸੈਸਰ ਕੋਰ ਲਈ GSFI ਰਾਹੀਂ ਬੂਟਲੋਡਰ

Nios V ਪ੍ਰੋਸੈਸਰ ਕੋਰ
ਨਿਓਸ ਵੀ/ਐਮ ਪ੍ਰੋਸੈਸਰ

GSFI ਰਾਹੀਂ ਬੂਟਲੋਡਰ File ਟਿਕਾਣਾ
/niosv/ਕੰਪੋਨੈਂਟਸ/ਬੂਟਲੋਡਰ/ niosv_m_bootloader.srec

ਨਿਓਸ ਵੀ/ਜੀ ਪ੍ਰੋਸੈਸਰ

/niosv/ਕੰਪੋਨੈਂਟਸ/ਬੂਟਲੋਡਰ/ niosv_g_bootloader.srec

ਚਿੱਤਰ 29. GSFI ਰਾਹੀਂ ਬੂਟਲੋਡਰ ਨਾਲ QSPI ਫਲੈਸ਼ ਲਈ ਮੈਮੋਰੀ ਮੈਪ

ਗਾਹਕ ਡੇਟਾ (*.hex)

ਐਪਲੀਕੇਸ਼ਨ ਕੋਡ

ਨੋਟ:

ਵੈਕਟਰ ਆਫਸੈੱਟ ਰੀਸੈਟ ਕਰੋ

ਬੂਟ ਕਾਪੀਰ

0x01E00000

FPGA ਚਿੱਤਰ (*.sof)

0x00000000

1. ਮੈਮੋਰੀ ਮੈਪ ਦੇ ਸ਼ੁਰੂ ਵਿੱਚ FPGA ਚਿੱਤਰ ਹੈ ਜਿਸਦੇ ਬਾਅਦ ਤੁਹਾਡਾ ਡੇਟਾ ਹੈ, ਜਿਸ ਵਿੱਚ ਬੂਟ ਕਾਪੀਅਰ ਅਤੇ ਐਪਲੀਕੇਸ਼ਨ ਕੋਡ ਸ਼ਾਮਲ ਹਨ।
2. ਤੁਹਾਨੂੰ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਵਿੱਚ Nios V ਪ੍ਰੋਸੈਸਰ ਰੀਸੈਟ ਆਫਸੈੱਟ ਸੈੱਟ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ ਅਤੇ ਇਸਨੂੰ ਬੂਟ ਕਾਪੀਅਰ ਦੀ ਸ਼ੁਰੂਆਤ ਵੱਲ ਇਸ਼ਾਰਾ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ।
3. FPGA ਚਿੱਤਰ ਦਾ ਆਕਾਰ ਅਣਜਾਣ ਹੈ। ਤੁਸੀਂ Quartus Prime ਪ੍ਰੋਜੈਕਟ ਸੰਕਲਨ ਤੋਂ ਬਾਅਦ ਹੀ ਸਹੀ ਆਕਾਰ ਜਾਣ ਸਕਦੇ ਹੋ। ਤੁਹਾਨੂੰ Altera FPGA ਚਿੱਤਰ ਦੇ ਆਕਾਰ ਲਈ ਇੱਕ ਉੱਪਰਲੀ ਸੀਮਾ ਨਿਰਧਾਰਤ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ। ਉਦਾਹਰਣ ਵਜੋਂampਜਾਂ, ਜੇਕਰ FPGA ਚਿੱਤਰ ਦਾ ਆਕਾਰ 0x01E00000 ਤੋਂ ਘੱਟ ਹੋਣ ਦਾ ਅਨੁਮਾਨ ਹੈ, ਤਾਂ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਵਿੱਚ ਰੀਸੈਟ ਆਫਸੈੱਟ ਨੂੰ 0x01E00000 'ਤੇ ਸੈੱਟ ਕਰੋ, ਜੋ ਕਿ ਬੂਟ ਕਾਪੀਅਰ ਦੀ ਸ਼ੁਰੂਆਤ ਵੀ ਹੈ।
4. ਇੱਕ ਚੰਗੇ ਡਿਜ਼ਾਈਨ ਅਭਿਆਸ ਵਿੱਚ ਰੀਸੈਟ ਵੈਕਟਰ ਆਫਸੈੱਟ ਨੂੰ ਇੱਕ ਫਲੈਸ਼ ਸੈਕਟਰ ਸੀਮਾ 'ਤੇ ਸੈੱਟ ਕਰਨਾ ਸ਼ਾਮਲ ਹੈ ਤਾਂ ਜੋ ਇਹ ਯਕੀਨੀ ਬਣਾਇਆ ਜਾ ਸਕੇ ਕਿ ਸਾਫਟਵੇਅਰ ਐਪਲੀਕੇਸ਼ਨ ਅੱਪਡੇਟ ਹੋਣ ਦੀ ਸਥਿਤੀ ਵਿੱਚ FPGA ਚਿੱਤਰ ਦਾ ਕੋਈ ਅੰਸ਼ਕ ਮਿਟਾਅ ਨਾ ਹੋਵੇ।

ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 53

4. Nios V ਪ੍ਰੋਸੈਸਰ ਸੰਰਚਨਾ ਅਤੇ ਬੂਟਿੰਗ ਹੱਲ 726952 | 2025.07.16

4.4.2.2. ਸੁਰੱਖਿਅਤ ਡਿਵਾਈਸ ਮੈਨੇਜਰ ਰਾਹੀਂ Nios V ਪ੍ਰੋਸੈਸਰ ਬੂਟਲੋਡਰ
ਸਿਕਿਓਰ ਡਿਵਾਈਸ ਮੈਨੇਜਰ (SDM) ਰਾਹੀਂ ਬੂਟਲੋਡਰ ਇੱਕ HAL ਐਪਲੀਕੇਸ਼ਨ ਕੋਡ ਹੈ ਜੋ ਪ੍ਰੋਸੈਸਰ ਬੂਟਿੰਗ ਲਈ ਮੇਲਬਾਕਸ ਕਲਾਇੰਟ Altera FPGA IP HAL ਡਰਾਈਵਰ ਦੀ ਵਰਤੋਂ ਕਰਦਾ ਹੈ। Altera ਇਸ ਬੂਟਲੋਡਰ ਐਪਲੀਕੇਸ਼ਨ ਦੀ ਸਿਫ਼ਾਰਸ਼ ਕਰਦਾ ਹੈ ਜਦੋਂ Nios V ਪ੍ਰੋਸੈਸਰ ਨੂੰ ਬੂਟ ਕਰਨ ਲਈ SDM-ਅਧਾਰਿਤ ਡਿਵਾਈਸਾਂ ਵਿੱਚ ਸੰਰਚਨਾ QSPI ਫਲੈਸ਼ ਦੀ ਵਰਤੋਂ ਕੀਤੀ ਜਾਂਦੀ ਹੈ।
ਸਿਸਟਮ ਰੀਸੈਟ ਹੋਣ 'ਤੇ, Nios V ਪ੍ਰੋਸੈਸਰ ਪਹਿਲਾਂ ਇੱਕ ਛੋਟੀ ਜਿਹੀ ਆਨ-ਚਿੱਪ ਮੈਮੋਰੀ ਤੋਂ SDM ਰਾਹੀਂ ਬੂਟਲੋਡਰ ਨੂੰ ਬੂਟ ਕਰਦਾ ਹੈ ਅਤੇ ਮੇਲਬਾਕਸ ਕਲਾਇੰਟ IP ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਸੰਰਚਨਾ QSPI ਫਲੈਸ਼ ਨਾਲ ਸੰਚਾਰ ਕਰਨ ਲਈ SDM ਰਾਹੀਂ ਬੂਟਲੋਡਰ ਨੂੰ ਚਲਾਉਂਦਾ ਹੈ।
SDM ਰਾਹੀਂ ਬੂਟਲੋਡਰ ਹੇਠ ਲਿਖੇ ਕੰਮ ਕਰਦਾ ਹੈ: · QSPI ਫਲੈਸ਼ ਸੰਰਚਨਾ ਵਿੱਚ Nios V ਸਾਫਟਵੇਅਰ ਦਾ ਪਤਾ ਲਗਾਉਂਦਾ ਹੈ। · Nios V ਸਾਫਟਵੇਅਰ ਨੂੰ ਔਨ-ਚਿੱਪ RAM ਜਾਂ ਬਾਹਰੀ RAM ਵਿੱਚ ਕਾਪੀ ਕਰਦਾ ਹੈ। · ਪ੍ਰੋਸੈਸਰ ਐਗਜ਼ੀਕਿਊਸ਼ਨ ਨੂੰ ਔਨ-ਚਿੱਪ RAM ਦੇ ਅੰਦਰ Nios V ਸਾਫਟਵੇਅਰ ਵਿੱਚ ਬਦਲਦਾ ਹੈ ਜਾਂ
ਬਾਹਰੀ RAM।
ਇੱਕ ਵਾਰ ਪ੍ਰਕਿਰਿਆ ਪੂਰੀ ਹੋਣ ਤੋਂ ਬਾਅਦ, SDM ਰਾਹੀਂ ਬੂਟਲੋਡਰ ਪ੍ਰੋਗਰਾਮ ਨਿਯੰਤਰਣ ਨੂੰ ਉਪਭੋਗਤਾ ਐਪਲੀਕੇਸ਼ਨ ਨੂੰ ਟ੍ਰਾਂਸਫਰ ਕਰਦਾ ਹੈ। ਅਲਟੇਰਾ ਮੈਮੋਰੀ ਸੰਗਠਨ ਦੀ ਸਿਫ਼ਾਰਸ਼ ਕਰਦਾ ਹੈ ਜਿਵੇਂ ਕਿ SDM ਰਾਹੀਂ ਬੂਟਲੋਡਰ ਲਈ ਮੈਮੋਰੀ ਸੰਗਠਨ ਵਿੱਚ ਦੱਸਿਆ ਗਿਆ ਹੈ।
ਚਿੱਤਰ 30. SDM ਪ੍ਰਕਿਰਿਆ ਪ੍ਰਵਾਹ ਰਾਹੀਂ ਬੂਟਲੋਡਰ

ਸੰਰਚਨਾ

ਫਲੈਸ਼

2

ਨਿਓਸ ਵੀ ਸਾਫਟਵੇਅਰ

ਐਸ.ਡੀ.ਐਮ

SDM-ਅਧਾਰਿਤ FPGA ਡਿਵਾਈਸ

ਮੇਲਬਾਕਸ ਕਲਾਇੰਟ IP

FPGA ਲਾਜਿਕ ਨਿਓਸ V

4 ਬਾਹਰੀ ਰੈਮ
ਨਿਓਸ ਵੀ ਸਾਫਟਵੇਅਰ

ਆਨ-ਚਿੱਪ 4

EMIF

ਰੈਮ

ਆਨ-ਚਿੱਪ ਮੈਮੋਰੀ

IP

ਨਿਓਸ ਵੀ

1

ਸਾਫਟਵੇਅਰ

SDM ਰਾਹੀਂ ਬੂਟਲੋਡਰ

3

3

1. Nios V ਪ੍ਰੋਸੈਸਰ ਔਨ-ਚਿੱਪ ਮੈਮੋਰੀ ਤੋਂ SDM ਰਾਹੀਂ ਬੂਟਲੋਡਰ ਚਲਾਉਂਦਾ ਹੈ।
2. SDM ਰਾਹੀਂ ਬੂਟਲੋਡਰ ਕੌਂਫਿਗਰੇਸ਼ਨ ਫਲੈਸ਼ ਨਾਲ ਸੰਚਾਰ ਕਰਦਾ ਹੈ ਅਤੇ Nios V ਸੌਫਟਵੇਅਰ ਦਾ ਪਤਾ ਲਗਾਉਂਦਾ ਹੈ।
3. SDM ਰਾਹੀਂ ਬੂਟਲੋਡਰ, Configuration Flash ਤੋਂ Nios V ਸਾਫਟਵੇਅਰ ਨੂੰ ਔਨ-ਚਿੱਪ RAM / ਬਾਹਰੀ RAM ਵਿੱਚ ਕਾਪੀ ਕਰਦਾ ਹੈ।
4. SDM ਰਾਹੀਂ ਬੂਟਲੋਡਰ Nios V ਪ੍ਰੋਸੈਸਰ ਐਗਜ਼ੀਕਿਊਸ਼ਨ ਨੂੰ ਔਨ-ਚਿੱਪ RAM / ਬਾਹਰੀ RAM ਵਿੱਚ Nios V ਸਾਫਟਵੇਅਰ ਵਿੱਚ ਬਦਲਦਾ ਹੈ।

4.4.3. OCRAM ਤੋਂ Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਐਗਜ਼ੀਕਿਊਟ-ਇਨ-ਪਲੇਸ
ਇਸ ਵਿਧੀ ਵਿੱਚ, Nios V ਪ੍ਰੋਸੈਸਰ ਰੀਸੈਟ ਐਡਰੈੱਸ ਨੂੰ ਔਨ-ਚਿੱਪ ਮੈਮੋਰੀ (OCRAM) ਦੇ ਬੇਸ ਐਡਰੈੱਸ 'ਤੇ ਸੈੱਟ ਕੀਤਾ ਜਾਂਦਾ ਹੈ। ਐਪਲੀਕੇਸ਼ਨ ਬਾਈਨਰੀ (.hex) file ਜਦੋਂ FPGA ਕੌਂਫਿਗਰ ਕੀਤਾ ਜਾਂਦਾ ਹੈ, ਤਾਂ ਇਸਨੂੰ OCRAM ਵਿੱਚ ਲੋਡ ਕੀਤਾ ਜਾਂਦਾ ਹੈ, ਜਦੋਂ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਨੂੰ Quartus Prime ਸੌਫਟਵੇਅਰ ਵਿੱਚ ਕੰਪਾਇਲ ਕੀਤਾ ਜਾਂਦਾ ਹੈ। ਇੱਕ ਵਾਰ Nios V ਪ੍ਰੋਸੈਸਰ ਰੀਸੈਟ ਹੋ ਜਾਣ ਤੋਂ ਬਾਅਦ, ਐਪਲੀਕੇਸ਼ਨ ਐਗਜ਼ੀਕਿਊਟਿੰਗ ਸ਼ੁਰੂ ਕਰ ਦਿੰਦੀ ਹੈ ਅਤੇ ਐਂਟਰੀ ਪੁਆਇੰਟ 'ਤੇ ਬ੍ਰਾਂਚ ਕਰਦੀ ਹੈ।

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 54

ਫੀਡਬੈਕ ਭੇਜੋ

4. Nios V ਪ੍ਰੋਸੈਸਰ ਸੰਰਚਨਾ ਅਤੇ ਬੂਟਿੰਗ ਹੱਲ 726952 | 2025.07.16

ਨੋਟ:

· OCRAM ਤੋਂ ਐਗਜ਼ੀਕਿਊਟ-ਇਨ-ਪਲੇਸ ਲਈ ਬੂਟ ਕਾਪੀਅਰ ਦੀ ਲੋੜ ਨਹੀਂ ਹੈ ਕਿਉਂਕਿ Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਸਿਸਟਮ ਰੀਸੈਟ 'ਤੇ ਪਹਿਲਾਂ ਹੀ ਮੌਜੂਦ ਹੁੰਦੀ ਹੈ।
· Altera ਇਸ ਬੂਟਿੰਗ ਵਿਧੀ ਲਈ alt_load() ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਣ ਦੀ ਸਿਫ਼ਾਰਸ਼ ਕਰਦਾ ਹੈ ਤਾਂ ਜੋ ਏਮਬੈਡਡ ਸੌਫਟਵੇਅਰ FPGA ਡਿਵਾਈਸ ਚਿੱਤਰ ਨੂੰ ਮੁੜ ਸੰਰਚਿਤ ਕੀਤੇ ਬਿਨਾਂ ਰੀਸੈਟ ਕਰਨ 'ਤੇ ਇੱਕੋ ਜਿਹਾ ਵਿਵਹਾਰ ਕਰੇ।
· ਸਿਸਟਮ ਰੀਸੈਟ ਕਰਨ 'ਤੇ .rwdata ਭਾਗ ਦੀ ਨਕਲ ਕਰਨ ਲਈ ਤੁਹਾਨੂੰ BSP ਸੈਟਿੰਗਾਂ ਵਿੱਚ alt_load() ਫੰਕਸ਼ਨ ਨੂੰ ਸਮਰੱਥ ਬਣਾਉਣਾ ਚਾਹੀਦਾ ਹੈ। ਇਸ ਵਿਧੀ ਵਿੱਚ, ਪ੍ਰੋਗਰਾਮ ਐਗਜ਼ੀਕਿਊਸ਼ਨ 'ਤੇ ਓਵਰਰਾਈਟਿੰਗ ਤੋਂ ਬਚਣ ਲਈ ਸ਼ੁਰੂਆਤੀ ਵੇਰੀਏਬਲਾਂ ਲਈ ਸ਼ੁਰੂਆਤੀ ਮੁੱਲਾਂ ਨੂੰ ਸੰਬੰਧਿਤ ਵੇਰੀਏਬਲਾਂ ਤੋਂ ਵੱਖਰੇ ਤੌਰ 'ਤੇ ਸਟੋਰ ਕੀਤਾ ਜਾਂਦਾ ਹੈ।

4.4.4. TCM ਤੋਂ Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਐਗਜ਼ੀਕਿਊਟ-ਇਨ-ਪਲੇਸ
ਐਗਜ਼ੀਕਿਊਟ-ਇਨ-ਪਲੇਸ ਵਿਧੀ Nios V ਪ੍ਰੋਸੈਸਰ ਰੀਸੈਟ ਐਡਰੈੱਸ ਨੂੰ ਟਾਈਟਲੀ ਕਪਲਡ ਮੈਮੋਰੀ (TCM) ਦੇ ਬੇਸ ਐਡਰੈੱਸ 'ਤੇ ਸੈੱਟ ਕਰਦੀ ਹੈ। ਐਪਲੀਕੇਸ਼ਨ ਬਾਈਨਰੀ (.hex) file ਜਦੋਂ ਤੁਸੀਂ Quartus Prime ਸੌਫਟਵੇਅਰ ਵਿੱਚ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨ ਤੋਂ ਬਾਅਦ FPGA ਨੂੰ ਕੌਂਫਿਗਰ ਕਰਦੇ ਹੋ ਤਾਂ ਇਸਨੂੰ TCM ਵਿੱਚ ਲੋਡ ਕੀਤਾ ਜਾਂਦਾ ਹੈ। ਇੱਕ ਵਾਰ Nios V ਪ੍ਰੋਸੈਸਰ ਰੀਸੈਟ ਹੋ ਜਾਣ ਤੋਂ ਬਾਅਦ, ਐਪਲੀਕੇਸ਼ਨ ਐਗਜ਼ੀਕਿਊਟਿੰਗ ਸ਼ੁਰੂ ਕਰ ਦਿੰਦੀ ਹੈ ਅਤੇ ਐਂਟਰੀ ਪੁਆਇੰਟ 'ਤੇ ਬ੍ਰਾਂਚ ਕਰਦੀ ਹੈ।

ਨੋਟ:

TCM ਤੋਂ ਐਗਜ਼ੀਕਿਊਟ-ਇਨ-ਪਲੇਸ ਲਈ ਬੂਟ ਕਾਪੀਅਰ ਦੀ ਲੋੜ ਨਹੀਂ ਹੈ ਕਿਉਂਕਿ Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਸਿਸਟਮ ਰੀਸੈਟ 'ਤੇ ਪਹਿਲਾਂ ਹੀ ਮੌਜੂਦ ਹੁੰਦੀ ਹੈ।

4.5. ਆਨ-ਚਿੱਪ ਫਲੈਸ਼ (UFM) ਤੋਂ Nios V ਪ੍ਰੋਸੈਸਰ ਬੂਟ ਕਰਨਾ

MAX 10 FPGA ਡਿਵਾਈਸਾਂ ਵਿੱਚ ਆਨ-ਚਿੱਪ ਫਲੈਸ਼ (UFM) ਤੋਂ Nios V ਪ੍ਰੋਸੈਸਰ ਬੂਟਿੰਗ ਅਤੇ ਐਗਜ਼ੀਕਿਊਟਿੰਗ ਸੌਫਟਵੇਅਰ ਉਪਲਬਧ ਹੈ। Nios V ਪ੍ਰੋਸੈਸਰ ਅੰਦਰੂਨੀ ਸੰਰਚਨਾ ਮੋਡ ਦੇ ਅਧੀਨ ਆਨ-ਚਿੱਪ ਫਲੈਸ਼ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋਏ ਹੇਠਾਂ ਦਿੱਤੇ ਦੋ ਬੂਟ ਵਿਕਲਪਾਂ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ:
· Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਆਨ-ਚਿੱਪ ਫਲੈਸ਼ ਤੋਂ ਇਨ-ਪਲੇਸ ਚਲਾਉਂਦੀ ਹੈ।
· Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਨੂੰ ਬੂਟ ਕਾਪੀਅਰ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਔਨ-ਚਿੱਪ ਫਲੈਸ਼ ਤੋਂ RAM ਵਿੱਚ ਕਾਪੀ ਕੀਤਾ ਜਾਂਦਾ ਹੈ।

ਸਾਰਣੀ 33. ਸੰਬੰਧਿਤ ਬੂਟ ਵਿਕਲਪਾਂ ਦੇ ਨਾਲ ਸਮਰਥਿਤ ਫਲੈਸ਼ ਯਾਦਾਂ

ਸਮਰਥਿਤ ਬੂਟ ਯਾਦਾਂ

Nios V ਬੂਟਿੰਗ ਵਿਧੀਆਂ

ਐਪਲੀਕੇਸ਼ਨ ਰਨਟਾਈਮ ਟਿਕਾਣਾ

ਬੂਟ ਕਾਪੀਰ

ਸਿਰਫ਼ MAX 10 ਡਿਵਾਈਸਾਂ (OnChip ਫਲੈਸ਼ IP ਦੇ ਨਾਲ)

Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਨੂੰ ਆਨ-ਚਿੱਪ ਫਲੈਸ਼ ਤੋਂ ਜਗ੍ਹਾ-ਜਗ੍ਹਾ ਚਲਾਇਆ ਜਾਂਦਾ ਹੈ।
Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਨੂੰ ਬੂਟ ਕਾਪੀਅਰ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਔਨ-ਚਿੱਪ ਫਲੈਸ਼ ਤੋਂ RAM ਵਿੱਚ ਕਾਪੀ ਕੀਤਾ ਗਿਆ

ਆਨ-ਚਿੱਪ ਫਲੈਸ਼ (XIP) + OCRAM/ ਬਾਹਰੀ RAM (ਲਿਖਣਯੋਗ ਡੇਟਾ ਭਾਗਾਂ ਲਈ)

alt_load() ਫੰਕਸ਼ਨ

OCRAM/ ਬਾਹਰੀ RAM

GSFI ਰਾਹੀਂ ਬੂਟਲੋਡਰ ਦੀ ਮੁੜ ਵਰਤੋਂ

ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 55

4. Nios V ਪ੍ਰੋਸੈਸਰ ਸੰਰਚਨਾ ਅਤੇ ਬੂਟਿੰਗ ਹੱਲ 726952 | 2025.07.16

ਚਿੱਤਰ 31.

ਡਿਜ਼ਾਈਨ, ਸੰਰਚਨਾ, ਅਤੇ ਬੂਟਿੰਗ ਪ੍ਰਵਾਹ
ਡਿਜ਼ਾਈਨ · ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਆਪਣਾ Nios V ਪ੍ਰੋਸੈਸਰ ਅਧਾਰਤ ਪ੍ਰੋਜੈਕਟ ਬਣਾਓ। · ਯਕੀਨੀ ਬਣਾਓ ਕਿ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਵਿੱਚ ਬਾਹਰੀ RAM ਜਾਂ ਆਨ-ਚਿੱਪ RAM ਹੈ।

FPGA ਸੰਰਚਨਾ ਅਤੇ ਸੰਕਲਨ
· ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਅਤੇ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਵਿੱਚ ਔਨ-ਚਿੱਪ ਫਲੈਸ਼ ਆਈਪੀ ਵਿੱਚ ਉਹੀ ਅੰਦਰੂਨੀ ਸੰਰਚਨਾ ਮੋਡ ਸੈੱਟ ਕਰੋ। · ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਰੀਸੈਟ ਏਜੰਟ ਨੂੰ ਔਨ-ਚਿੱਪ ਫਲੈਸ਼ ਤੇ ਸੈੱਟ ਕਰੋ। · ਆਪਣੀ ਪਸੰਦੀਦਾ UFM ਸ਼ੁਰੂਆਤੀ ਵਿਧੀ ਚੁਣੋ। · ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਵਿੱਚ ਆਪਣਾ ਡਿਜ਼ਾਈਨ ਤਿਆਰ ਕਰੋ। · ਆਪਣੇ ਪ੍ਰੋਜੈਕਟ ਨੂੰ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਵਿੱਚ ਕੰਪਾਇਲ ਕਰੋ।

ਯੂਜ਼ਰ ਐਪਲੀਕੇਸ਼ਨ BSP ਪ੍ਰੋਜੈਕਟ · .sopcinfo 'ਤੇ ਆਧਾਰਿਤ Nios V ਪ੍ਰੋਸੈਸਰ HAL BSP ਬਣਾਓ file ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਦੁਆਰਾ ਬਣਾਇਆ ਗਿਆ। · BSP ਐਡੀਟਰ ਵਿੱਚ Nios V ਪ੍ਰੋਸੈਸਰ BSP ਸੈਟਿੰਗਾਂ ਅਤੇ ਲਿੰਕਰ ਸਕ੍ਰਿਪਟ ਨੂੰ ਸੰਪਾਦਿਤ ਕਰੋ। · BSP ਪ੍ਰੋਜੈਕਟ ਤਿਆਰ ਕਰੋ।
ਯੂਜ਼ਰ ਐਪਲੀਕੇਸ਼ਨ ਐਪ ਪ੍ਰੋਜੈਕਟ · Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਕੋਡ ਵਿਕਸਤ ਕਰੋ। · Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਨੂੰ ਕੰਪਾਇਲ ਕਰੋ ਅਤੇ Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ (.hex) ਤਿਆਰ ਕਰੋ file. · ਜੇਕਰ ਤੁਸੀਂ Intel FPGA On-Chip Flash IP ਵਿੱਚ Initialize memory content ਵਿਕਲਪ ਦੀ ਜਾਂਚ ਕਰਦੇ ਹੋ ਤਾਂ ਆਪਣੇ ਪ੍ਰੋਜੈਕਟ ਨੂੰ Quartus Prime ਸਾਫਟਵੇਅਰ ਵਿੱਚ ਦੁਬਾਰਾ ਕੰਪਾਇਲ ਕਰੋ।

ਪ੍ਰੋਗਰਾਮਿੰਗ Files ਪਰਿਵਰਤਨ, ਡਾਊਨਲੋਡ ਅਤੇ ਚਲਾਓ · ਔਨ-ਚਿੱਪ ਫਲੈਸ਼ .pof ਤਿਆਰ ਕਰੋ file ਕਨਵਰਟ ਪ੍ਰੋਗਰਾਮਿੰਗ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋਏ Fileਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸਾਫਟਵੇਅਰ ਵਿੱਚ s ਵਿਸ਼ੇਸ਼ਤਾ।
· .pof ਪ੍ਰੋਗਰਾਮ ਕਰੋ file ਆਪਣੇ MAX 10 ਡਿਵਾਈਸ ਵਿੱਚ। · ਆਪਣੇ ਹਾਰਡਵੇਅਰ ਨੂੰ ਪਾਵਰ ਸਾਈਕਲ ਕਰੋ।
4.5.1. MAX 10 FPGA ਆਨ-ਚਿੱਪ ਫਲੈਸ਼ ਵਰਣਨ
MAX 10 FPGA ਡਿਵਾਈਸਾਂ ਵਿੱਚ ਔਨ-ਚਿੱਪ ਫਲੈਸ਼ ਹੁੰਦਾ ਹੈ ਜੋ ਦੋ ਹਿੱਸਿਆਂ ਵਿੱਚ ਵੰਡਿਆ ਹੁੰਦਾ ਹੈ: · ਕੌਂਫਿਗਰੇਸ਼ਨ ਫਲੈਸ਼ ਮੈਮੋਰੀ (CFM) — ਹਾਰਡਵੇਅਰ ਕੌਂਫਿਗਰੇਸ਼ਨ ਡੇਟਾ ਨੂੰ ਸਟੋਰ ਕਰਦਾ ਹੈ
MAX 10 FPGAs। · ਯੂਜ਼ਰ ਫਲੈਸ਼ ਮੈਮੋਰੀ (UFM) — ਯੂਜ਼ਰ ਡੇਟਾ ਜਾਂ ਸਾਫਟਵੇਅਰ ਐਪਲੀਕੇਸ਼ਨਾਂ ਨੂੰ ਸਟੋਰ ਕਰਦੀ ਹੈ।
MAX 10 ਡਿਵਾਈਸ ਦਾ UFM ਆਰਕੀਟੈਕਚਰ ਸਾਫਟ ਅਤੇ ਹਾਰਡ IP ਦਾ ਸੁਮੇਲ ਹੈ। ਤੁਸੀਂ ਸਿਰਫ਼ Quartus Prime ਸਾਫਟਵੇਅਰ ਵਿੱਚ On-Chip Flash IP Core ਦੀ ਵਰਤੋਂ ਕਰਕੇ UFM ਤੱਕ ਪਹੁੰਚ ਕਰ ਸਕਦੇ ਹੋ।
ਆਨ-ਚਿੱਪ ਫਲੈਸ਼ ਆਈਪੀ ਕੋਰ ਹੇਠ ਲਿਖੀਆਂ ਵਿਸ਼ੇਸ਼ਤਾਵਾਂ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ: · UFM ਅਤੇ CFM (ਜੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਵਿੱਚ ਸਮਰੱਥ ਹੈ) ਸੈਕਟਰਾਂ ਤੱਕ ਪਹੁੰਚ ਪੜ੍ਹੋ ਜਾਂ ਲਿਖੋ
ਐਵਲੋਨ ਐਮਐਮ ਡੇਟਾ ਅਤੇ ਕੰਟਰੋਲ ਸਲੇਵ ਇੰਟਰਫੇਸ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋਏ। · ਪੇਜ ਇਰੇਜ਼, ਸੈਕਟਰ ਇਰੇਜ਼ ਅਤੇ ਸੈਕਟਰ ਰਾਈਟ ਦਾ ਸਮਰਥਨ ਕਰਦਾ ਹੈ। · ਵੱਖ-ਵੱਖ ਈਡੀਏ ਸਿਮੂਲੇਸ਼ਨ ਟੂਲਸ ਦੀ ਵਰਤੋਂ ਕਰਦੇ ਹੋਏ ਯੂਐਫਐਮ ਰੀਡ/ਰਾਈਟ ਐਕਸੈਸ ਲਈ ਸਿਮੂਲੇਸ਼ਨ ਮਾਡਲ।

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 56

ਫੀਡਬੈਕ ਭੇਜੋ

4. Nios V ਪ੍ਰੋਸੈਸਰ ਸੰਰਚਨਾ ਅਤੇ ਬੂਟਿੰਗ ਹੱਲ 726952 | 2025.07.16

ਸਾਰਣੀ 34. MAX 10 FPGA ਡਿਵਾਈਸਾਂ ਵਿੱਚ ਔਨ-ਚਿੱਪ ਫਲੈਸ਼ ਖੇਤਰ

ਫਲੈਸ਼ ਖੇਤਰ

ਕਾਰਜਸ਼ੀਲਤਾ

ਫਲੈਸ਼ ਮੈਮੋਰੀ (ਸੈਕਟਰ CFM0-2) ਦੀ ਸੰਰਚਨਾ

FPGA ਸੰਰਚਨਾ file ਸਟੋਰੇਜ

ਯੂਜ਼ਰ ਫਲੈਸ਼ ਮੈਮੋਰੀ (ਸੈਕਟਰ UFM0-1)

Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਅਤੇ ਉਪਭੋਗਤਾ ਡੇਟਾ

MAX 10 FPGA ਡਿਵਾਈਸ ਕਈ ਸੰਰਚਨਾ ਮੋਡਾਂ ਦਾ ਸਮਰਥਨ ਕਰਦੇ ਹਨ ਅਤੇ ਇਹਨਾਂ ਵਿੱਚੋਂ ਕੁਝ ਮੋਡ CFM1 ਅਤੇ CFM2 ਨੂੰ ਇੱਕ ਵਾਧੂ UFM ਖੇਤਰ ਵਜੋਂ ਵਰਤਣ ਦੀ ਆਗਿਆ ਦਿੰਦੇ ਹਨ। ਹੇਠ ਦਿੱਤੀ ਸਾਰਣੀ MAX 10 FPGA ਦੇ ਸੰਰਚਨਾ ਮੋਡਾਂ ਦੇ ਅਧਾਰ ਤੇ FPGA ਸੰਰਚਨਾ ਚਿੱਤਰਾਂ ਦੇ ਸਟੋਰੇਜ ਸਥਾਨ ਨੂੰ ਦਰਸਾਉਂਦੀ ਹੈ।

ਸਾਰਣੀ 35. FPGA ਸੰਰਚਨਾ ਚਿੱਤਰਾਂ ਦਾ ਸਟੋਰੇਜ ਸਥਾਨ

ਸੰਰਚਨਾ ਮੋਡ ਦੋਹਰੇ ਸੰਕੁਚਿਤ ਚਿੱਤਰ

CFM2 ਸੰਕੁਚਿਤ ਚਿੱਤਰ 2

CFM1

CFM0 ਸੰਕੁਚਿਤ ਚਿੱਤਰ 1

ਸਿੰਗਲ ਅਣਕੰਪ੍ਰੈੱਸਡ ਚਿੱਤਰ

ਵਰਚੁਅਲ ਯੂ.ਐੱਫ.ਐੱਮ.

ਅਣ-ਸੰਕੁਚਿਤ ਚਿੱਤਰ

ਮੈਮੋਰੀ ਇਨੀਸ਼ੀਏਲਾਈਜ਼ੇਸ਼ਨ ਦੇ ਨਾਲ ਸਿੰਗਲ ਅਣਕੰਪਰੈੱਸਡ ਚਿੱਤਰ

ਅਣ-ਸੰਕੁਚਿਤ ਚਿੱਤਰ (ਪਹਿਲਾਂ ਤੋਂ ਸ਼ੁਰੂ ਕੀਤੀ ਗਈ ਆਨ-ਚਿੱਪ ਮੈਮੋਰੀ ਸਮੱਗਰੀ ਦੇ ਨਾਲ)

ਮੈਮੋਰੀ ਇਨੀਸ਼ੀਏਲਾਈਜੇਸ਼ਨ ਦੇ ਨਾਲ ਸਿੰਗਲ ਕੰਪ੍ਰੈਸਡ ਇਮੇਜ ਕੰਪ੍ਰੈਸਡ ਇਮੇਜ (ਪਹਿਲਾਂ ਤੋਂ ਸ਼ੁਰੂ ਕੀਤੀ ਗਈ ਔਨ-ਚਿੱਪ ਮੈਮੋਰੀ ਸਮੱਗਰੀ ਦੇ ਨਾਲ)

ਇਕਹਿਰਾ ਸੰਕੁਚਿਤ ਚਿੱਤਰ

ਵਰਚੁਅਲ ਯੂ.ਐੱਫ.ਐੱਮ.

ਸੰਕੁਚਿਤ ਚਿੱਤਰ

MAX 10 FPGAs ਵਿੱਚ ਫਲੈਸ਼ ਮੈਮੋਰੀ ਤੱਕ ਪਹੁੰਚ ਕਰਨ ਲਈ ਤੁਹਾਨੂੰ ਔਨ-ਚਿੱਪ ਫਲੈਸ਼ IP ਕੋਰ ਦੀ ਵਰਤੋਂ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ। ਤੁਸੀਂ ਔਨ-ਚਿੱਪ ਫਲੈਸ਼ IP ਨੂੰ Quartus Prime ਸਾਫਟਵੇਅਰ ਨਾਲ ਜੋੜ ਸਕਦੇ ਹੋ ਅਤੇ ਜੋੜ ਸਕਦੇ ਹੋ। Nios V ਸਾਫਟ ਕੋਰ ਪ੍ਰੋਸੈਸਰ ਔਨ-ਚਿੱਪ ਫਲੈਸ਼ IP ਨਾਲ ਸੰਚਾਰ ਕਰਨ ਲਈ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਇੰਟਰਕਨੈਕਟਸ ਦੀ ਵਰਤੋਂ ਕਰਦਾ ਹੈ।
ਚਿੱਤਰ 32. ਆਨ-ਚਿੱਪ ਫਲੈਸ਼ ਆਈਪੀ ਅਤੇ ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ ਵਿਚਕਾਰ ਕਨੈਕਸ਼ਨ

ਨੋਟ:

ਯਕੀਨੀ ਬਣਾਓ ਕਿ ਔਨ-ਚਿੱਪ ਫਲੈਸ਼ ਸੀਐਸਆਰ ਪੋਰਟ Nios V ਪ੍ਰੋਸੈਸਰ ਡੇਟਾ_ਮੈਨੇਜਰ ਨਾਲ ਜੁੜਿਆ ਹੋਇਆ ਹੈ ਤਾਂ ਜੋ ਪ੍ਰੋਸੈਸਰ ਲਿਖਣ ਅਤੇ ਮਿਟਾਉਣ ਦੇ ਕਾਰਜਾਂ ਨੂੰ ਕੰਟਰੋਲ ਕਰ ਸਕੇ।
ਆਨ-ਚਿੱਪ ਫਲੈਸ਼ ਆਈਪੀ ਕੋਰ ਪੰਜ ਫਲੈਸ਼ ਸੈਕਟਰਾਂ - UFM0, UFM1, CFM0, CFM1, ਅਤੇ CFM2 ਤੱਕ ਪਹੁੰਚ ਪ੍ਰਦਾਨ ਕਰ ਸਕਦਾ ਹੈ।
UFM ਅਤੇ CFM ਸੈਕਟਰਾਂ ਬਾਰੇ ਮਹੱਤਵਪੂਰਨ ਜਾਣਕਾਰੀ।: · CFM ਸੈਕਟਰ ਸੰਰਚਨਾ (ਬਿੱਟਸਟ੍ਰੀਮ) ਡੇਟਾ (*.pof) ਸਟੋਰੇਜ ਲਈ ਹਨ।
· ਉਪਭੋਗਤਾ ਡੇਟਾ ਨੂੰ UFM ਸੈਕਟਰਾਂ ਵਿੱਚ ਸਟੋਰ ਕੀਤਾ ਜਾ ਸਕਦਾ ਹੈ ਅਤੇ ਲੁਕਾਇਆ ਜਾ ਸਕਦਾ ਹੈ, ਜੇਕਰ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਟੂਲ ਵਿੱਚ ਸਹੀ ਸੈਟਿੰਗਾਂ ਚੁਣੀਆਂ ਜਾਂਦੀਆਂ ਹਨ।
· ਕੁਝ ਡਿਵਾਈਸਾਂ ਵਿੱਚ UFM1 ਸੈਕਟਰ ਨਹੀਂ ਹੁੰਦਾ। ਤੁਸੀਂ ਸਾਰਣੀ ਦਾ ਹਵਾਲਾ ਦੇ ਸਕਦੇ ਹੋ: ਹਰੇਕ ਵਿਅਕਤੀਗਤ MAX 10 FPGA ਡਿਵਾਈਸ ਵਿੱਚ ਉਪਲਬਧ ਸੈਕਟਰਾਂ ਲਈ UFM ਅਤੇ CFM ਸੈਕਟਰ ਆਕਾਰ।

ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 57

4. Nios V ਪ੍ਰੋਸੈਸਰ ਸੰਰਚਨਾ ਅਤੇ ਬੂਟਿੰਗ ਹੱਲ 726952 | 2025.07.16

· ਤੁਸੀਂ ਸਿੰਗਲ ਅਨਕੰਪਰੈੱਸਡ ਇਮੇਜ ਕੌਂਫਿਗਰੇਸ਼ਨ ਮੋਡ ਦੀ ਚੋਣ ਕਰਕੇ CFM2 ਨੂੰ ਵਰਚੁਅਲ UFM ਦੇ ਰੂਪ ਵਿੱਚ ਕੌਂਫਿਗਰ ਕਰ ਸਕਦੇ ਹੋ।
· ਤੁਸੀਂ ਸਿੰਗਲ ਅਨਕੰਪਰੈੱਸਡ ਇਮੇਜ ਕੌਂਫਿਗਰੇਸ਼ਨ ਮੋਡ ਦੀ ਚੋਣ ਕਰਕੇ CFM2 ਅਤੇ CFM1 ਨੂੰ ਵਰਚੁਅਲ UFM ਦੇ ਰੂਪ ਵਿੱਚ ਕੌਂਫਿਗਰ ਕਰ ਸਕਦੇ ਹੋ।
· ਹਰੇਕ ਸੈਕਟਰ ਦਾ ਆਕਾਰ ਚੁਣੇ ਗਏ MAX 10 FPGA ਡਿਵਾਈਸਾਂ ਦੇ ਨਾਲ ਬਦਲਦਾ ਹੈ।

ਸਾਰਣੀ 36.

UFM ਅਤੇ CFM ਸੈਕਟਰ ਦਾ ਆਕਾਰ
ਇਹ ਸਾਰਣੀ UFM ਅਤੇ CFM ਐਰੇ ਦੇ ਮਾਪਾਂ ਨੂੰ ਸੂਚੀਬੱਧ ਕਰਦੀ ਹੈ।

ਡਿਵਾਈਸ

ਪ੍ਰਤੀ ਸੈਕਟਰ ਪੰਨੇ

UFM1 UFM0 CFM2 CFM1 CFM0

ਪੰਨਾ ਆਕਾਰ (Kbit)

ਵੱਧ ਤੋਂ ਵੱਧ ਉਪਭੋਗਤਾ
ਫਲੈਸ਼ ਮੈਮੋਰੀ ਦਾ ਆਕਾਰ (Kbit) (3)

ਕੁੱਲ ਸੰਰਚਨਾ ਮੈਮੋਰੀ ਆਕਾਰ (Kbit)

10M02 3

3

0

0

34 16

96

544

10M04 0

8

41 29 70 16

1248

2240

10M08 8

8

41 29 70 16

1376

2240

10M16 4

4

38 28 66 32

2368

4224

10M25 4

4

52 40 92 32

3200

5888

10M40 4

4

48 36 84 64

5888

10752

10M50 4

4

48 36 84 64

5888

10752

OCRAM ਆਕਾਰ (Kbit)
108 189 378 549 675 1260 1638

ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ · MAX 10 FPGA ਸੰਰਚਨਾ ਉਪਭੋਗਤਾ ਗਾਈਡ · Altera MAX 10 ਉਪਭੋਗਤਾ ਫਲੈਸ਼ ਮੈਮੋਰੀ ਉਪਭੋਗਤਾ ਗਾਈਡ

4.5.2. UFM ਤੋਂ Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਐਗਜ਼ੀਕਿਊਟ-ਇਨ-ਪਲੇਸ

UFM ਸਲਿਊਸ਼ਨ ਤੋਂ ਐਗਜ਼ੀਕਿਊਟ-ਇਨ-ਪਲੇਸ Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨਾਂ ਲਈ ਢੁਕਵਾਂ ਹੈ ਜਿਨ੍ਹਾਂ ਨੂੰ ਸੀਮਤ ਔਨ-ਚਿੱਪ ਮੈਮੋਰੀ ਵਰਤੋਂ ਦੀ ਲੋੜ ਹੁੰਦੀ ਹੈ। alt_load() ਫੰਕਸ਼ਨ ਇੱਕ ਮਿੰਨੀ ਬੂਟ ਕਾਪੀਅਰ ਵਜੋਂ ਕੰਮ ਕਰਦਾ ਹੈ ਜੋ BSP ਸੈਟਿੰਗਾਂ ਦੇ ਆਧਾਰ 'ਤੇ ਬੂਟ ਮੈਮੋਰੀ ਤੋਂ RAM ਵਿੱਚ ਡੇਟਾ ਸੈਕਸ਼ਨਾਂ (.rodata, .rwdata, ਜਾਂ .exceptions) ਦੀ ਨਕਲ ਕਰਦਾ ਹੈ। ਕੋਡ ਸੈਕਸ਼ਨ (.text),
ਜੋ ਕਿ ਸਿਰਫ਼ ਪੜ੍ਹਨ ਲਈ ਇੱਕ ਭਾਗ ਹੈ, MAX 10 ਔਨ-ਚਿੱਪ ਫਲੈਸ਼ ਮੈਮੋਰੀ ਖੇਤਰ ਵਿੱਚ ਰਹਿੰਦਾ ਹੈ। ਇਹ ਸੈੱਟਅੱਪ RAM ਦੀ ਵਰਤੋਂ ਨੂੰ ਘੱਟ ਤੋਂ ਘੱਟ ਕਰਦਾ ਹੈ ਪਰ ਕੋਡ ਐਗਜ਼ੀਕਿਊਸ਼ਨ ਪ੍ਰਦਰਸ਼ਨ ਨੂੰ ਸੀਮਤ ਕਰ ਸਕਦਾ ਹੈ ਕਿਉਂਕਿ ਫਲੈਸ਼ ਮੈਮੋਰੀ ਤੱਕ ਪਹੁੰਚ ਔਨ-ਚਿੱਪ RAM ਨਾਲੋਂ ਹੌਲੀ ਹੁੰਦੀ ਹੈ।

Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਨੂੰ UFM ਸੈਕਟਰ ਵਿੱਚ ਪ੍ਰੋਗਰਾਮ ਕੀਤਾ ਗਿਆ ਹੈ। Nios V ਪ੍ਰੋਸੈਸਰ ਦਾ ਰੀਸੈਟ ਵੈਕਟਰ ਸਿਸਟਮ ਰੀਸੈਟ ਹੋਣ ਤੋਂ ਬਾਅਦ UFM ਤੋਂ ਕੋਡ ਨੂੰ ਚਲਾਉਣ ਲਈ UFM ਬੇਸ ਐਡਰੈੱਸ ਵੱਲ ਇਸ਼ਾਰਾ ਕਰਦਾ ਹੈ।

ਜੇਕਰ ਤੁਸੀਂ ਆਪਣੀ ਐਪਲੀਕੇਸ਼ਨ ਨੂੰ ਡੀਬੱਗ ਕਰਨ ਲਈ ਸਰੋਤ-ਪੱਧਰ ਦੇ ਡੀਬੱਗਰ ਦੀ ਵਰਤੋਂ ਕਰ ਰਹੇ ਹੋ, ਤਾਂ ਤੁਹਾਨੂੰ ਇੱਕ ਹਾਰਡਵੇਅਰ ਬ੍ਰੇਕਪੁਆਇੰਟ ਦੀ ਵਰਤੋਂ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ। ਇਹ ਇਸ ਲਈ ਹੈ ਕਿਉਂਕਿ UFM ਰੈਂਡਮ ਮੈਮੋਰੀ ਐਕਸੈਸ ਦਾ ਸਮਰਥਨ ਨਹੀਂ ਕਰਦਾ ਹੈ, ਜੋ ਕਿ ਸਾਫਟ ਬ੍ਰੇਕਪੁਆਇੰਟ ਡੀਬੱਗਿੰਗ ਲਈ ਜ਼ਰੂਰੀ ਹੈ।

ਨੋਟ:

ਤੁਸੀਂ MAX 10 ਵਿੱਚ ਐਗਜ਼ੀਕਿਊਟ-ਇਨ-ਪਲੇਸ ਕਰਦੇ ਸਮੇਂ UFM ਨੂੰ ਮਿਟਾ ਜਾਂ ਲਿਖ ਨਹੀਂ ਸਕਦੇ। ਜੇਕਰ ਤੁਹਾਨੂੰ UFM ਨੂੰ ਮਿਟਾਉਣ ਜਾਂ ਲਿਖਣ ਦੀ ਲੋੜ ਹੈ ਤਾਂ ਬੂਟ ਕਾਪੀਅਰ ਪਹੁੰਚ 'ਤੇ ਸਵਿੱਚ ਕਰੋ।

(3) ਵੱਧ ਤੋਂ ਵੱਧ ਸੰਭਵ ਮੁੱਲ, ਜੋ ਤੁਹਾਡੇ ਦੁਆਰਾ ਚੁਣੇ ਗਏ ਸੰਰਚਨਾ ਮੋਡ 'ਤੇ ਨਿਰਭਰ ਕਰਦਾ ਹੈ।

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 58

ਫੀਡਬੈਕ ਭੇਜੋ

4. Nios V ਪ੍ਰੋਸੈਸਰ ਸੰਰਚਨਾ ਅਤੇ ਬੂਟਿੰਗ ਹੱਲ 726952 | 2025.07.16

ਚਿੱਤਰ 33. UFM ਤੋਂ Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ XIP

ਵੱਧ ਤੋਂ ਵੱਧ 10 ਡਿਵਾਈਸ

.ਪੀਓਐਫ
ਨਿਓਸ ਵੀ ਹਾਰਡਵੇਅਰ .ਐਸਓਐਫ
ਨਿਓਸ ਵੀ ਸਾਫਟਵੇਅਰ .HEX

ਕੁਆਰਟਸ ਪ੍ਰੋਗਰਾਮਰ

ਆਨ-ਚਿੱਪ ਫਲੈਸ਼

CFM

ਨਿਓਸ ਵੀ ਹਾਰਡਵੇਅਰ

UFM

ਨਿਓਸ ਵੀ ਸਾਫਟਵੇਅਰ

ਅੰਦਰੂਨੀ ਸੰਰਚਨਾ

ਆਨ-ਚਿੱਪ ਫਲੈਸ਼ ਆਈਪੀ

FPGA ਤਰਕ
ਨਿਓਸ ਵੀ ਪ੍ਰੋਸੈਸਰ

ਆਨ-ਚਿੱਪ ਰੈਮ

ਬਾਹਰੀ

ਰੈਮ

EMIF

IP

4.5.2.1. ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਫਲੋ
ਹੇਠਲਾ ਭਾਗ ਔਨ-ਚਿੱਪ ਫਲੈਸ਼ ਤੋਂ Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਲਈ ਬੂਟ ਹੋਣ ਯੋਗ ਸਿਸਟਮ ਬਣਾਉਣ ਲਈ ਇੱਕ ਕਦਮ-ਦਰ-ਕਦਮ ਵਿਧੀ ਦਾ ਵਰਣਨ ਕਰਦਾ ਹੈ।ampਹੇਠਾਂ ਦਿੱਤਾ ਗਿਆ LE MAX 10 ਡਿਵਾਈਸ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਬਣਾਇਆ ਗਿਆ ਹੈ।
IP ਕੰਪੋਨੈਂਟ ਸੈਟਿੰਗਾਂ
1. Quartus Prime ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਆਪਣਾ Nios V ਪ੍ਰੋਸੈਸਰ ਪ੍ਰੋਜੈਕਟ ਬਣਾਓ। 2. ਯਕੀਨੀ ਬਣਾਓ ਕਿ ਤੁਹਾਡੇ ਪਲੇਟਫਾਰਮ ਵਿੱਚ ਬਾਹਰੀ RAM ਜਾਂ ਆਨ-ਚਿੱਪ ਮੈਮੋਰੀ (OCRAM) ਜੋੜੀ ਗਈ ਹੈ।
ਡਿਜ਼ਾਈਨਰ ਸਿਸਟਮ।

ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 59

4. Nios V ਪ੍ਰੋਸੈਸਰ ਸੰਰਚਨਾ ਅਤੇ ਬੂਟਿੰਗ ਹੱਲ 726952 | 2025.07.16
ਚਿੱਤਰ 34. ਸਾਬਕਾampਓਨਚਿੱਪ ਫਲੈਸ਼ (UFM) ਤੋਂ Nios V ਨੂੰ ਬੂਟ ਕਰਨ ਲਈ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਵਿੱਚ IP ਕਨੈਕਸ਼ਨ

3. ਔਨ-ਚਿੱਪ ਫਲੈਸ਼ ਆਈਪੀ ਪੈਰਾਮੀਟਰ ਐਡੀਟਰ ਵਿੱਚ, ਆਪਣੀ ਡਿਜ਼ਾਈਨ ਪਸੰਦ ਦੇ ਅਨੁਸਾਰ, ਕੌਂਫਿਗਰੇਸ਼ਨ ਮੋਡ ਨੂੰ ਹੇਠ ਲਿਖਿਆਂ ਵਿੱਚੋਂ ਇੱਕ 'ਤੇ ਸੈੱਟ ਕਰੋ: · ਸਿੰਗਲ ਅਨਕੰਪਰੈੱਸਡ ਇਮੇਜ · ਸਿੰਗਲ ਕੰਪਰੈੱਸਡ ਇਮੇਜ ਮੈਮੋਰੀ ਇਨੀਸ਼ੀਏਲਾਈਜ਼ੇਸ਼ਨ ਦੇ ਨਾਲ · ਸਿੰਗਲ ਕੰਪਰੈੱਸਡ ਇਮੇਜ ਮੈਮੋਰੀ ਇਨੀਸ਼ੀਏਲਾਈਜ਼ੇਸ਼ਨ ਦੇ ਨਾਲ
ਦੋਹਰੇ ਸੰਕੁਚਿਤ ਚਿੱਤਰਾਂ ਬਾਰੇ ਵਧੇਰੇ ਜਾਣਕਾਰੀ ਲਈ, MAX 10 FPGA ਸੰਰਚਨਾ ਉਪਭੋਗਤਾ ਗਾਈਡ - ਰਿਮੋਟ ਸਿਸਟਮ ਅੱਪਗ੍ਰੇਡ ਵੇਖੋ।

ਨੋਟ:

ਤੁਹਾਨੂੰ ਔਨ-ਚਿੱਪ ਫਲੈਸ਼ IP ਵਿੱਚ ਹਰੇਕ CFM ਖੇਤਰਾਂ ਨੂੰ ਲੁਕਵੀਂ ਪਹੁੰਚ ਨਿਰਧਾਰਤ ਕਰਨੀ ਚਾਹੀਦੀ ਹੈ।

ਚਿੱਤਰ 35. ਔਨ-ਚਿੱਪ ਫਲੈਸ਼ ਪੈਰਾਮੀਟਰ ਐਡੀਟਰ ਵਿੱਚ ਕੌਂਫਿਗਰੇਸ਼ਨ ਮੋਡ ਚੋਣ

ਆਨ-ਚਿੱਪ ਫਲੈਸ਼ ਆਈਪੀ ਸੈਟਿੰਗਾਂ - ਯੂਐਫਐਮ ਸ਼ੁਰੂਆਤੀਕਰਨ ਤੁਸੀਂ ਆਪਣੀ ਪਸੰਦ ਦੇ ਅਨੁਸਾਰ ਹੇਠ ਲਿਖਿਆਂ ਵਿੱਚੋਂ ਇੱਕ ਵਿਧੀ ਚੁਣ ਸਕਦੇ ਹੋ:

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 60

ਫੀਡਬੈਕ ਭੇਜੋ

4. Nios V ਪ੍ਰੋਸੈਸਰ ਸੰਰਚਨਾ ਅਤੇ ਬੂਟਿੰਗ ਹੱਲ 726952 | 2025.07.16

ਨੋਟ:

ਅਗਲੇ ਉਪ-ਅਧਿਆਇਆਂ (ਸਾਫਟਵੇਅਰ ਡਿਜ਼ਾਈਨ ਫਲੋ ਅਤੇ ਪ੍ਰੋਗਰਾਮਿੰਗ) ਦੇ ਕਦਮ ਇੱਥੇ ਤੁਹਾਡੇ ਦੁਆਰਾ ਕੀਤੀ ਗਈ ਚੋਣ 'ਤੇ ਨਿਰਭਰ ਕਰਦੇ ਹਨ।

· ਢੰਗ 1: ਸੰਕਲਨ ਦੌਰਾਨ SOF ਵਿੱਚ UFM ਡੇਟਾ ਨੂੰ ਸ਼ੁਰੂ ਕਰੋ।
ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੰਕਲਨ ਦੌਰਾਨ SOF ਵਿੱਚ UFM ਸ਼ੁਰੂਆਤੀ ਡੇਟਾ ਸ਼ਾਮਲ ਕਰਦਾ ਹੈ। ਜੇਕਰ UFM ਡੇਟਾ ਵਿੱਚ ਬਦਲਾਅ ਹੁੰਦੇ ਹਨ ਤਾਂ SOF ਮੁੜ-ਸੰਕਲਨ ਦੀ ਲੋੜ ਹੁੰਦੀ ਹੈ।
1. ਫਲੈਸ਼ ਸਮੱਗਰੀ ਨੂੰ ਸ਼ੁਰੂ ਕਰੋ ਅਤੇ ਗੈਰ-ਡਿਫਾਲਟ ਸ਼ੁਰੂਆਤੀਕਰਨ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ ਦੀ ਜਾਂਚ ਕਰੋ file.

ਚਿੱਤਰ 36. ਫਲੈਸ਼ ਸਮੱਗਰੀ ਸ਼ੁਰੂ ਕਰੋ ਅਤੇ ਗੈਰ-ਡਿਫਾਲਟ ਸ਼ੁਰੂਆਤ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ File

2. ਤਿਆਰ ਕੀਤੇ .hex ਦਾ ਮਾਰਗ ਦੱਸੋ। file (elf2hex ਕਮਾਂਡ ਤੋਂ) ਯੂਜ਼ਰ ਦੁਆਰਾ ਬਣਾਏ ਗਏ ਹੈਕਸ ਜਾਂ mif ਵਿੱਚ file.
ਚਿੱਤਰ 37. .hex ਜੋੜਨਾ File ਮਾਰਗ

· ਢੰਗ 2: POF ਜਨਰੇਸ਼ਨ ਦੌਰਾਨ UFM ਡੇਟਾ ਨੂੰ ਇੱਕ ਕੰਪਾਇਲ ਕੀਤੇ SOF ਨਾਲ ਜੋੜੋ।
ਪ੍ਰੋਗਰਾਮਿੰਗ ਨੂੰ ਬਦਲਦੇ ਸਮੇਂ UFM ਡੇਟਾ ਨੂੰ ਕੰਪਾਇਲ ਕੀਤੇ SOF ਨਾਲ ਜੋੜਿਆ ਜਾਂਦਾ ਹੈ। files. ਤੁਹਾਨੂੰ SOF ਨੂੰ ਦੁਬਾਰਾ ਕੰਪਾਇਲ ਕਰਨ ਦੀ ਲੋੜ ਨਹੀਂ ਹੈ, ਭਾਵੇਂ UFM ਡੇਟਾ ਬਦਲ ਜਾਵੇ। ਵਿਕਾਸ ਦੌਰਾਨ, ਤੁਹਾਨੂੰ SOF ਨੂੰ ਦੁਬਾਰਾ ਕੰਪਾਇਲ ਕਰਨ ਦੀ ਲੋੜ ਨਹੀਂ ਹੈ। fileਐਪਲੀਕੇਸ਼ਨ ਵਿੱਚ ਬਦਲਾਵਾਂ ਲਈ s। Alterare ਐਪਲੀਕੇਸ਼ਨ ਡਿਵੈਲਪਰਾਂ ਲਈ ਇਸ ਵਿਧੀ ਦੀ ਸਿਫ਼ਾਰਸ਼ ਕਰਦਾ ਹੈ।
1. ਫਲੈਸ਼ ਸਮੱਗਰੀ ਨੂੰ ਸ਼ੁਰੂ ਕਰੋ ਤੋਂ ਨਿਸ਼ਾਨ ਹਟਾਓ..
ਚਿੱਤਰ 38. ਗੈਰ-ਡਿਫਾਲਟ ਸ਼ੁਰੂਆਤੀਕਰਨ ਨਾਲ ਫਲੈਸ਼ ਸਮੱਗਰੀ ਨੂੰ ਸ਼ੁਰੂ ਕਰੋ File

Nios V ਪ੍ਰੋਸੈਸਰ ਐਗਜ਼ੀਕਿਊਟ-ਇਨ-ਪਲੇਸ ਵਿਧੀ ਲਈ ਏਜੰਟ ਸੈਟਿੰਗਾਂ ਰੀਸੈਟ ਕਰੋ
1. Nios V ਪ੍ਰੋਸੈਸਰ ਪੈਰਾਮੀਟਰ ਐਡੀਟਰ ਵਿੱਚ, ਰੀਸੈਟ ਏਜੰਟ ਨੂੰ ਆਨ-ਚਿੱਪ ਫਲੈਸ਼ 'ਤੇ ਸੈੱਟ ਕਰੋ।
ਚਿੱਤਰ 39. ਰੀਸੈਟ ਏਜੰਟ ਦੇ ਨਾਲ Nios V ਪ੍ਰੋਸੈਸਰ ਪੈਰਾਮੀਟਰ ਐਡੀਟਰ ਸੈਟਿੰਗਾਂ ਆਨ-ਚਿੱਪ ਫਲੈਸ਼ ਤੇ ਸੈੱਟ ਕੀਤੀਆਂ ਗਈਆਂ ਹਨ।

2. ਜਦੋਂ ਜਨਰੇਸ਼ਨ ਡਾਇਲਾਗ ਬਾਕਸ ਦਿਖਾਈ ਦਿੰਦਾ ਹੈ ਤਾਂ Generate HDL 'ਤੇ ਕਲਿੱਕ ਕਰੋ। 3. ਆਉਟਪੁੱਟ ਦੱਸੋ। file ਜਨਰੇਸ਼ਨ ਵਿਕਲਪਾਂ 'ਤੇ ਕਲਿੱਕ ਕਰੋ ਅਤੇ ਜਨਰੇਟ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।

ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 61

4. Nios V ਪ੍ਰੋਸੈਸਰ ਸੰਰਚਨਾ ਅਤੇ ਬੂਟਿੰਗ ਹੱਲ 726952 | 2025.07.16
ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸਾਫਟਵੇਅਰ ਸੈਟਿੰਗਾਂ 1. ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸਾਫਟਵੇਅਰ ਵਿੱਚ, ਅਸਾਈਨਮੈਂਟਸ ਡਿਵਾਈਸ ਡਿਵਾਈਸ ਤੇ ਕਲਿੱਕ ਕਰੋ ਅਤੇ ਪਿੰਨ ਕਰੋ।
ਵਿਕਲਪ ਸੰਰਚਨਾ। ਔਨ-ਚਿੱਪ ਫਲੈਸ਼ ਆਈਪੀ ਵਿੱਚ ਸੈਟਿੰਗ ਦੇ ਅਨੁਸਾਰ ਸੰਰਚਨਾ ਮੋਡ ਸੈੱਟ ਕਰੋ। ਚਿੱਤਰ 40. ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਵਿੱਚ ਸੰਰਚਨਾ ਮੋਡ ਚੋਣ

2. ਡਿਵਾਈਸ ਅਤੇ ਪਿੰਨ ਵਿਕਲਪ ਵਿੰਡੋ ਤੋਂ ਬਾਹਰ ਆਉਣ ਲਈ ਠੀਕ ਹੈ 'ਤੇ ਕਲਿੱਕ ਕਰੋ,
3. ਡਿਵਾਈਸ ਵਿੰਡੋ ਤੋਂ ਬਾਹਰ ਆਉਣ ਲਈ ਠੀਕ ਹੈ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
4. ਆਪਣੇ ਪ੍ਰੋਜੈਕਟ ਨੂੰ ਕੰਪਾਇਲ ਕਰਨ ਅਤੇ .sof ਤਿਆਰ ਕਰਨ ਲਈ ਪ੍ਰੋਸੈਸਿੰਗ ਸਟਾਰਟ ਕੰਪਾਈਲੇਸ਼ਨ 'ਤੇ ਕਲਿੱਕ ਕਰੋ। file.

ਨੋਟ:

ਜੇਕਰ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੌਫਟਵੇਅਰ ਅਤੇ ਪਲੇਟਫਾਰਮ ਡਿਜ਼ਾਈਨਰ ਪੈਰਾਮੀਟਰ ਐਡੀਟਰ ਵਿੱਚ ਕੌਂਫਿਗਰੇਸ਼ਨ ਮੋਡ ਸੈਟਿੰਗ ਵੱਖਰੀ ਹੈ, ਤਾਂ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਪ੍ਰੋਜੈਕਟ ਹੇਠਾਂ ਦਿੱਤੇ ਗਲਤੀ ਸੁਨੇਹੇ ਨਾਲ ਅਸਫਲ ਹੋ ਜਾਂਦਾ ਹੈ।

ਚਿੱਤਰ 41.

ਵੱਖ-ਵੱਖ ਸੰਰਚਨਾ ਮੋਡ ਸੈਟਿੰਗ ਲਈ ਗਲਤੀ ਸੁਨੇਹਾ ਗਲਤੀ (14740): ਐਟਮ “q_sys:q_sys_inst| altera_onchip_flash:onchip_flash_1|altera_onchip_flash_block: altera_onchip_flash_block|ufm_block” ਤੇ ਸੰਰਚਨਾ ਮੋਡ ਪ੍ਰੋਜੈਕਟ ਸੈਟਿੰਗ ਨਾਲ ਮੇਲ ਨਹੀਂ ਖਾਂਦਾ। ਪ੍ਰੋਜੈਕਟ ਸੈਟਿੰਗ ਨਾਲ ਮੇਲ ਕਰਨ ਲਈ Qsys ਸਿਸਟਮ ਨੂੰ ਅੱਪਡੇਟ ਅਤੇ ਰੀਜਨਰੇਟ ਕਰੋ।

ਸੰਬੰਧਿਤ ਜਾਣਕਾਰੀ MAX 10 FPGA ਸੰਰਚਨਾ ਉਪਭੋਗਤਾ ਗਾਈਡ

4.5.2.2. ਸਾਫਟਵੇਅਰ ਡਿਜ਼ਾਈਨ ਪ੍ਰਵਾਹ
ਇਹ ਭਾਗ Nios V ਪ੍ਰੋਸੈਸਰ ਸਾਫਟਵੇਅਰ ਪ੍ਰੋਜੈਕਟ ਨੂੰ ਤਿਆਰ ਕਰਨ ਅਤੇ ਬਣਾਉਣ ਲਈ ਡਿਜ਼ਾਈਨ ਪ੍ਰਵਾਹ ਪ੍ਰਦਾਨ ਕਰਦਾ ਹੈ। ਇੱਕ ਸੁਚਾਰੂ ਬਿਲਡ ਪ੍ਰਵਾਹ ਨੂੰ ਯਕੀਨੀ ਬਣਾਉਣ ਲਈ, ਤੁਹਾਨੂੰ ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਪ੍ਰੋਜੈਕਟ ਵਿੱਚ ਇੱਕ ਸਮਾਨ ਡਾਇਰੈਕਟਰੀ ਟ੍ਰੀ ਬਣਾਉਣ ਲਈ ਉਤਸ਼ਾਹਿਤ ਕੀਤਾ ਜਾਂਦਾ ਹੈ। ਹੇਠਾਂ ਦਿੱਤਾ ਸਾਫਟਵੇਅਰ ਡਿਜ਼ਾਈਨ ਪ੍ਰਵਾਹ ਇਸ ਡਾਇਰੈਕਟਰੀ ਟ੍ਰੀ 'ਤੇ ਅਧਾਰਤ ਹੈ।
ਸਾਫਟਵੇਅਰ ਪ੍ਰੋਜੈਕਟ ਡਾਇਰੈਕਟਰੀ ਟ੍ਰੀ ਬਣਾਉਣ ਲਈ, ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ: 1. ਆਪਣੇ ਡਿਜ਼ਾਈਨ ਪ੍ਰੋਜੈਕਟ ਫੋਲਡਰ ਵਿੱਚ, ਸਾਫਟਵੇਅਰ ਨਾਮਕ ਇੱਕ ਫੋਲਡਰ ਬਣਾਓ। 2. ਸਾਫਟਵੇਅਰ ਫੋਲਡਰ ਵਿੱਚ, hal_app ਅਤੇ hal_bsp ਨਾਮਕ ਦੋ ਫੋਲਡਰ ਬਣਾਓ।
ਚਿੱਤਰ 42. ਸਾਫਟਵੇਅਰ ਪ੍ਰੋਜੈਕਟ ਡਾਇਰੈਕਟਰੀ ਟ੍ਰੀ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 62

ਫੀਡਬੈਕ ਭੇਜੋ

4. Nios V ਪ੍ਰੋਸੈਸਰ ਸੰਰਚਨਾ ਅਤੇ ਬੂਟਿੰਗ ਹੱਲ 726952 | 2025.07.16
ਐਪਲੀਕੇਸ਼ਨ BSP ਪ੍ਰੋਜੈਕਟ ਬਣਾਉਣਾ
BSP ਐਡੀਟਰ ਲਾਂਚ ਕਰਨ ਲਈ, ਇਹਨਾਂ ਕਦਮਾਂ ਦੀ ਪਾਲਣਾ ਕਰੋ: 1. Nios V ਕਮਾਂਡ ਸ਼ੈੱਲ ਦਰਜ ਕਰੋ। 2. niosv-bsp-editor ਕਮਾਂਡ ਨਾਲ BSP ਐਡੀਟਰ ਨੂੰ ਇਨਵੋਕ ਕਰੋ। 3. BSP ਐਡੀਟਰ ਵਿੱਚ, ਕਲਿੱਕ ਕਰੋ File ਤੁਹਾਡਾ BSP ਪ੍ਰੋਜੈਕਟ ਸ਼ੁਰੂ ਕਰਨ ਲਈ ਨਵਾਂ BSP। 4. ਹੇਠ ਲਿਖੀਆਂ ਸੈਟਿੰਗਾਂ ਨੂੰ ਕੌਂਫਿਗਰ ਕਰੋ:
· SOPC ਜਾਣਕਾਰੀ File ਨਾਮ: SOPCINFO ਪ੍ਰਦਾਨ ਕਰੋ file (.sopcinfo). · CPU ਨਾਮ: Nios V ਪ੍ਰੋਸੈਸਰ ਚੁਣੋ। · ਓਪਰੇਟਿੰਗ ਸਿਸਟਮ: Nios V ਪ੍ਰੋਸੈਸਰ ਦਾ ਓਪਰੇਟਿੰਗ ਸਿਸਟਮ ਚੁਣੋ। · ਸੰਸਕਰਣ: ਡਿਫਾਲਟ ਵਜੋਂ ਛੱਡੋ। · BSP ਟਾਰਗੇਟ ਡਾਇਰੈਕਟਰੀ: BSP ਪ੍ਰੋਜੈਕਟ ਦਾ ਡਾਇਰੈਕਟਰੀ ਮਾਰਗ ਚੁਣੋ। ਤੁਸੀਂ ਕਰ ਸਕਦੇ ਹੋ
ਇਸਨੂੰ ਪਹਿਲਾਂ ਤੋਂ ਸੈੱਟ ਕਰੋ /software/hal_bsp ਡਿਫਾਲਟ ਸਥਾਨਾਂ ਦੀ ਵਰਤੋਂ ਨੂੰ ਸਮਰੱਥ ਬਣਾ ਕੇ। · BSP ਸੈਟਿੰਗਾਂ File ਨਾਮ: BSP ਸੈਟਿੰਗਾਂ ਦਾ ਨਾਮ ਟਾਈਪ ਕਰੋ। File. · ਵਾਧੂ Tcl ਸਕ੍ਰਿਪਟਾਂ: ​​ਵਾਧੂ Tcl ਸਕ੍ਰਿਪਟ ਨੂੰ ਸਮਰੱਥ ਬਣਾ ਕੇ ਇੱਕ BSP Tcl ਸਕ੍ਰਿਪਟ ਪ੍ਰਦਾਨ ਕਰੋ। 5. ਠੀਕ ਹੈ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
ਚਿੱਤਰ 43. ਨਵੀਂ BSP ਨੂੰ ਕੌਂਫਿਗਰ ਕਰੋ

BSP ਐਡੀਟਰ ਨੂੰ ਕੌਂਫਿਗਰ ਕਰਨਾ ਅਤੇ BSP ਪ੍ਰੋਜੈਕਟ ਤਿਆਰ ਕਰਨਾ
ਤੁਸੀਂ ਆਪਣੀ ਡਿਜ਼ਾਈਨ ਪਸੰਦ ਦੇ ਆਧਾਰ 'ਤੇ ਪ੍ਰੋਸੈਸਰ ਦੇ ਅਪਵਾਦ ਵੈਕਟਰ ਨੂੰ ਔਨ-ਚਿੱਪ ਮੈਮੋਰੀ (OCRAM) ਜਾਂ ਔਨ-ਚਿੱਪ ਫਲੈਸ਼ ਵਿੱਚ ਪਰਿਭਾਸ਼ਿਤ ਕਰ ਸਕਦੇ ਹੋ। ਇੰਟਰੱਪਟ ਪ੍ਰੋਸੈਸਿੰਗ ਨੂੰ ਤੇਜ਼ ਕਰਨ ਲਈ ਅਪਵਾਦ ਵੈਕਟਰ ਮੈਮੋਰੀ ਨੂੰ OCRAM/ਬਾਹਰੀ RAM 'ਤੇ ਸੈੱਟ ਕਰਨ ਦੀ ਸਿਫਾਰਸ਼ ਕੀਤੀ ਜਾਂਦੀ ਹੈ। 1. ਮੁੱਖ ਸੈਟਿੰਗਾਂ ਐਡਵਾਂਸਡ hal.linker 'ਤੇ ਜਾਓ। 2. ਜੇਕਰ ਤੁਸੀਂ ਔਨ-ਚਿੱਪ ਫਲੈਸ਼ ਨੂੰ ਅਪਵਾਦ ਵੈਕਟਰ ਵਜੋਂ ਚੁਣਦੇ ਹੋ,
a. ਹੇਠ ਲਿਖੀਆਂ ਸੈਟਿੰਗਾਂ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ:

ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 63

4. Nios V ਪ੍ਰੋਸੈਸਰ ਸੰਰਚਨਾ ਅਤੇ ਬੂਟਿੰਗ ਹੱਲ 726952 | 2025.07.16
· allow_code_at_reset · enable_alt_load · enable_alt_load_copy_rodata · enable_alt_load_copy_rwdata ਚਿੱਤਰ 44. Advanced.hal.linker ਸੈਟਿੰਗਾਂ

b. BSP ਐਡੀਟਰ ਵਿੱਚ ਲਿੰਕਰ ਸਕ੍ਰਿਪਟ ਟੈਬ 'ਤੇ ਕਲਿੱਕ ਕਰੋ। c. ਲਿੰਕਰ ਸੈਕਸ਼ਨ ਨਾਮ ਵਿੱਚ .exceptions ਅਤੇ .text ਖੇਤਰਾਂ ਨੂੰ ਇਸ 'ਤੇ ਸੈੱਟ ਕਰੋ
ਔਨ-ਚਿੱਪ ਫਲੈਸ਼। d. ਲਿੰਕਰ ਸੈਕਸ਼ਨ ਨਾਮ ਸੂਚੀ ਵਿੱਚ ਬਾਕੀ ਖੇਤਰਾਂ ਨੂੰ ਔਨ-ਚਿੱਪ ਤੇ ਸੈੱਟ ਕਰੋ।
ਮੈਮੋਰੀ (OCRAM) ਜਾਂ ਬਾਹਰੀ RAM।
ਚਿੱਤਰ 45. ਲਿੰਕਰ ਖੇਤਰ ਸੈਟਿੰਗਾਂ (ਅਪਵਾਦ ਵੈਕਟਰ ਮੈਮੋਰੀ: ਆਨ-ਚਿੱਪ ਫਲੈਸ਼)

3. ਜੇਕਰ ਤੁਸੀਂ OCRAM/External RAM ਨੂੰ ਅਪਵਾਦ ਵੈਕਟਰ ਵਜੋਂ ਚੁਣਦੇ ਹੋ, ਤਾਂ a. ਹੇਠ ਲਿਖੀਆਂ ਸੈਟਿੰਗਾਂ ਨੂੰ ਸਮਰੱਥ ਬਣਾਓ: · allow_code_at_reset · enable_alt_load · enable_alt_load_copy_rodata · enable_alt_load_copy_rwdata · enable_alt_load_copy_exception
ਚਿੱਤਰ 46. ਲਿੰਕਰ ਖੇਤਰ ਸੈਟਿੰਗਾਂ (ਅਪਵਾਦ ਵੈਕਟਰ ਮੈਮੋਰੀ: OCRAM/ਬਾਹਰੀ RAM)

ਅ. BSP ਐਡੀਟਰ ਵਿੱਚ ਲਿੰਕਰ ਸਕ੍ਰਿਪਟ ਟੈਬ 'ਤੇ ਕਲਿੱਕ ਕਰੋ।
c. ਲਿੰਕਰ ਸੈਕਸ਼ਨ ਨਾਮ ਵਿੱਚ the.text ਖੇਤਰਾਂ ਨੂੰ ਔਨ-ਚਿੱਪ ਫਲੈਸ਼ ਤੇ ਸੈੱਟ ਕਰੋ।
d. ਲਿੰਕਰ ਸੈਕਸ਼ਨ ਨਾਮ ਸੂਚੀ ਵਿੱਚ ਬਾਕੀ ਖੇਤਰਾਂ ਨੂੰ ਔਨ-ਚਿੱਪ ਮੈਮੋਰੀ (OCRAM) ਜਾਂ ਬਾਹਰੀ RAM ਤੇ ਸੈੱਟ ਕਰੋ।

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 64

ਫੀਡਬੈਕ ਭੇਜੋ

4. Nios V ਪ੍ਰੋਸੈਸਰ ਸੰਰਚਨਾ ਅਤੇ ਬੂਟਿੰਗ ਹੱਲ 726952 | 2025.07.16
ਚਿੱਤਰ 47. ਲਿੰਕਰ ਖੇਤਰ ਸੈਟਿੰਗਾਂ (ਅਪਵਾਦ ਵੈਕਟਰ ਮੈਮੋਰੀ: OCRAM)
4. BSP ਪ੍ਰੋਜੈਕਟ ਤਿਆਰ ਕਰਨ ਲਈ ਜਨਰੇਟ 'ਤੇ ਕਲਿੱਕ ਕਰੋ। ਯੂਜ਼ਰ ਐਪਲੀਕੇਸ਼ਨ ਪ੍ਰੋਜੈਕਟ ਤਿਆਰ ਕਰਨਾ File 1. ਸਾਫਟਵੇਅਰ/ਹਾਲ_ਐਪ ਫੋਲਡਰ 'ਤੇ ਜਾਓ ਅਤੇ ਆਪਣਾ ਐਪਲੀਕੇਸ਼ਨ ਸਰੋਤ ਬਣਾਓ।
ਕੋਡ। 2. Nios V ਕਮਾਂਡ ਸ਼ੈੱਲ ਲਾਂਚ ਕਰੋ। 3. CMakeLists.txt ਐਪਲੀਕੇਸ਼ਨ ਤਿਆਰ ਕਰਨ ਲਈ ਹੇਠਾਂ ਦਿੱਤੀ ਕਮਾਂਡ ਚਲਾਓ।
niosv-ਐਪ –ਐਪ-ਡਾਇਰ=ਸਾਫਟਵੇਅਰ/ਹਾਲ_ਐਪ –bsp-ਡਾਇਰ=ਸਾਫਟਵੇਅਰ/ਹਾਲ_ਬੱਸਪ –srcs=ਸਾਫਟਵੇਅਰ/ਹਾਲ_ਐਪ/
ਯੂਜ਼ਰ ਐਪਲੀਕੇਸ਼ਨ ਪ੍ਰੋਜੈਕਟ ਬਣਾਉਣਾ ਤੁਸੀਂ Altera FPGAs ਲਈ Ashling RiscFree IDE ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਜਾਂ ਕਮਾਂਡ ਲਾਈਨ ਇੰਟਰਫੇਸ (CLI) ਰਾਹੀਂ ਯੂਜ਼ਰ ਐਪਲੀਕੇਸ਼ਨ ਪ੍ਰੋਜੈਕਟ ਬਣਾਉਣਾ ਚੁਣ ਸਕਦੇ ਹੋ। ਜੇਕਰ ਤੁਸੀਂ CLI ਦੀ ਵਰਤੋਂ ਕਰਨਾ ਪਸੰਦ ਕਰਦੇ ਹੋ, ਤਾਂ ਤੁਸੀਂ ਹੇਠ ਲਿਖੀ ਕਮਾਂਡ ਦੀ ਵਰਤੋਂ ਕਰਕੇ ਯੂਜ਼ਰ ਐਪਲੀਕੇਸ਼ਨ ਬਣਾ ਸਕਦੇ ਹੋ: cmake -G “Unix Makefiles” -B ਸਾਫਟਵੇਅਰ/hal_app/ਬਿਲਡ -S ਸਾਫਟਵੇਅਰ/hal_app ਬਣਾਉ -C ਸਾਫਟਵੇਅਰ/hal_app/ਬਿਲਡ
ਐਪਲੀਕੇਸ਼ਨ (.elf) file ਸਾਫਟਵੇਅਰ/hal_app/build ਫੋਲਡਰ ਵਿੱਚ ਬਣਾਇਆ ਗਿਆ ਹੈ। HEX ਤਿਆਰ ਕੀਤਾ ਜਾ ਰਿਹਾ ਹੈ File ਤੁਹਾਨੂੰ ਇੱਕ .hex ਤਿਆਰ ਕਰਨਾ ਚਾਹੀਦਾ ਹੈ। file ਤੁਹਾਡੀ ਐਪਲੀਕੇਸ਼ਨ .elf ਤੋਂ file, ਤਾਂ ਜੋ ਤੁਸੀਂ ਇੱਕ .pof ਬਣਾ ਸਕੋ file ਡਿਵਾਈਸਾਂ ਦੀ ਪ੍ਰੋਗਰਾਮਿੰਗ ਲਈ ਢੁਕਵਾਂ। 1. Nios V ਕਮਾਂਡ ਸ਼ੈੱਲ ਲਾਂਚ ਕਰੋ। 2. ਆਨ-ਚਿੱਪ ਫਲੈਸ਼ ਤੋਂ Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਬੂਟ ਕਰਨ ਲਈ, ਹੇਠ ਲਿਖਿਆਂ ਦੀ ਵਰਤੋਂ ਕਰੋ
ਤੁਹਾਡੀ ਐਪਲੀਕੇਸ਼ਨ ਲਈ ELF ਨੂੰ HEX ਵਿੱਚ ਬਦਲਣ ਲਈ ਕਮਾਂਡ ਲਾਈਨ। ਇਹ ਕਮਾਂਡ ਯੂਜ਼ਰ ਐਪਲੀਕੇਸ਼ਨ (onchip_flash.hex) ਬਣਾਉਂਦੀ ਹੈ। file. elf2hex ਸਾਫਟਵੇਅਰ/hal_app/build/ .elf -o onchip_flash.hex
-ਬੀ -w 8 -e 3. ਜੇਕਰ ਤੁਸੀਂ ਔਨ-ਚਿੱਪ ਫਲੈਸ਼ ਆਈਪੀ (ਵਿਧੀ 1) ਵਿੱਚ ਇਨਿਸ਼ੀਅਲਾਈਜ਼ ਮੈਮੋਰੀ ਕੰਟੈਂਟ ਵਿਕਲਪ ਦੀ ਜਾਂਚ ਕਰਦੇ ਹੋ ਤਾਂ ਹਾਰਡਵੇਅਰ ਡਿਜ਼ਾਈਨ ਨੂੰ ਦੁਬਾਰਾ ਕੰਪਾਇਲ ਕਰੋ। ਇਹ SOF ਵਿੱਚ ਸਾਫਟਵੇਅਰ ਡੇਟਾ (.HEX) ਨੂੰ ਸ਼ਾਮਲ ਕਰਨ ਲਈ ਹੈ। file.

ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 65

4. Nios V ਪ੍ਰੋਸੈਸਰ ਸੰਰਚਨਾ ਅਤੇ ਬੂਟਿੰਗ ਹੱਲ 726952 | 2025.07.16
4.5.2.3. ਪ੍ਰੋਗਰਾਮਿੰਗ 1. ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਵਿੱਚ, ਕਲਿੱਕ ਕਰੋ File ਪ੍ਰੋਗਰਾਮਿੰਗ ਨੂੰ ਬਦਲੋ Fileਸ. 2. ਆਉਟਪੁੱਟ ਪ੍ਰੋਗਰਾਮਿੰਗ ਦੇ ਅਧੀਨ file, ਪ੍ਰੋਗਰਾਮਰ ਆਬਜੈਕਟ ਚੁਣੋ। File (.pof) ਪ੍ਰੋਗਰਾਮਿੰਗ ਵਜੋਂ file ਕਿਸਮ। 3. ਮੋਡ ਨੂੰ ਅੰਦਰੂਨੀ ਸੰਰਚਨਾ 'ਤੇ ਸੈੱਟ ਕਰੋ।
ਚਿੱਤਰ 48. ਪ੍ਰੋਗਰਾਮਿੰਗ ਨੂੰ ਬਦਲੋ File ਸੈਟਿੰਗਾਂ
4. ਵਿਕਲਪ/ਬੂਟ ਜਾਣਕਾਰੀ… 'ਤੇ ਕਲਿੱਕ ਕਰੋ, MAX 10 ਡਿਵਾਈਸ ਵਿਕਲਪ ਵਿੰਡੋ ਦਿਖਾਈ ਦੇਵੇਗੀ। 5. ਆਨ-ਚਿੱਪ ਫਲੈਸ਼ ਆਈਪੀ ਵਿੱਚ ਫਲੈਸ਼ ਸਮੱਗਰੀ ਸੈਟਿੰਗਾਂ ਨੂੰ ਸ਼ੁਰੂ ਕਰਨ ਦੇ ਆਧਾਰ 'ਤੇ, ਪ੍ਰਦਰਸ਼ਨ ਕਰੋ
ਹੇਠ ਲਿਖੇ ਕਦਮਾਂ ਵਿੱਚੋਂ ਇੱਕ: · ਜੇਕਰ ਇਨਿਸ਼ੀਅਲਾਈਜ਼ ਫਲੈਸ਼ ਸਮੱਗਰੀ ਦੀ ਜਾਂਚ ਕੀਤੀ ਜਾਂਦੀ ਹੈ (ਵਿਧੀ 1), ਤਾਂ UFM ਇਨਿਸ਼ੀਅਲਾਈਜ਼ੇਸ਼ਨ ਡੇਟਾ
ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ ਸੰਕਲਨ ਦੌਰਾਨ SOF ਵਿੱਚ ਸ਼ਾਮਲ ਕੀਤਾ ਗਿਆ ਸੀ। — UFM ਸਰੋਤ ਲਈ Page_0 ਚੁਣੋ: ਵਿਕਲਪ। ਠੀਕ ਹੈ 'ਤੇ ਕਲਿੱਕ ਕਰੋ ਅਤੇ ਅੱਗੇ ਵਧੋ
ਅੱਗੇ। ਚਿੱਤਰ 49। ਜੇਕਰ ਸ਼ੁਰੂਆਤੀ ਫਲੈਸ਼ ਸਮੱਗਰੀ ਦੀ ਜਾਂਚ ਕੀਤੀ ਜਾਂਦੀ ਹੈ ਤਾਂ UFM ਸਰੋਤ ਲਈ ਪੰਨਾ_0 ਸੈੱਟ ਕਰਨਾ।

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 66

ਫੀਡਬੈਕ ਭੇਜੋ

4. Nios V ਪ੍ਰੋਸੈਸਰ ਸੰਰਚਨਾ ਅਤੇ ਬੂਟਿੰਗ ਹੱਲ 726952 | 2025.07.16
· ਜੇਕਰ ਇਨਿਸ਼ੀਅਲਾਈਜ਼ ਫਲੈਸ਼ ਸਮੱਗਰੀ ਦੀ ਜਾਂਚ ਨਹੀਂ ਕੀਤੀ ਗਈ ਹੈ (ਵਿਧੀ 2), ਤਾਂ ਲੋਡ ਮੈਮੋਰੀ ਚੁਣੋ। file UFM ਸਰੋਤ ਵਿਕਲਪ ਲਈ। ਤਿਆਰ ਕੀਤੇ ਆਨ-ਚਿੱਪ ਫਲੈਸ਼ HEX ਤੇ ਬ੍ਰਾਊਜ਼ ਕਰੋ file (onchip_flash.hex) ਵਿੱਚ File ਮਾਰਗ: ਅਤੇ ਠੀਕ ਹੈ ਤੇ ਕਲਿਕ ਕਰੋ। ਇਹ ਕਦਮ SOF ਵਿੱਚ ਵੱਖਰੇ ਤੌਰ 'ਤੇ UFM ਡੇਟਾ ਜੋੜਦਾ ਹੈ। file ਪ੍ਰੋਗਰਾਮਿੰਗ ਦੌਰਾਨ file ਤਬਦੀਲੀ.
ਚਿੱਤਰ 50. ਲੋਡ ਮੈਮੋਰੀ ਸੈੱਟ ਕਰਨਾ File ਜੇਕਰ ਇਨਿਸ਼ੀਅਲਾਈਜ਼ ਫਲੈਸ਼ ਸਮੱਗਰੀ ਦੀ ਜਾਂਚ ਨਹੀਂ ਕੀਤੀ ਜਾਂਦੀ ਤਾਂ UFM ਸਰੋਤ ਲਈ

6. ਕਨਵਰਟ ਪ੍ਰੋਗਰਾਮਿੰਗ ਵਿੱਚ File ਇਨਪੁਟ 'ਤੇ, ਡਾਇਲਾਗ ਬਾਕਸ files ਭਾਗ ਨੂੰ ਬਦਲਣ ਲਈ, ਜੋੜੋ 'ਤੇ ਕਲਿੱਕ ਕਰੋ। File… ਅਤੇ ਤਿਆਰ ਕੀਤੇ ਗਏ ਕੁਆਰਟਸ ਪ੍ਰਾਈਮ .sof ਵੱਲ ਇਸ਼ਾਰਾ ਕਰੋ file.
ਚਿੱਤਰ 51. ਇਨਪੁੱਟ Fileਕਨਵਰਟ ਪ੍ਰੋਗਰਾਮਿੰਗ ਵਿੱਚ ਕਨਵਰਟ ਕਰਨ ਲਈ s Fileਸਿੰਗਲ ਇਮੇਜ ਮੋਡ ਲਈ s

7. .pof ਬਣਾਉਣ ਲਈ Generate 'ਤੇ ਕਲਿੱਕ ਕਰੋ। file. 8. .pof ਨੂੰ ਪ੍ਰੋਗਰਾਮ ਕਰੋ file ਆਪਣੇ MAX 10 ਡਿਵਾਈਸ ਵਿੱਚ। 9. ਆਪਣੇ ਹਾਰਡਵੇਅਰ ਨੂੰ ਪਾਵਰ ਸਾਈਕਲ ਕਰੋ।

4.5.3. Nios V ਪ੍ਰੋਸੈਸਰ ਐਪਲੀਕੇਸ਼ਨ ਨੂੰ ਬੂਟ ਕਾਪੀਅਰ ਦੀ ਵਰਤੋਂ ਕਰਕੇ UFM ਤੋਂ RAM ਵਿੱਚ ਕਾਪੀ ਕੀਤਾ ਗਿਆ।

ਅਲਟੇਰਾ MAX 10 FPGA Nios V ਪ੍ਰੋਸੈਸਰ ਸਿਸਟਮ ਡਿਜ਼ਾਈਨ ਲਈ ਇਸ ਹੱਲ ਦੀ ਸਿਫ਼ਾਰਸ਼ ਕਰਦਾ ਹੈ ਜਿੱਥੇ ਐਪਲੀਕੇਸ਼ਨ ਸੌਫਟਵੇਅਰ ਵਿਕਾਸ ਅਤੇ ਉੱਚ ਸਿਸਟਮ ਪ੍ਰਦਰਸ਼ਨ ਦੇ ਕਈ ਦੁਹਰਾਓ ਦੀ ਲੋੜ ਹੁੰਦੀ ਹੈ। ਬੂਟ ਕਾਪੀਅਰ UFM ਦੇ ਅੰਦਰ ਇੱਕ ਆਫਸੈੱਟ 'ਤੇ ਸਥਿਤ ਹੈ ਜੋ ਰੀਸੈਟ ਵੈਕਟਰ ਦੇ ਪਤੇ ਦੇ ਸਮਾਨ ਹੈ। Nios V ਐਪਲੀਕੇਸ਼ਨ ਬੂਟ ਕਾਪੀਅਰ ਦੇ ਕੋਲ ਸਥਿਤ ਹੈ।

ਇਸ ਬੂਟ ਵਿਕਲਪ ਲਈ, Nios V ਪ੍ਰੋਸੈਸਰ ਸਿਸਟਮ ਰੀਸੈਟ ਹੋਣ 'ਤੇ ਬੂਟ ਕਾਪੀਅਰ ਨੂੰ ਚਲਾਉਣਾ ਸ਼ੁਰੂ ਕਰ ਦਿੰਦਾ ਹੈ ਤਾਂ ਜੋ ਐਪਲੀਕੇਸ਼ਨ ਨੂੰ UFM ਸੈਕਟਰ ਤੋਂ OCRAM ਜਾਂ ਬਾਹਰੀ RAM ਵਿੱਚ ਕਾਪੀ ਕੀਤਾ ਜਾ ਸਕੇ। ਇੱਕ ਵਾਰ ਕਾਪੀ ਕਰਨ ਤੋਂ ਬਾਅਦ, Nios V ਪ੍ਰੋਸੈਸਰ ਪ੍ਰੋਗਰਾਮ ਕੰਟਰੋਲ ਨੂੰ ਐਪਲੀਕੇਸ਼ਨ ਵਿੱਚ ਟ੍ਰਾਂਸਫਰ ਕਰ ਦਿੰਦਾ ਹੈ।

ਨੋਟ:

ਲਾਗੂ ਕੀਤਾ ਬੂਟ ਕਾਪੀਅਰ GSFI ਰਾਹੀਂ ਬੂਟਲੋਡਰ ਦੇ ਸਮਾਨ ਹੈ।

ਫੀਡਬੈਕ ਭੇਜੋ

Nios® V ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ ਡਿਜ਼ਾਈਨ ਹੈਂਡਬੁੱਕ 67

4. Nios V ਪ੍ਰੋਸੈਸਰ ਸੰਰਚਨਾ ਅਤੇ ਬੂਟਿੰਗ ਹੱਲ 726952 | 2025.07.16

ਚਿੱਤਰ 52. ਬੂਟ ਕਾਪੀਅਰ ਦੀ ਵਰਤੋਂ ਕਰਕੇ UFM ਤੋਂ RAM ਵਿੱਚ Nios V ਐਪਲੀਕੇਸ਼ਨ ਕਾਪੀ ਕੀਤੀ ਗਈ।

ਵੱਧ ਤੋਂ ਵੱਧ 10 ਡਿਵਾਈਸ

.ਪੀਓਐਫ
ਨਿਓਸ ਵੀ ਹਾਰਡਵੇਅਰ .ਐਸਓਐਫ
ਨਿਓਸ ਵੀ ਸਾਫਟਵੇਅਰ .HEX
ਬੂਟਲੋਡਰ .SREC

ਕੁਆਰਟਸ ਪ੍ਰੋਗਰਾਮਰ

ਬਾਹਰੀ RAM
ਨਿਓਸ ਵੀ ਸਾਫਟਵੇਅਰ

ਆਨ-ਚਿੱਪ ਫਲੈਸ਼

CFM

ਨਿਓਸ ਵੀ ਹਰਦਵਾ

ਦਸਤਾਵੇਜ਼ / ਸਰੋਤ

ਅਲਟੇਰਾ ਨਿਓਸ ਵੀ ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ [pdf] ਯੂਜ਼ਰ ਗਾਈਡ
ਨਿਓਸ ਵੀ, ਨਿਓਸ ਵੀਐਮ, ਨਿਓਸ ਵੀਜੀ, ਨਿਓਸ ਵੀਸੀ, ਨਿਓਸ ਵੀ ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ, ਨਿਓਸ ਵੀ, ਏਮਬੈਡਡ ਪ੍ਰੋਸੈਸਰ, ਪ੍ਰੋਸੈਸਰ

ਹਵਾਲੇ

ਇੱਕ ਟਿੱਪਣੀ ਛੱਡੋ

ਤੁਹਾਡਾ ਈਮੇਲ ਪਤਾ ਪ੍ਰਕਾਸ਼ਿਤ ਨਹੀਂ ਕੀਤਾ ਜਾਵੇਗਾ। ਲੋੜੀਂਦੇ ਖੇਤਰਾਂ ਨੂੰ ਚਿੰਨ੍ਹਿਤ ਕੀਤਾ ਗਿਆ ਹੈ *