intel логотиби

intel F-Tile CPRI PHY FPGA IP Дизайн Example

intel F-Tile CPRI PHY FPGA IP Дизайн Example продукт

Quick Start Guide

F-Tile CPRI PHY Intel® FPGA IP өзөгү симуляциялык тестирлөө жана аппараттык дизайнды камсыз кылат.ampкомпиляцияны жана аппараттык тестирлөөнү колдойт. Сиз дизайн эксample, параметр редактору автоматтык түрдө түзөт files моделдөө, компиляция жана жабдыкта дизайнды сыноо үчүн зарыл.
Intel ошондой эле компиляцияны гана камсыз кылатampIP негизги аянтын жана убактысын тез баалоо үчүн колдоно турган долбоор.
F-Tile CPRI PHY Intel FPGA IP өзөгү мурунку дизайнды жаратуу мүмкүнчүлүгүн берет.ampCPRI каналдарынын санынын жана CPRI линиясынын бит ылдамдыгынын бардык колдоого алынган айкалыштары үчүн. Testbench жана дизайн эксampF-Tile CPRI PHY Intel FPGA IP ядросунун көптөгөн параметр комбинацияларын колдойт.

Сүрөт 1. Дизайнды иштеп чыгуу кадамдары Example

intel F-Tile CPRI PHY FPGA IP Дизайн Example fig 1

Тиешелүү маалымат

  • F-Tile CPRI PHY Intel FPGA IP Колдонуучу колдонмосу
    • F-тайл CPRI PHY IP боюнча толук маалымат алуу үчүн.
  • F-Tile CPRI PHY Intel FPGA IP Release Notes
    • IP Release Notes белгилүү бир релиздеги IP өзгөртүүлөрдү тизмелейт.
Аппараттык жана программалык камсыздоого талаптар

Мурун сыноо үчүнampдизайн үчүн, төмөнкү аппараттык жана программалык камсыздоону колдонуңуз:

  • Intel Quartus® Prime Pro Edition программасы
  • Системалык консол
  • Колдоого алынган симуляторлор:
    • Синопсис* VCS*
    • Synopsys VCS MX
    • Siemens* EDA ModelSim* SE же Questa*— Questa-Intel FPGA Edition
Дизайнды түзүү

Сүрөт 2. Процедура

intel F-Tile CPRI PHY FPGA IP Дизайн Example fig 2Сүрөт 3. Мисample Дизайн өтмөгү IP параметр редакторунда

intel F-Tile CPRI PHY FPGA IP Дизайн Example fig 3

Intel Quartus Prime Pro Edition долбоорун түзүү үчүн:

  1. Intel Quartus Prime Pro Edition, чыкылдатыңыз File ➤ New Project Wizard жаңы Quartus Prime долбоорун түзүү үчүн, же File ➤ Учурдагы Intel Quartus Prime долбоорун ачуу үчүн Долбоорду ачыңыз. Устат сизден аспапты көрсөтүүнү сунуштайт.
  2. Agilex (I-серия) түзмөктөрүнүн үй-бүлөсүн көрсөтүңүз жана бул талаптардын баарына жооп берген аппаратты тандаңыз:
    • Transceiver плиткасы F-плиткасы
    • Трансивердин ылдамдыгы -1 же -2
    • Негизги ылдамдык даражасы -1 же -2 же -3
  3. Finish дегенди басыңыз.

F-Tile CPRI PHY Intel FPGA IP аппараттык дизайнын түзүү үчүн бул кадамдарды аткарыңызample and testbench:

  1. IP каталогунан F-Tile CPRI PHY Intel FPGA IPди таап, тандаңыз. Жаңы IP Variation терезеси пайда болот.
  2. Жогорку деңгээлдеги ысымды көрсөтүңүз сиздин жеке IP вариацияңыз үчүн. Параметрлердин редактору IP вариация орнотууларын а ичинде сактайт file аталган .ip.
  3. OK басыңыз. Параметр редактору пайда болот.
  4. IP өтмөгүндө IP негизги вариацияңыздын параметрлерин көрсөтүңүз.
  5. Экс боюнчаample Дизайн өтмөгү, Example Design Fileс, Testbench жана компиляция үчүн гана долбоорду түзүү үчүн Simulation опциясын тандаңыз. Аппараттык дизайнды түзүү үчүн Синтез опциясын тандаңызample. Дизайнды түзүү үчүн, жок дегенде, симуляция жана синтез варианттарынын бирин тандап алышыңыз керекample.
  6. Экс боюнчаampДизайн өтмөгүндө, Түзүлгөн HDL форматынын астынан Verilog HDL же VHDL тандаңыз. Эгерде сиз VHDLди тандасаңыз, анда сиз тестирди аралаш тилдүү симулятор менен окшоштурушуңуз керек. Сыноодогу түзмөк мурунку_ каталог VHDL модели, бирок негизги тестирлөө file системасы Verilog болуп саналат file.
  7. Ex Generate дегенди басыңызample Дизайн баскычы. Select Example Design Directory терезеси пайда болот.
  8. Эгерде сиз дизайнды өзгөрткүңүз келсе, эксample каталогдун жолу же көрсөтүлгөн демейкилерден аты (cpriphy_ftile_0_example_design), жаңы жолду карап чыгып, жаңы дизайн эксampкаталогдун аты (ample_dir>).
Каталог структурасы

F-Tile CPRI PHY Intel FPGA IP негизги дизайны эксample file каталогдор төмөнкү түзүлгөн камтыйт fileдизайн үчүн sample.

Сүрөт 4. Түзүлгөн Эксample Design

intel F-Tile CPRI PHY FPGA IP Дизайн Example fig 4

Таблица 1. Testbench File Сүрөттөмөлөр

File Аты-жөнү Description
Key Testbench жана Simulation Files
<design_example_dir>/ example_testbench/basic_avl_tb_top.sv Жогорку деңгээлдеги тесттик стол file. Testbench DUT орогучту жаратат жана пакеттерди түзүү жана кабыл алуу үчүн Verilog HDL тапшырмаларын аткарат.
<design_example_dir>/ example_testbench/ cpriphy_ftile_wrapper.sv DUT жана башка тестирлөө тетиктерин ишке киргизген DUT орогуч.
Testbench скрипттери(1)
<design_example_dir>/ example_testbench/run_vsim.do Testbenchти иштетүү үчүн Siemens EDA ModelSim SE же Questa же Questa-Intel FPGA Edition скрипти.
<design_example_dir>/ example_testbench/run_vcs.sh Testbench иштетүү үчүн Synopsys VCS скрипти.
<design_example_dir>/ example_testbench/run_vcsmx.sh Testbenchти иштетүү үчүн Synopsys VCS MX скрипти (VHDL менен Verilog HDL жана SystemVerilog бириктирилген).

Башка симулятор скриптине көңүл бурбаңызample_dir>/example_testbench/ папка.

Таблица 2. Аппараттык камсыздоонун дизайны Example File Сүрөттөмөлөр

File Аты-жөнү Сүрөттөмөлөр
<design_example_dir>/hardware_test_design/ cpriphy_ftile_hw.qpf Intel Quartus Prime долбоору file.
<design_example_dir>/hardware_test_design/ cpriphy_ftile_hw.qsf Intel Quartus Prime долбоорунун жөндөөсү file.
<design_example_dir>/hardware_test_design/ cpriphy_ftile_hw.sdc Synopsys Дизайн чектөөлөрү fileс. Сиз буларды көчүрүп, өзгөртө аласыз files өзүңүздүн Intel Agilex™ дизайныңыз үчүн.
<design_example_dir>/hardware_test_design/ cpriphy_ftile_hw.v Жогорку деңгээлдеги Verilog HDL дизайн эксample file.
<design_example_dir>/hardware_test_design/ cpriphy_ftile_wrapper.sv DUT жана башка тестирлөө тетиктерин ишке киргизген DUT орогуч.
<design_example_dir>/hardware_test_design/ hwtest_sl/main_script.tcl Негизги file Системанын консолуна кирүү үчүн.
Дизайнды имитациялоо Example Testbench

Сүрөт 5. Процедура

intel F-Tile CPRI PHY FPGA IP Дизайн Example fig 5

Тестирлөө үчүн бул кадамдарды аткарыңыз:

  1. Буйрук тилкесинде, testbench симуляция каталогуна өтүңүзample_dir>/example_testbench. CD /мисample_testbench
  2. Түзүлгөн долбоордо quartus_tlg иштетиңиз file: quartus_tlg cpriphy_ftile_hw
  3. ip-setup-simulation иштетиңиз: ip-setup-simulation –output-directory=./sim_script –use-relative-paths –quartus project=cpriphy_ftile_hw.qpf
  4. Сиз тандаган колдоого алынган симулятор үчүн симуляция скриптин иштетиңиз. Скрипт симулятордо тестирлөө системасын түзөт жана иштетет. Testbenchти окшоштуруунун кадамдары таблицасын караңыз.
  5. Натыйжаларды талдоо. Ийгиликтүү testbench беш гиперфремдерди алды жана "PASSED" дегенди көрсөтөт.

Таблица 3. Synopsys VCS* Simulator программасында Testbenchти окшоштуруунун кадамдары

Симулятор Instructions
VCS Буйрук сабында териңиз:
sh run_vcs.sh  
уланды…
Симулятор Instructions
VCS MX Буйрук сабында териңиз:
sh run_vcsmx.sh  
ModelSim SE же Questa же Questa-Intel FPGA Edition Буйрук сабында териңиз:
vsim -do run_vsim.do  
Эгер сиз GUIди ачпай эле окшоштургуңуз келсе, териңиз:
vsim -c -do run_vsim.do  

Төмөнкү сample чыгарылышы 24.33024 CPRI каналы менен 4 Гбит/сек ылдамдыкта ийгиликтүү симуляциялык сыноону көрсөтөт:

intel F-Tile CPRI PHY FPGA IP Дизайн Example fig 9 intel F-Tile CPRI PHY FPGA IP Дизайн Example fig 10 intel F-Tile CPRI PHY FPGA IP Дизайн Example fig 11

Компиляция гана долбоорун түзүү

Бир гана компиляцияны түзүү үчүнampдолбоордо, бул кадамдарды аткарыңыз:

  1. Компиляция дизайнын камсыз кылуу, мисалыampле муун бүттү.
  2. Intel Quartus Prime Pro Edition программасында Intel Quartus Prime Pro Edition долбоорун ачыңызample_dir>/compilation_test_design/cpriphy_ftile.qpf.
  3. Иштетүү менюсунда Компиляцияны баштоону басыңыз.
  4. Ийгиликтүү компиляциядан кийин, убакыт жана ресурстарды пайдалануу боюнча отчеттор сиздин Intel Quartus Prime Pro Edition сессияңызда жеткиликтүү.

Тиешелүү маалымат
Блокко негизделген долбоорлоо агымдары

Дизайнды түзүү жана конфигурациялоо Example in Аппараттык

Аппараттык дизайнды түзүү үчүн эксampжана аны Intel Agilex түзмөгүңүздө конфигурациялаңыз, бул кадамдарды жасаңыз:

  1. Аппараттык камсыздоонун дизайнын камсыз кылуу эксampле муун бүттү.
  2. Intel Quartus Prime Pro Edition программасында Intel Quartus Prime долбоорун ачыңызample_dir>/hardware_test_design/ cpriphy_ftile_hw.qpf.
  3. .qsf түзөт file сиздин аппараттык жабдыктарына жараша пиндерди дайындоо.
  4. Иштетүү менюсунда Компиляцияны баштоону басыңыз.
  5. Ийгиликтүү компиляциядан кийин, а .sof file ичинде жеткиликтүүample_dir>/hardware_test_design/output_files каталогу.

Аппараттык дизайнды программалоо үчүн бул кадамдарды аткарыңызampIntel Agilex түзмөгүндө:

  • Intel Agilex I-сериялуу Transceiver Signal Integrity Development Kitти негизги компьютерге туташтырыңыз.
    Эскертүү: Иштеп чыгуу комплекти демейки боюнча туура саат жыштыктары менен алдын ала программаланган. Жыштыктарды орнотуу үчүн Саатты башкаруу тиркемесин колдонуунун кереги жок.
  • Куралдар менюсунан Программист чыкылдатыңыз.
  • Программистте, Hardware Setup чыкылдатыңыз.
  • Программалоочу түзүлүштү тандаңыз.
  • Mode J деп коюлганын текшериңизTAG.
  • Intel Agilex түзмөгүн тандап, Түзмөк кошуу чыкылдатыңыз. Программист тактаңыздагы түзмөктөрдүн ортосундагы байланыштардын блок диаграммасын көрсөтөт.
  • Сиздин .sof менен катарда .sof үчүн кутучаны белгилеңиз.
  • Программа/Конфигурация тилкесиндеги кутучаны белгилеңиз.
  • Start Click.

Тиешелүү маалымат

  • Блокко негизделген долбоорлоо агымдары
  • Intel FPGA түзмөктөрүн программалоо
  • Системалык консол менен дизайнды талдоо жана мүчүлүштүктөрдү оңдоо
Аппараттык камсыздоонун дизайнын текшерүү Example

F-Tile CPRI PHY Intel FPGA IP негизги дизайнын түзгөндөн кийин эксample жана аны Intel Agilex түзмөгүңүздө конфигурацияласаңыз, IP өзөгүн жана анын PHY IP негизги регистрлерин программалоо үчүн Системалык Консолду колдоно аласыз.
Системанын консолун күйгүзүү жана жабдык дизайнын сынап көрүү үчүнample, бул кадамдарды аткарыңыз:

  1. Аппараттык дизайндан кийин эксample Intel Agilex түзмөгүндө конфигурацияланган, Intel Quartus Prime Pro Edition программасында, Куралдар менюсунан Системанын мүчүлүштүктөрүн оңдоо куралдары ➤ Системанын консолу дегенди басыңыз.
  2. Tcl Console панелинде каталогду өзгөртүү үчүн cd hwtest териңизample_dir>/hardware_test_design/hwtest_sl.
  3. J менен туташууну ачуу үчүн булак main_script.tcl териңизTAG устат жана тестти башта.

Дизайн Example Description

Дизайн эксample F-Tile CPRI PHY Intel FPGA IP өзөгүнүн негизги функцияларын көрсөтөт. Сиз дизайнды ExampF-Tile CPRI PHY Intel FPGA IP параметр редакторундагы Дизайн өтмөгү.
Дизайнды түзүү үчүн эксample, сиз адегенде акыркы продуктуңузда түзүүгө ниеттенген IP негизги вариациясынын параметр маанилерин коюшуңуз керек. Сиз эски дизайнды түзүүнү тандай аласызampRS-FEC өзгөчөлүгү бар же жок. RS-FEC өзгөчөлүгү 10.1376, 12.1651 жана 24.33024 Gbps CPRI линия бит ылдамдыгы менен жеткиликтүү.
Таблица 4. F-Tile CPRI PHY Intel FPGA IP негизги өзгөчөлүк матрицасы

CPRI Line Bit Rate (Gbps) RS-FEC колдоо Маалымдама сааты (МГц) Детерминисттик кечигүү колдоо
1.2288 Жок 153.6 Ооба
2.4576 Жок 153.6 Ооба
3.072 Жок 153.6 Ооба
4.9152 Жок 153.6 Ооба
6.144 Жок 153.6 Ооба
9.8304 Жок 153.6 Ооба
10.1376 Менен жана жок 184.32 Ооба
12.1651 Менен жана жок 184.32 Ооба
24.33024 Менен жана жок 184.32 Ооба
Өзгөчөлүктөрү
  • Дизайнды жаратыңызampRS-FEC өзгөчөлүгү менен
  • Пакетти текшерүүнүн негизги мүмкүнчүлүктөрү, анын ичинде тегерек сапардын күтүү убактысы
Симуляциялык дизайн Example

F-Tile CPRI PHY Intel FPGA IP дизайны эксample симуляция сынагын жана симуляциясын жаратат fileСиз Simulation опциясын тандаганыңызда F-Tile CPRI PHY Intel FPGA IP өзөгүн түзүүчү с.

6-сүрөт. 10.1316, 12.1651 жана 24.33024 Gbps (RS-FEC менен жана жок) линия тарифтери үчүн блок диаграммасы

intel F-Tile CPRI PHY FPGA IP Дизайн Example fig 67-сүрөт. 1.228, 2.4576, 3.072, 4.9152, 6.144 жана 9.8304 Gbps Line Rate үчүн блок диаграммасы

intel F-Tile CPRI PHY FPGA IP Дизайн Example fig 7

Бул дизайнда эксample, симуляциялык testbench баштоо жана кулпулоону күтүү, пакеттерди жөнөтүү жана кабыл алуу сыяктуу негизги функцияларды камсыз кылат.
Ийгиликтүү сыноо төмөнкү жүрүм-турумду тастыктаган жыйынтыкты көрсөтөт:

  1. Кардардын логикасы IP өзөгүн баштапкы абалга келтирет.
  2. Кардардын логикасы RX маалымат жолунун тегиздөөсүн күтөт.
  3. Кардар логикасы TX MII интерфейсинде гиперфремаларды өткөрөт жана RX MII интерфейсинде беш гиперфремаларды кабыл алууну күтөт. Гиперфрамдар CPRI v7.0 спецификацияларына ылайык MII интерфейсинде жөнөтүлөт жана кабыл алынат.
    Эскертүү: 1.2, 2.4, 3, 4.9, 6.1 жана 9.8 Гбит/с линия ылдамдыгын максат кылган CPRI конструкциялары 8b/10b интерфейсин, ал эми 10.1, 12.1 жана 24.3 Гбит/с (RS-FEC менен жана ансыз) максаттуу конструкциялар MII интерфейсин колдонушат. Бул дизайн эксample TXтен RXге чейин баруу күтүү убактысын эсептөө үчүн тегерек сапар эсептегичти камтыйт.
  4. Кардардын логикасы айланып келүүнүн күтүү убактысынын маанисин окуйт жана эсептегич айланма сапардын күтүү убактысын эсептеп бүткөндөн кийин RX MII тарабындагы гиперфрамдардын маалыматтарынын мазмунун жана тууралыгын текшерет.

Тиешелүү маалымат

  • CPRI спецификациялары
Аппараттык дизайн Example

8-сүрөт. Аппараттык камсыздоонун дизайны Example Block Diagram

intel F-Tile CPRI PHY FPGA IP Дизайн Example fig 8

 

Эскертүү

  1. 2.4/4.9/9.8 Гбит/с CPRI линиясынын ылдамдыгы бар CPRI конструкциялары 8b/10b интерфейсин, ал эми башка бардык CPRI линиясынын тарифтери MII интерфейсин колдонушат.
  2. CPRI линиясынын ылдамдыгы 2.4/4.9/9.8 Гбит/сек болгон CPRI конструкцияларына 153.6 МГц кабыл алгычтын маалымдама сааты жана башка бардык CPRI линиясынын ылдамдыгы 184.32 МГц керек.

F-Tile CPRI PHY Intel FPGA IP негизги аппараттык дизайны эксample төмөнкү компоненттерди камтыйт:

  • F-Tile CPRI PHY Intel FPGA IP өзөгү.
  • Трафикти түзгөн жана кабыл алган пакет кардар логикалык блогу.
  • Барып келүү эсептегичи.
  • s түзүү үчүн IOPLLampIP ичиндеги детерминисттик күтүү логикасы үчүн саатты жана testbenchте айланып өтүүчү эсептегич компоненти.
  • Системалык PLL IP үчүн системалык сааттарды түзүү.
  • Avalon®-MM дарек декодери, реконфигурацияга кирүү учурунда CPRI, Transceiver жана Ethernet модулдары үчүн реконфигурациялоо дарек мейкиндигин чечмелөө үчүн.
  • Баштапкы абалга келтирүүнү ырастоо жана сааттарды жана бир нече статус биттерин көзөмөлдөө үчүн булактар ​​жана иликтөөлөр.
  • JTAG Системанын консолу менен байланышуучу контроллер. Системалык консол аркылуу кардар логикасы менен байланышасыз.
Интерфейс сигналдары

Таблица 5. Дизайн Example Interface Signals

Сигнал Багыт Description
ref_clk100MHz Киргизүү Бардык кайра конфигурациялоо интерфейстеринде CSR жетүү үчүн киргизүү сааты. 100 МГц ылдамдыкта айдаңыз.
i_clk_ref[0] Киргизүү System PLL үчүн маалымдама саат. 156.25 МГц ылдамдыкта айдаңыз.
i_clk_ref[1] Киргизүү Transceiver маалымдама сааты. Айдоо

• CPRI линиясынын ылдамдыгы 153.6, 1.2, 2.4, 3, 4.9 жана 6.1 Гбит/сек үчүн 9.8 МГц.

• 184.32 МГц CPRI линиясынын ылдамдыгы 10.1,12.1 жана RS-FEC менен жана 24.3 Гбит/сек.

i_rx_serial[n] Киргизүү Transceiver PHY киргизүү сериялык дайындары.
o_tx_serial[n] Чыгуу Transceiver PHY чыгуу сериялык маалыматтар.
Дизайн Example Registers

Таблица 6. Дизайн Example Registers

Канал номери Негизги дарек (байт дареги) Каттоо түрү
 

 

0

0x00000000 CPRI PHY 0-канал үчүн реконфигурация регистрлери
0x00100000 0 каналы үчүн Ethernet реконфигурациясынын регистрлери
0x00200000 Transceiver Reconfiguration регистрлери 0 каналы үчүн
 

1(2)

0x01000000 CPRI PHY 1-канал үчүн реконфигурация регистрлери
0x01100000 1 каналы үчүн Ethernet реконфигурациясынын регистрлери
0x01200000 Transceiver Reconfiguration регистрлери 1 каналы үчүн
 

2(2)

0x02000000 CPRI PHY 2-канал үчүн реконфигурация регистрлери
0x02100000 2 каналы үчүн Ethernet реконфигурациясынын регистрлери
0x02200000 Transceiver Reconfiguration регистрлери 2 каналы үчүн
уланды…
Канал номери Негизги дарек (байт дареги) Каттоо түрү
 

3(2)

0x03000000 CPRI PHY 3-канал үчүн реконфигурация регистрлери
0x03100000 3 каналы үчүн Ethernet реконфигурациясынын регистрлери
0x03200000 Transceiver Reconfiguration регистрлери 3 каналы үчүн

Канал колдонулбаса, бул регистрлер сакталган.

F-Tile CPRI PHY Intel FPGA IP Дизайн Example User Guide Archives

Эгерде IP негизги версия тизмеде жок болсо, мурунку IP негизги версиясы үчүн колдонуучу колдонмосу колдонулат.

Intel Quartus Prime Version IP негизги версиясы Колдонуучунун колдонмосу
21.2 2.0.0 F-Tile CPRI PHY Intel FPGA IP Дизайн Example User Guide

F-Tile CPRI PHY Intel FPGA IP дизайны үчүн документти кайра карап чыгуу тарыхы Example User Guide

Документтин версиясы Intel Quartus Prime Version IP Version Өзгөрүүлөр
2021.10.04 21.3 3.0.0
  • Бөлүмдөгү жаңы симуляторлор үчүн колдоо кошулду: Аппараттык жана программалык камсыздоого талаптар.
  • Бөлүмдөгү жаңыртылган кадамдар: Дизайнды имитациялоо Example Testbench.
  • Төмөнкү бөлүмдөр жаңы линия тарифи маалыматы менен жаңыртылды:
    • Дизайн Example Description
    • Симуляциялык дизайн Example
    • Интерфейс сигналдары
  • Бөлүмдөгү дарек жаңырды: Дизайн Example Registers.
2021.06.21 21.2 2.0.0 Алгачкы чыгаруу.

Intel корпорациясы. Бардык укуктар корголгон. Intel, Intel логотиби жана башка Intel белгилери Intel корпорациясынын же анын туунду компанияларынын соода белгилери болуп саналат. Intel өзүнүн FPGA жана жарым өткөргүч өнүмдөрүн Intelдин стандарттык гарантиясына ылайык учурдагы спецификацияларга кепилдик берет, бирок каалаган убакта эскертүүсүз каалаган өнүмгө жана кызматтарга өзгөртүү киргизүү укугун өзүнө калтырат. Intel бул жерде сүрөттөлгөн кандайдыр бир маалыматты, продуктуну же кызматты колдонуудан же колдонуудан келип чыккан эч кандай жоопкерчиликти же жоопкерчиликти өзүнө албайт, Intel тарабынан жазуу жүзүндө ачык макулдашылгандан башка учурларда. Intel кардарларына жарыяланган маалыматка таянардан мурун жана өнүмдөр же кызматтарга буйрутма берүүдөн мурун түзмөктүн спецификацияларынын акыркы версиясын алуу сунушталат.
*Башка ысымдар жана бренддер башкалардын менчиги катары талап кылынышы мүмкүн.

Документтер / Ресурстар

intel F-Tile CPRI PHY FPGA IP Дизайн Example [pdf] Колдонуучунун колдонмосу
F-Tile CPRI PHY FPGA IP Дизайн Example, PHY FPGA IP Дизайн Example, F-Tile CPRI IP Design Example, IP Design Example, IP дизайн

Шилтемелер

Комментарий калтырыңыз

Сиздин электрондук почта дарегиңиз жарыяланбайт. Талап кылынган талаалар белгиленген *