intel F-Tile CPRI PHY FPGA IP Design Example
Tez Başlanğıc Bələdçisi
F-Tile CPRI PHY Intel® FPGA IP nüvəsi simulyasiya test masası və aparat dizaynını təmin edir.ampkompilyasiya və aparat testini dəstəkləyən le. Dizaynı yaratdığınız zaman example, parametr redaktoru avtomatik olaraq yaradır fileTəchizatda dizaynı simulyasiya etmək, tərtib etmək və sınaqdan keçirmək üçün lazımdır.
Intel həmçinin yalnız kompilyasiya olan bir köhnə təqdim edirampIP əsas sahəsini və vaxtını tez qiymətləndirmək üçün istifadə edə biləcəyiniz layihə.
F-Tile CPRI PHY Intel FPGA IP nüvəsi köhnə dizayn yaratmaq qabiliyyətini təmin edir.ampCPRI kanallarının sayının və CPRI xətti bit sürətlərinin dəstəklənən bütün birləşmələri üçün. Testbench və dizayn keçmişampF-Tile CPRI PHY Intel FPGA IP nüvəsinin çoxsaylı parametr birləşmələrini dəstəkləyir.
Şəkil 1. Dizayn üçün inkişaf mərhələləri Example
Əlaqədar Məlumat
- F-Tile CPRI PHY Intel FPGA IP İstifadəçi Təlimatı
- F-kafel CPRI PHY IP haqqında ətraflı məlumat üçün.
- F-Tile CPRI PHY Intel FPGA IP Buraxılış Qeydləri
- IP Buraxılış Qeydləri xüsusi buraxılışda IP dəyişikliklərinin siyahısını verir.
Aparat və Proqram Tələbləri
Keçmişi sınamaq üçünampdizayn üçün aşağıdakı aparat və proqram təminatından istifadə edin:
- Intel Quartus® Prime Pro Edition proqramı
- Sistem konsolu
- Dəstəklənən Simulyatorlar:
- Sinopsis* VCS*
- Synopsys VCS MX
- Siemens* EDA ModelSim* SE və ya Questa*— Questa-Intel FPGA Edition
Dizaynın yaradılması
Şəkil 2. Prosedur
Şəkil 3. MəsələnampIP Parametr Redaktorunda Dizayn Nişanı
Intel Quartus Prime Pro Edition layihəsi yaratmaq üçün:
- Intel Quartus Prime Pro Edition-da klikləyin File ➤ Yeni Quartus Prime layihəsi yaratmaq üçün Yeni Layihə Sihirbazı və ya File ➤ Mövcud Intel Quartus Prime layihəsini açmaq üçün Layihəni açın. Sehrbaz sizə cihazı təyin etməyi təklif edir.
- Agilex (I seriyası) cihaz ailəsini göstərin və bütün bu tələblərə cavab verən cihazı seçin:
- Transceiver kafel F-kafeldir
- Transceiver sürət dərəcəsi -1 və ya -2-dir
- Əsas sürət dərəcəsi -1 və ya -2 və ya -3-dür
- Bitir klikləyin.
F-Tile CPRI PHY Intel FPGA IP aparat dizaynını yaratmaq üçün bu addımları yerinə yetirinample və testbench:
- IP Kataloqda F-Tile CPRI PHY Intel FPGA IP-ni tapın və seçin. Yeni IP Variasiyası pəncərəsi görünür.
- Üst səviyyəli ad təyin edin fərdi IP dəyişikliyiniz üçün. Parametr redaktoru IP variasiya parametrlərini a-da saxlayır file adlı .ip.
- OK düyməsini basın. Parametr redaktoru görünür.
- IP nişanında, IP əsas variasiyanız üçün parametrləri təyin edin.
- Ex-dəample Dizayn nişanı, Ex altındaample Dizayn Files, testbench və yalnız tərtib layihəsi yaratmaq üçün Simulyasiya seçimini seçin. Aparat dizaynını yaratmaq üçün Sintez seçimini seçinample. Dizaynı yaratmaq üçün Simulyasiya və Sintez seçimlərindən ən azı birini seçməlisinizample.
- Ex-dəample Dizayn nişanı, Yaradılmış HDL Format altında Verilog HDL və ya VHDL seçin. VHDL-ni seçsəniz, test masasını qarışıq dilli simulyatorla simulyasiya etməlisiniz. Keçmişdə sınaqdan keçirilən cihaz_ kataloq VHDL modelidir, lakin əsas test masasıdır file Sistem Veriloqudur file.
- Klikləyin Ex YaratampDizayn düyməsi. Seçilmiş Example Design Directory pəncərəsi görünür.
- Dizaynı dəyişdirmək istəyirsinizsə, example kataloq yolu və ya göstərilən standartlardan adı (cpriphy_ftile_0_example_design), yeni yola göz atın və yeni dizaynı yazın example kataloq adı (ample_dir>).
Kataloq strukturu
F-Tile CPRI PHY Intel FPGA IP əsas dizaynı, keçmişample file kataloqlar yaradılan aşağıdakıları ehtiva edir files dizayn üçün keçmişample.
Şəkil 4. Yaradılmış Ex-in Kataloq Strukturuample Dizayn
Cədvəl 1. Test dəzgahı File Təsvirlər
File Adlar | Təsvir |
Açar Testbench və Simulyasiya Files | |
<dizayn_məsample_dir>/ məsample_testbench/basic_avl_tb_top.sv | Ən yüksək səviyyəli test masası file. Testbench DUT sarğısını yaradır və paketləri yaratmaq və qəbul etmək üçün Verilog HDL tapşırıqlarını icra edir. |
<dizayn_məsample_dir>/ məsample_testbench/ cpriphy_ftile_wrapper.sv | DUT və digər testbench komponentlərini işə salan DUT sarğı. |
Testbench Skriptləri(1) | |
<dizayn_məsample_dir>/ məsample_testbench/run_vsim.do | Testbench-i işə salmaq üçün Siemens EDA ModelSim SE və ya Questa və ya Questa-Intel FPGA Edition skripti. |
<dizayn_məsample_dir>/ məsample_testbench/run_vcs.sh | Testbench-i işə salmaq üçün Synopsys VCS skripti. |
<dizayn_məsample_dir>/ məsample_testbench/run_vcsmx.sh | Synopsys VCS MX skripti (VHDL ilə birləşdirilmiş Verilog HDL və SystemVerilog) test masasını işə salmaq üçün. |
Hər hansı digər simulyator skriptinə məhəl qoymayınample_dir>/məsample_testbench/ qovluq.
Cədvəl 2. Hardware Design Example File Təsvirlər
File Adlar | Təsvirlər |
<dizayn_məsample_dir>/hardware_test_design/ cpriphy_ftile_hw.qpf | Intel Quartus Prime layihəsi file. |
<dizayn_məsample_dir>/hardware_test_design/ cpriphy_ftile_hw.qsf | Intel Quartus Prime layihə qəbulu file. |
<dizayn_məsample_dir>/hardware_test_design/ cpriphy_ftile_hw.sdc | Synopsys Dizayn Məhdudiyyətləri files. Bunları kopyalaya və dəyişdirə bilərsiniz fileöz Intel Agilex™ dizaynınız üçün. |
<dizayn_məsample_dir>/hardware_test_design/ cpriphy_ftile_hw.v | Ən yüksək səviyyəli Verilog HDL dizaynı, məsələnample file. |
<dizayn_məsample_dir>/hardware_test_design/ cpriphy_ftile_wrapper.sv | DUT və digər testbench komponentlərini işə salan DUT sarğı. |
<dizayn_məsample_dir>/hardware_test_design/ hwtest_sl/main_script.tcl | Əsas file Sistem Konsoluna daxil olmaq üçün. |
Dizaynın Simulyasiyası Example Testbench
Şəkil 5. Prosedur
Test masasını simulyasiya etmək üçün bu addımları yerinə yetirin:
- Komanda sorğusunda testbench simulyasiya qovluğuna keçinample_dir>/məsample_testbench. cd /məsample_testbench
- Yaradılan layihədə quartus_tlg işlədin file: quartus_tlg cpriphy_ftile_hw
- IP-setup-simulyasiyasını işə salın: ip-setup-simulation –output-directory=./sim_script –use-relative-paths –quartus project=cpriphy_ftile_hw.qpf
- Seçdiyiniz dəstəklənən simulyator üçün simulyasiya skriptini işə salın. Skript simulyatorda test masasını tərtib edir və işlədir. Cədvələ baxın Testbench simulyasiya etmək üçün addımlar.
- Nəticələri təhlil edin. Uğurlu testbench beş hiperframe aldı və “PASSED” yazısını göstərir.
Cədvəl 3. Synopsys VCS* Simulator-da Testbench-i imitasiya etmək üçün addımlar
Simulyator | Təlimatlar | |
VCS | Komanda satırında yazın: | |
sh run_vcs.sh | ||
davam etdi... |
Simulyator | Təlimatlar | |
VCS MX | Komanda satırında yazın: | |
sh run_vcsmx.sh | ||
ModelSim SE və ya Questa və ya Questa-Intel FPGA Edition | Komanda satırında yazın: | |
vsim -do run_vsim.do | ||
GUI-ni təqdim etmədən simulyasiya etməyi üstün tutursunuzsa, yazın: | ||
vsim -c -do run_vsim.do |
Aşağıdakı sample çıxışı 24.33024 CPRI kanalı ilə 4 Gbps üçün uğurlu simulyasiya testini göstərir:
Yalnız Kompilyasiya Layihəsinin tərtib edilməsi
Yalnız kompilyasiyanı tərtib etmək üçün exampLayihə üçün bu addımları izləyin:
- Tərtib dizaynını təmin edin, məsələnample nəsil tamamlandı.
- Intel Quartus Prime Pro Edition proqram təminatında Intel Quartus Prime Pro Edition layihəsini açınample_dir>/compilation_test_design/cpriphy_ftile.qpf.
- Qenerasiya menyusunda Kompilyasiyaya Başla üzərinə klikləyin.
- Uğurlu tərtib etdikdən sonra vaxt və resursdan istifadə üçün hesabatlar sizin Intel Quartus Prime Pro Edition sessiyasında mövcuddur.
Əlaqədar Məlumat
Blok əsaslı dizayn axınları
Dizaynın tərtibi və konfiqurasiyası ExampAvadanlıqda
Aparat dizaynını tərtib etmək üçün məsələnample və onu Intel Agilex cihazınızda konfiqurasiya edin, bu addımları yerinə yetirin:
- Aparat dizaynını təmin edin, məsələnample nəsil tamamlandı.
- Intel Quartus Prime Pro Edition proqramında Intel Quartus Prime layihəsini açınample_dir>/hardware_test_design/ cpriphy_ftile_hw.qpf.
- .qsf-ni redaktə edin file aparatınıza əsasən sancaqlar təyin etmək.
- Qenerasiya menyusunda Kompilyasiyaya Başla üzərinə klikləyin.
- Uğurlu tərtibdən sonra, a .sof file -də mövcuddurample_dir>/hardware_test_design/output_files kataloqu.
Aparat dizaynını proqramlaşdırmaq üçün bu addımları yerinə yetirinampIntel Agilex cihazında:
- Intel Agilex I-series Transceiver Signal Integrity Development Kit-i əsas kompüterə qoşun.
Qeyd: İnkişaf dəsti standart olaraq düzgün saat tezlikləri ilə əvvəlcədən proqramlaşdırılmışdır. Tezlikləri təyin etmək üçün Clock Control proqramından istifadə etməyinizə ehtiyac yoxdur. - Alətlər menyusunda Proqramçı üzərinə klikləyin.
- Proqramçıda Hardware Setup düyməsini klikləyin.
- Proqramlaşdırma cihazını seçin.
- Rejimin J olaraq təyin olunduğundan əmin olunTAG.
- Intel Agilex cihazını seçin və Əlavə et düyməsini basın. Proqramçı lövhənizdəki cihazlar arasında əlaqənin blok diaqramını göstərir.
- .sof ilə cərgədə .sof üçün qutuyu işarələyin.
- Proqram/Konfiqurasiya sütununda qutuyu yoxlayın.
- Start klikləyin.
Əlaqədar Məlumat
- Blok əsaslı dizayn axınları
- Intel FPGA Cihazlarının proqramlaşdırılması
- Sistem Konsolu ilə Dizaynların Təhlili və Sazlanması
Avadanlıq Dizaynının Test Edilməsi Example
F-Tile CPRI PHY Intel FPGA IP əsas dizaynını tərtib etdikdən sonra məsample və onu Intel Agilex cihazınızda konfiqurasiya etsəniz, IP nüvəsini və onun PHY IP əsas registrlərini proqramlaşdırmaq üçün Sistem Konsolundan istifadə edə bilərsiniz.
Sistem Konsolunu yandırmaq və aparat dizaynını yoxlamaq üçün məsələnample, bu addımları izləyin:
- Aparat dizaynından sonra example Intel Agilex cihazında, Intel Quartus Prime Pro Edition proqramında konfiqurasiya edilib, Alətlər menyusunda Sistem Sazlama Alətləri ➤ Sistem Konsolu seçiminə klikləyin.
- Tcl Console panelində kataloqu dəyişdirmək üçün cd hwtest yazınample_dir>/hardware_test_design/hwtest_sl.
- J ilə əlaqə açmaq üçün mənbə main_script.tcl yazınTAG master və testə başlayın.
Dizayn Example Təsviri
Dizayn keçmişample F-Tile CPRI PHY Intel FPGA IP nüvəsinin əsas funksionallığını nümayiş etdirir. Dizaynı Ex-dən yarada bilərsinizample Dizayn nişanı F-Tile CPRI PHY Intel FPGA IP parametr redaktorunda.
Dizayn yaratmaq üçün example, ilk olaraq son məhsulunuzda yaratmaq niyyətində olduğunuz IP əsas variasiyası üçün parametr dəyərlərini təyin etməlisiniz. Siz əvvəlki dizaynı yaratmağı seçə bilərsinizample RS-FEC xüsusiyyəti ilə və ya olmadan. RS-FEC xüsusiyyəti 10.1376, 12.1651 və 24.33024 Gbps CPRI xətt bit sürətləri ilə mövcuddur.
Cədvəl 4. F-Tile CPRI PHY Intel FPGA IP Əsas Xüsusiyyət Matrisi
CPRI Line Bit Rate (Gbps) | RS-FEC dəstəyi | İstinad Saatı (MHz) | Deterministik Gecikmə Dəstəyi |
1.2288 | yox | 153.6 | Bəli |
2.4576 | yox | 153.6 | Bəli |
3.072 | yox | 153.6 | Bəli |
4.9152 | yox | 153.6 | Bəli |
6.144 | yox | 153.6 | Bəli |
9.8304 | yox | 153.6 | Bəli |
10.1376 | Ilə və Onsuz | 184.32 | Bəli |
12.1651 | Ilə və Onsuz | 184.32 | Bəli |
24.33024 | Ilə və Onsuz | 184.32 | Bəli |
Xüsusiyyətlər
- Dizaynı yaradın exampRS-FEC xüsusiyyəti ilə
- Gediş-gəliş gecikmə sayı da daxil olmaqla əsas paket yoxlama imkanları
Simulyasiya Dizaynı Example
F-Tile CPRI PHY Intel FPGA IP dizaynı, keçmişample simulyasiya test masası və simulyasiya yaradır fileSimulyasiya seçimini seçdiyiniz zaman F-Tile CPRI PHY Intel FPGA IP nüvəsini nümunələndirən s.
Şəkil 6. 10.1316, 12.1651 və 24.33024 Gbps (RS-FEC ilə və olmadan) Xətt Qiymətləri üçün Blok Diaqramı
Şəkil 7. 1.228, 2.4576, 3.072, 4.9152, 6.144 və 9.8304 Gbps Line Rate üçün blok diaqramı
Bu dizaynda örnample, simulyasiya test masası işə salmaq və bağlamaq üçün gözləmək, paketləri ötürmək və qəbul etmək kimi əsas funksiyaları təmin edir.
Uğurlu sınaq əməliyyatı aşağıdakı davranışı təsdiqləyən çıxışı göstərir:
- Müştəri məntiqi IP nüvəsini sıfırlayır.
- Müştəri məntiqi RX məlumat yolunun uyğunlaşdırılmasını gözləyir.
- Müştəri məntiqi TX MII interfeysində hiperframları ötürür və RX MII interfeysində beş hiperframenin qəbulunu gözləyir. Hiperkadrlar CPRI v7.0 spesifikasiyasına uyğun olaraq MII interfeysində ötürülür və qəbul edilir.
Qeyd: 1.2, 2.4, 3, 4.9, 6.1 və 9.8 Gbps xətt sürətini hədəfləyən CPRI dizaynları 8b/10b interfeysindən və 10.1, 12.1 və 24.3 Gbps (RS-FEC ilə və olmadan) hədəflənən dizaynlar MII interfeysindən istifadə edir. Bu dizayn example TX-dən RX-ə gediş-gəliş gecikməsini hesablamaq üçün bir gediş sayğacı daxildir. - Müştəri məntiqi gediş-gəliş gecikmə dəyərini oxuyur və sayğac gediş-gəliş gecikmə sayısını tamamladıqdan sonra RX MII tərəfindəki hiperframe məlumatlarının məzmununu və düzgünlüyünü yoxlayır.
Əlaqədar Məlumat
- CPRI Spesifikasiyaları
Hardware Design Example
Şəkil 8. Hardware Design ExampBlok Diaqram
Qeyd
- 2.4/4.9/9.8 Gbps CPRI xətt dərəcələri olan CPRI dizaynları 8b/10b interfeysindən istifadə edir və bütün digər CPRI xətt dərəcələri dizaynları MII interfeysindən istifadə edir.
- 2.4/4.9/9.8 Gbps CPRI xətt sürətləri ilə CPRI dizaynları 153.6 MHz qəbuledici istinad saatına və bütün digər CPRI xətt sürətlərinə 184.32 MHz lazımdır.
F-Tile CPRI PHY Intel FPGA IP əsas aparat dizaynı, məsələnample aşağıdakı komponentləri ehtiva edir:
- F-Tile CPRI PHY Intel FPGA IP nüvəsi.
- Trafik yaradan və qəbul edən paket müştəri məntiq bloku.
- Gediş-gəliş sayğacı.
- s yaratmaq üçün IOPLLampIP daxilində deterministik gecikmə məntiqi üçün ling saatı və testbench-də gediş-gəliş sayğac komponenti.
- IP üçün sistem saatları yaratmaq üçün sistem PLL.
- Yenidən konfiqurasiya girişləri zamanı CPRI, Transceiver və Ethernet modulları üçün yenidən konfiqurasiya ünvan məkanını deşifrə etmək üçün Avalon®-MM ünvan dekoderi.
- Sıfırlamaları təsdiqləmək və saatları və bir neçə status bitini izləmək üçün mənbələr və zondlar.
- JTAG Sistem Konsolu ilə əlaqə saxlayan nəzarətçi. Siz Sistem Konsolu vasitəsilə müştəri məntiqi ilə əlaqə saxlayırsınız.
İnterfeys siqnalları
Cədvəl 5. Dizayn Example İnterfeys siqnalları
Siqnal | İstiqamət | Təsvir |
ref_clk100MHz | Giriş | Bütün yenidən konfiqurasiya interfeyslərində CSR-ə giriş üçün giriş saatı. 100 MHz tezliyində sürün. |
i_clk_ref[0] | Giriş | Sistem PLL üçün istinad saatı. 156.25 MHz tezliyində sürün. |
i_clk_ref[1] | Giriş | Transceiver istinad saatı. Sür
• CPRI xətti sürəti 153.6, 1.2, 2.4, 3, 4.9 və 6.1 Gbps üçün 9.8 MHz. • CPRI xətti üçün 184.32 MHz 10.1,12.1 və RS-FEC ilə və olmadan 24.3 Gbps. |
i_rx_serial[n] | Giriş | Transceiver PHY giriş seriya məlumatı. |
o_tx_serial[n] | Çıxış | Transceiver PHY çıxış seriya məlumatı. |
Dizayn Example Qeydiyyat
Cədvəl 6. Dizayn Example Qeydiyyat
Kanal nömrəsi | Əsas Ünvan (Bayt Ünvan) | Qeydiyyat növü |
0 |
0x00000000 | Kanal 0 üçün CPRI PHY Yenidən Konfiqurasiya qeydləri |
0x00100000 | Ethernet Yenidən Konfiqurasiyası Kanal 0 üçün qeydiyyatdan keçir | |
0x00200000 | Transceiver Reconfiguration Channel 0 üçün qeydiyyatdan keçir | |
1(2) |
0x01000000 | Kanal 1 üçün CPRI PHY Yenidən Konfiqurasiya qeydləri |
0x01100000 | Ethernet Yenidən Konfiqurasiyası Kanal 1 üçün qeydiyyatdan keçir | |
0x01200000 | Transceiver Reconfiguration Channel 1 üçün qeydiyyatdan keçir | |
2(2) |
0x02000000 | Kanal 2 üçün CPRI PHY Yenidən Konfiqurasiya qeydləri |
0x02100000 | Ethernet Yenidən Konfiqurasiyası Kanal 2 üçün qeydiyyatdan keçir | |
0x02200000 | Transceiver Reconfiguration Channel 2 üçün qeydiyyatdan keçir | |
davam etdi... |
Kanal nömrəsi | Əsas Ünvan (Bayt Ünvan) | Qeydiyyat növü |
3(2) |
0x03000000 | Kanal 3 üçün CPRI PHY Yenidən Konfiqurasiya qeydləri |
0x03100000 | Ethernet Yenidən Konfiqurasiyası Kanal 3 üçün qeydiyyatdan keçir | |
0x03200000 | Transceiver Reconfiguration Channel 3 üçün qeydiyyatdan keçir |
Kanal istifadə edilmədikdə bu registrlər qorunur.
F-Tile CPRI PHY Intel FPGA IP Design Exampİstifadəçi Təlimatı Arxivləri
Əgər IP əsas versiyası siyahıda yoxdursa, əvvəlki IP əsas versiyası üçün istifadəçi təlimatı tətbiq edilir.
Intel Quartus Prime Versiya | IP əsas versiyası | İstifadəçi təlimatı |
21.2 | 2.0.0 | F-Tile CPRI PHY Intel FPGA IP Design Exampİstifadəçi Təlimatı |
F-Tile CPRI PHY Intel FPGA IP Design Ex. üçün Sənədin Təftiş Tarixçəsiampİstifadəçi Təlimatı
Sənəd versiyası | Intel Quartus Prime Versiya | IP versiyası | Dəyişikliklər |
2021.10.04 | 21.3 | 3.0.0 |
|
2021.06.21 | 21.2 | 2.0.0 | İlkin buraxılış. |
Intel Korporasiyası. Bütün hüquqlar qorunur. Intel, Intel loqosu və digər Intel markaları Intel Korporasiyasının və ya onun törəmə şirkətlərinin ticarət nişanlarıdır. Intel FPGA və yarımkeçirici məhsullarının Intel-in standart zəmanətinə uyğun olaraq cari spesifikasiyalara uyğun işləməsinə zəmanət verir, lakin istənilən vaxt xəbərdarlıq etmədən istənilən məhsul və xidmətlərə dəyişiklik etmək hüququnu özündə saxlayır. Intel tərəfindən yazılı şəkildə açıq şəkildə razılaşdırıldığı hallar istisna olmaqla, Intel burada təsvir edilən hər hansı məlumat, məhsul və ya xidmətin tətbiqi və ya istifadəsindən irəli gələn heç bir məsuliyyət və ya öhdəlik götürmür. Intel müştərilərinə hər hansı dərc edilmiş məlumata etibar etməzdən və məhsul və ya xidmətlər üçün sifariş verməzdən əvvəl cihazın texniki xüsusiyyətlərinin ən son versiyasını əldə etmələri tövsiyə olunur.
*Başqa adlar və brendlər başqalarının mülkiyyəti kimi iddia oluna bilər.
Sənədlər / Resurslar
![]() |
intel F-Tile CPRI PHY FPGA IP Design Example [pdf] İstifadəçi təlimatı F-Tile CPRI PHY FPGA IP Design Example, PHY FPGA IP Design Example, F-Tile CPRI IP Design Example, IP Design Example, IP Dizayn |