intel لوگو

Intel F-Tile CPRI PHY FPGA IP ڊيزائن Example

Intel F-Tile CPRI PHY FPGA IP ڊيزائن Exampلي پراڊڪٽ

تڪڙو شروع ھدايت

F-Tile CPRI PHY Intel® FPGA IP ڪور مهيا ڪري ٿو هڪ سموليشن ٽيسٽ بينچ ۽ هارڊويئر ڊيزائن اڳample جيڪو تاليف ۽ هارڊويئر ٽيسٽ کي سپورٽ ڪري ٿو. جڏهن توهان ڊزائين ٺاهي رهيا آهيو example، پيٽرولر ايڊيٽر پاڻمرادو ٺاهي ٿو fileهارڊويئر ۾ ڊيزائن کي تخليق ڪرڻ، مرتب ڪرڻ ۽ جانچڻ لاءِ ضروري آهي.
Intel پڻ مهيا ڪري ٿو هڪ تاليف-صرف اڳوڻيample پروجيڪٽ جيڪو توهان استعمال ڪري سگهو ٿا تڪڙو اندازو لڳائڻ لاءِ IP ڪور ايريا ۽ وقت.
F-Tile CPRI PHY Intel FPGA IP ڪور مهيا ڪري ٿي ڊيزائن ٺاهڻ جي صلاحيتamples سڀني سپورٽ ٿيل مجموعن لاءِ CPRI چينلز جي تعداد ۽ CPRI لائن بٽ ريٽ. ٽيسٽ بينچ ۽ ڊيزائن اڳوڻيampf-ٽائل CPRI PHY Intel FPGA IP ڪور جي ڪيترن ئي پيٽرولر مجموعن کي سپورٽ ڪري ٿو.

شڪل 1. ڊيزائن جي ترقي لاء قدم Example

Intel F-Tile CPRI PHY FPGA IP ڊيزائن Exampتصوير 1

لاڳاپيل معلومات

  • F-Tile CPRI PHY Intel FPGA IP يوزر گائيڊ
    • تفصيلي ڄاڻ لاءِ F-tile CPRI PHY IP.
  • F-Tile CPRI PHY Intel FPGA IP رليز نوٽس
    • IP رليز نوٽس لسٽ IP تبديلين کي خاص رليز ۾.
هارڊويئر ۽ سافٽ ويئر گهرجون

اڳوڻي کي جانچڻ لاءampلي ڊيزائن، هيٺ ڏنل هارڊويئر ۽ سافٽ ويئر استعمال ڪريو:

  • Intel Quartus® Prime Pro Edition سافٽ ويئر
  • سسٽم ڪنسول
  • سپورٽ ٿيل سموليٽر:
    • Synopsys * VCS *
    • Synopsys VCS MX
    • Siemens* EDA ModelSim* SE يا Questa*- Questa-Intel FPGA ايڊيشن
ڊيزائن ٺاهڻ

شڪل 2. طريقيڪار

Intel F-Tile CPRI PHY FPGA IP ڊيزائن Exampتصوير 2شڪل 3. سابقampلي ڊيزائن ٽيب IP پيٽرولر ايڊيٽر ۾

Intel F-Tile CPRI PHY FPGA IP ڊيزائن Exampتصوير 3

Intel Quartus Prime Pro Edition پروجيڪٽ ٺاهڻ لاءِ:

  1. Intel Quartus Prime Pro Edition ۾، ڪلڪ ڪريو File ➤ نئون پروجيڪٽ مددگار هڪ نئون Quartus Prime پروجيڪٽ ٺاهڻ لاءِ، يا File ➤ اوپن پروجيڪٽ هڪ موجوده Intel Quartus Prime پروجيڪٽ کي کولڻ لاءِ. جادوگر توهان کي هڪ ڊوائيس بيان ڪرڻ لاء اشارو ڏئي ٿو.
  2. ڊوائيس خانداني Agilex (I-series) جي وضاحت ڪريو ۽ هڪ ڊوائيس چونڊيو جيڪو انهن سڀني گهرجن کي پورو ڪري ٿو:
    • ٽرانسيور ٽائل F-ٽائل آهي
    • ٽرانسيور اسپيڊ گريڊ -1 يا -2 آهي
    • ڪور اسپيڊ گريڊ -1 يا -2 يا -3 آهي
  3. ڪلڪ ڪريو ختم.

F-Tile CPRI PHY Intel FPGA IP هارڊويئر ڊيزائن ٺاهڻ لاءِ انهن قدمن تي عمل ڪريوampلي ۽ ٽيسٽ بينچ:

  1. IP Catalog ۾، ڳوليو ۽ چونڊيو F-Tile CPRI PHY Intel FPGA IP. نئين IP تبديلي ونڊو ظاهر ٿئي ٿي.
  2. هڪ اعلي سطحي نالو بيان ڪريو توهان جي ڪسٽم IP تبديلين لاء. پيٽرولر ايڊيٽر محفوظ ڪري ٿو IP مختلف سيٽنگون a file نالو .ip.
  3. OK تي ڪلڪ ڪريو. پراميٽر ايڊيٽر ظاهر ٿئي ٿو.
  4. IP ٽئب تي، توهان جي IP بنيادي تبديلي لاءِ پيٽرول بيان ڪريو.
  5. تي Exampلي ڊيزائن ٽيب، هيٺان Exampلي ڊزائن Files، چونڊيو سموليشن آپشن ٺاهڻ لاءِ testbench ۽ compilation-only پروجيڪٽ. هارڊويئر ڊيزائن ٺاهڻ لاءِ Synthesis آپشن چونڊيوampلي. توھان کي ٺاھڻ لاءِ گھٽ ۾ گھٽ ھڪڙو چونڊيو سموليشن ۽ سنٿيسس اختيارن مانampلي.
  6. تي Exampلي ڊيزائن ٽيب، ٺاهيل ايڇ ڊي ايل فارميٽ جي تحت، چونڊيو ويريلوگ HDL يا VHDL. جيڪڏهن توهان VHDL چونڊيو ٿا، توهان کي لازمي طور تي ٽيسٽ بينچ کي مخلوط-ٻولي سميليٽر سان ٺهرايو وڃي. ڊوائيس امتحان هيٺ آهي ex_ ۾ ڊاريڪٽري هڪ VHDL ماڊل آهي، پر مکيه ٽيسٽ بينچ file هڪ سسٽم Verilog آهي file.
  7. ڪلڪ ڪريو Generate Exampلي ڊيزائن بٽڻ. منتخب ڪريو Exampلي ڊيزائن ڊاريڪٽري ونڊو ظاهر ٿئي ٿي.
  8. جيڪڏهن توهان ڊزائن کي تبديل ڪرڻ چاهيو ٿا example ڊاريڪٽري جو رستو يا نالو ڏيکاريل ڊفالٽ مان (cpriphy_ftile_0_example_design)، نئين رستي ڏانهن براؤز ڪريو ۽ نئين ڊيزائن کي ٽائپ ڪريو exampلي ڊاريڪٽري جو نالو (ample_dir>).
ڊاريڪٽري جي جوڙجڪ

F-Tile CPRI PHY Intel FPGA IP ڪور ڊيزائن example file ڊاريڪٽري هيٺ ڏنل ٺاهيل تي مشتمل آهي files ڊزائينز لاءِ exampلي.

شڪل 4. ٺاهيل Ex جي ڊائريڪٽري جي جوڙجڪampلي ڊزائن

Intel F-Tile CPRI PHY FPGA IP ڊيزائن Exampتصوير 4

ٽيبل 1. ٽيسٽ بينچ File وضاحتون

File نالا وصف
اهم ٽيسٽ بينچ ۽ تخليق Files
<design_example_dir>/ مثالample_testbench/basic_avl_tb_top.sv اعليٰ سطحي ٽيسٽ بينچ file. ٽيسٽ بينچ DUT ريپر کي فوري ڪري ٿو ۽ پيڪٽ ٺاهڻ ۽ قبول ڪرڻ لاءِ Verilog HDL ڪمن کي هلائي ٿو.
<design_example_dir>/ مثالample_testbench/ cpriphy_ftile_wrapper.sv DUT لفافي جيڪو DUT ۽ ٻين ٽيسٽ بينچ اجزاء کي فوري ڪري ٿو.
ٽيسٽ بينچ اسڪرپٽ (1)
<design_example_dir>/ مثالample_testbench/run_vsim.do Siemens EDA ModelSim SE يا Questa يا Questa-Intel FPGA ايڊيشن اسڪرپٽ ٽيسٽ بينچ کي هلائڻ لاءِ.
<design_example_dir>/ مثالample_testbench/run_vcs.sh ٽيسٽ بينچ کي هلائڻ لاءِ Synopsys VCS اسڪرپٽ.
<design_example_dir>/ مثالample_testbench/run_vcsmx.sh ٽيسٽ بينچ کي هلائڻ لاءِ Synopsys VCS MX اسڪرپٽ (گڏيل Verilog HDL ۽ SystemVerilog VHDL سان)

ڪنهن به ٻئي سميوليٽر اسڪرپٽ ۾ نظر انداز ڪريوample_dir>/example_testbench/ فولڊر.

ٽيبل 2. هارڊويئر ڊيزائن Example File وضاحتون

File نالا وضاحتون
<design_example_dir>/hardware_test_design/ cpriphy_ftile_hw.qpf Intel Quartus Prime پروجيڪٽ file.
<design_example_dir>/hardware_test_design/ cpriphy_ftile_hw.qsf Intel Quartus Prime پروجيڪٽ سيٽنگ file.
<design_example_dir>/hardware_test_design/ cpriphy_ftile_hw.sdc Synopsys ڊيزائن جي پابنديون fileايس. توھان انھن کي نقل ۽ تبديل ڪري سگھو ٿا fileتوهان جي پنهنجي Intel Agilex™ ڊيزائن لاءِ.
<design_example_dir>/hardware_test_design/ cpriphy_ftile_hw.v اعلي سطحي ويريلوگ HDL ڊيزائن اڳوڻيample file.
<design_example_dir>/hardware_test_design/ cpriphy_ftile_wrapper.sv DUT لفافي جيڪو DUT ۽ ٻين ٽيسٽ بينچ اجزاء کي فوري ڪري ٿو.
<design_example_dir>/hardware_test_design/ hwtest_sl/main_script.tcl مکيه file سسٽم ڪنسول تائين رسائي لاءِ.
ڊيزائن جو نمونو Exampلي ٽيسٽ بينچ

شڪل 5. طريقيڪار

Intel F-Tile CPRI PHY FPGA IP ڊيزائن Exampتصوير 5

ٽيسٽ بينچ کي نقل ڪرڻ لاءِ انهن قدمن تي عمل ڪريو:

  1. ڪمانڊ پرامپٽ تي، ٽيسٽ بينچ سموليشن ڊاريڪٽري ۾ تبديل ڪريوample_dir>/example_testbench. سي ڊي /example_testbench
  2. quartus_tlg ٺاهيل پروجيڪٽ تي هلايو file: quartus_tlg cpriphy_ftile_hw
  3. ip-setup-simulation هلايو: ip-setup-simulation -output-directory=./sim_script -use-relative-paths -quartus project=cpriphy_ftile_hw.qpf
  4. پنھنجي پسند جي سپورٽ ٿيل سموليٽر لاءِ نقلي اسڪرپٽ ھلايو. اسڪرپٽ گڏ ڪري ٿو ۽ ٽيسٽ بينچ کي سمائيٽر ۾ هلائي ٿو. ٽيبل ڏانهن رجوع ڪريو ٽيسٽ بينچ کي ترتيب ڏيڻ لاءِ قدم.
  5. نتيجن جو تجزيو ڪريو. ڪامياب ٽيسٽ بينچ کي پنج هائپر فريم مليا، ۽ "PASSED" ڏيکاري ٿو.

جدول 3. Synopsys VCS * Simulator ۾ Testbench کي ٺاھڻ جا قدم

سمائيٽر هدايتون
وي سي ايس ڪمانڊ لائن ۾، ٽائپ ڪريو:
sh run_vcs.sh  
جاري رهيو…
سمائيٽر هدايتون
VCS MX ڪمانڊ لائن ۾، ٽائپ ڪريو:
sh run_vcsmx.sh  
ModelSim SE يا Questa يا Questa-Intel FPGA ايڊيشن ڪمانڊ لائن ۾، ٽائپ ڪريو:
vsim -do run_vsim.do  
جيڪڏهن توهان GUI کي آڻڻ کان سواءِ تخليق ڪرڻ چاهيو ٿا، ٽائپ ڪريو:
vsim -c -do run_vsim.do  

هيٺيون ايسampلي آئوٽ 24.33024 Gbps لاءِ 4 سي پي آر آئي چينلز سان گڏ هڪ ڪامياب تخليق ٽيسٽ رن کي بيان ڪري ٿو:

Intel F-Tile CPRI PHY FPGA IP ڊيزائن Exampتصوير 9 Intel F-Tile CPRI PHY FPGA IP ڊيزائن Exampتصوير 10 Intel F-Tile CPRI PHY FPGA IP ڊيزائن Exampتصوير 11

ڪمپيليشن-صرف پروجيڪٽ کي ترتيب ڏيڻ

تاليف کي گڏ ڪرڻ لاءِ- صرف exampلي پروجيڪٽ، انهن قدمن تي عمل ڪريو:

  1. ٺاھڻ جي ڊيزائن کي يقيني بڻايو وڃيampنسل مڪمل آهي.
  2. Intel Quartus Prime Pro Edition سافٽ ويئر ۾، Intel Quartus Prime Pro Edition پروجيڪٽ کوليوample_dir>/compilation_test_design/cpriphy_ftile.qpf.
  3. پروسيسنگ مينيو تي، ڪلڪ ڪريو ڪمپليشن شروع ڪريو.
  4. ڪامياب تاليف کان پوء، وقت ۽ وسيلن جي استعمال لاء رپورٽون توهان جي Intel Quartus Prime Pro Edition سيشن ۾ موجود آهن.

لاڳاپيل معلومات
بلاڪ بيسڊ ڊيزائن فلوز

ڊيزائن کي گڏ ڪرڻ ۽ ترتيب ڏيڻ Exampهارڊويئر ۾

هارڊويئر ڊيزائن کي گڏ ڪرڻ لاءِ example ۽ ان کي پنهنجي Intel Agilex ڊوائيس تي ترتيب ڏيو، انهن قدمن تي عمل ڪريو:

  1. هارڊويئر ڊيزائن کي يقيني بڻايو exampنسل مڪمل آهي.
  2. Intel Quartus Prime Pro Edition سافٽ ويئر ۾، Intel Quartus Prime پروجيڪٽ کوليوample_dir>/hardware_test_design/ cpriphy_ftile_hw.qpf.
  3. .qsf ۾ ترميم ڪريو file توهان جي هارڊويئر جي بنياد تي پنن کي تفويض ڪرڻ لاء.
  4. پروسيسنگ مينيو تي، ڪلڪ ڪريو ڪمپليشن شروع ڪريو.
  5. ڪامياب تاليف کان پوء، هڪ .sof file ۾ موجود آهيample_dir>/hardware_test_design/output_files ڊاريڪٽري.

هارڊويئر ڊيزائن کي پروگرام ڪرڻ لاءِ انهن قدمن تي عمل ڪريوampLe Intel Agilex ڊوائيس تي:

  • Intel Agilex I-series Transceiver Signal Integrity Development Kit کي ميزبان ڪمپيوٽر سان ڳنڍيو.
    نوٽ: ڊولپمينٽ کٽ ڊفالٽ طور تي صحيح ڪلاڪ جي تعدد سان اڳڀرائي ڪئي وئي آهي. توهان کي تعدد سيٽ ڪرڻ لاء ڪلاڪ ڪنٽرول ايپليڪيشن استعمال ڪرڻ جي ضرورت ناهي.
  • اوزار مينيو تي، پروگرامر تي ڪلڪ ڪريو.
  • پروگرامر ۾، هارڊويئر سيٽ اپ تي ڪلڪ ڪريو.
  • هڪ پروگرامنگ ڊوائيس چونڊيو.
  • پڪ ڪريو ته موڊ سيٽ ڪيو ويو آهي JTAG.
  • Intel Agilex ڊوائيس چونڊيو ۽ ڊيوائس شامل ڪريو تي ڪلڪ ڪريو. پروگرامر توهان جي بورڊ تي ڊوائيسز جي وچ ۾ رابطن جو هڪ بلاڪ ڊراگرام ڏيکاري ٿو.
  • توهان جي .sof سان قطار ۾، .sof لاء باڪس چيڪ ڪريو.
  • پروگرام/ڪانفيگر ڪالمن ۾ دٻي کي چيڪ ڪريو.
  • ڪلڪ ڪريو شروع.

لاڳاپيل معلومات

  • بلاڪ بيسڊ ڊيزائن فلوز
  • پروگرامنگ Intel FPGA ڊوائيسز
  • سسٽم ڪنسول سان ڊيزائن جو تجزيو ۽ ڊيبگنگ
ٽيسٽ هارڊويئر ڊيزائن Example

توهان جي مرتب ڪرڻ کان پوءِ F-Tile CPRI PHY Intel FPGA IP ڪور ڊيزائن example ۽ ان کي پنهنجي Intel Agilex ڊوائيس تي ترتيب ڏيو، توهان IP ڪور ۽ ان جي PHY IP ڪور رجسٽر کي پروگرام ڪرڻ لاءِ سسٽم ڪنسول استعمال ڪري سگهو ٿا.
سسٽم ڪنسول کي چالو ڪرڻ ۽ هارڊويئر ڊيزائن کي جانچڻ لاءِ exampپوء، انهن قدمن تي عمل ڪريو:

  1. هارڊويئر ڊيزائن کان پوءِ example انٽيل Agilex ڊوائيس تي ترتيب ڏنل آهي، Intel Quartus Prime Pro Edition سافٽ ويئر ۾، ٽولز مينيو تي، ڪلڪ ڪريو سسٽم ڊيبگنگ ٽولز ➤ سسٽم ڪنسول.
  2. Tcl ڪنسول پين ۾، ٽائيپ ڪريو cd hwtest ڊاريڪٽري کي تبديل ڪرڻ لاءample_dir>/hardware_test_design/hwtest_sl.
  3. ٽائيپ ڪريو Source main_script.tcl J سان ڪنيڪشن کولڻ لاءِTAG ماسٽر ۽ امتحان شروع ڪريو.

ڊيزائن Exampوضاحت

ڊزائن جو مثالample F-Tile CPRI PHY Intel FPGA IP ڪور جي بنيادي ڪارڪردگي کي ڏيکاري ٿو. توھان ٺاھي سگھوٿا ڊيزائن مان Example ڊيزائن ٽيب ۾ F-Tile CPRI PHY Intel FPGA IP پيٽرولر ايڊيٽر.
ڊيزائن ٺاهڻ لاءِ exampلي، توھان کي پھريون پھريائين پيراميٽر جي قيمت مقرر ڪرڻ گھرجي IP بنيادي تبديليءَ لاءِ توھان جو ارادو آھي توھان جي آخري پراڊڪٽ ۾ پيدا ڪرڻ. توھان چونڊ ڪري سگھو ٿا ٺاھيو ٺاھيو ڊيزائن exampRS-FEC خصوصيت سان يا بغير. RS-FEC خاصيت موجود آهي 10.1376، 12.1651 ۽ 24.33024 Gbps CPRI لائن بٽ جي شرحن سان.
ٽيبل 4. F-Tile CPRI PHY Intel FPGA IP ڪور فيچر ميٽرڪس

CPRI لائن بٽ ريٽ (Gbps) RS-FEC سپورٽ حوالو گھڙي (MHz) Deterministic Latency سپورٽ
1.2288 نه 153.6 ها
2.4576 نه 153.6 ها
3.072 نه 153.6 ها
4.9152 نه 153.6 ها
6.144 نه 153.6 ها
9.8304 نه 153.6 ها
10.1376 سان ۽ بغير 184.32 ها
12.1651 سان ۽ بغير 184.32 ها
24.33024 سان ۽ بغير 184.32 ها
خاصيتون
  • ٺاھيو ڊيزائن exampRS-FEC خصوصيت سان
  • بنيادي پيڪٽ جي چڪاس جي صلاحيتون شامل آهن گول سفر جي دير جي ڳڻپ
Simulation Design Example

F-Tile CPRI PHY Intel FPGA IP ڊيزائن اڳوڻيample هڪ تخليق ٽيسٽ بينچ ۽ تخليق ٺاهي ٿو files جيڪو فوري ڪري ٿو F-Tile CPRI PHY Intel FPGA IP ڪور جڏهن توهان چونڊيو سموليشن آپشن.

شڪل 6. 10.1316، 12.1651، ۽ 24.33024 Gbps (RS-FEC سان ۽ بغير) لائن جي قيمتن لاءِ بلاڪ ڊاگرام

Intel F-Tile CPRI PHY FPGA IP ڊيزائن Exampتصوير 6شڪل 7. بلاڪ ڊاگرام لاءِ 1.228، 2.4576، 3.072، 4.9152، 6.144، ۽ 9.8304 Gbps لائين ريٽ

Intel F-Tile CPRI PHY FPGA IP ڊيزائن Exampتصوير 7

هن ڊزائن ۾ اڳوڻيampلي، سموليشن ٽيسٽ بينچ بنيادي ڪارڪردگي مهيا ڪري ٿي جهڙوڪ شروع ڪرڻ ۽ انتظار ڪرڻ لاءِ لاڪ، منتقلي ۽ پيڪيٽ وصول ڪرڻ.
ڪامياب ٽيسٽ رن ھيٺ ڏنل رويي جي تصديق ڪندي ٻاھر ڏيکاري ٿو:

  1. ڪلائنٽ منطق IP ڪور کي ري سيٽ ڪري ٿو.
  2. ڪلائنٽ منطق RX ڊيٽا پاٿ جي ترتيب جي انتظار ۾ آهي.
  3. ڪلائنٽ منطق TX MII انٽرفيس تي هائپر فريم منتقل ڪري ٿو ۽ RX MII انٽرفيس تي وصول ٿيڻ لاءِ پنج هائپر فريم جو انتظار ڪري ٿو. هائپر فريم MII انٽرفيس تي CPRI v7.0 وضاحتن جي مطابق منتقل ۽ وصول ڪيا ويا آهن.
    نوٽ: سي پي آر آئي ڊزائينز جيڪي ھدف ڪن ٿيون 1.2، 2.4، 3، 4.9، 6.1، ۽ 9.8 Gbps لائين ريٽ 8b/10b انٽرفيس استعمال ڪن ٿيون ۽ ڊيزائن جيڪي ھدف ڪن ٿيون 10.1، 12.1 ۽ 24.3 Gbps (RS-FEC سان ۽ بغير) استعمال ڪن ٿيون MII انٽرفيس. هي ڊزائن اڳوڻيample ۾ TX کان RX تائين گول ٽريپ جي ويڪرائي کي ڳڻڻ لاءِ هڪ گول ٽرپ ڪائونٽر شامل آهي.
  4. ڪلائنٽ لاجڪ گول ٽريپ ليٽيسي جي قيمت کي پڙهي ٿو ۽ RX MII پاسي تي هائپر فريم ڊيٽا جي مواد ۽ درستگي جي جانچ ڪري ٿو جڏهن ڪائونٽر مڪمل ڪري ٿو گول سفر جي دير جي ڳڻپ.

لاڳاپيل معلومات

  • CPRI وضاحتون
هارڊويئر ڊيزائن Example

شڪل 8. هارڊويئر ڊيزائن Exampلي بلاڪ ڊاگرام

Intel F-Tile CPRI PHY FPGA IP ڊيزائن Exampتصوير 8

 

نوٽ

  1. 2.4 / 4.9 / 9.8 Gbps سان سي پي آر آئي ڊيزائين سي پي آر آئي لائن جي شرح 8b / 10b انٽرفيس استعمال ڪن ٿا ۽ ٻيا سڀئي سي پي آر آئي لائين ريٽ ڊيزائن MII انٽرفيس استعمال ڪن ٿا.
  2. 2.4/4.9/9.8 Gbps سان سي پي آر آئي ڊيزائن جي قيمتن کي 153.6 MHz ٽرانسيور ريفرنس ڪلاڪ جي ضرورت آهي ۽ ٻين سڀني سي پي آر آئي لائن جي شرحن کي 184.32 MHz جي ضرورت آهي.

F-Tile CPRI PHY Intel FPGA IP ڪور هارڊويئر ڊيزائن exampهيٺ ڏنل اجزاء شامل آهن:

  • F-Tile CPRI PHY Intel FPGA IP ڪور.
  • پيڪٽ ڪلائنٽ منطق بلاڪ جيڪو ٽرئفڪ پيدا ڪري ٿو ۽ وصول ڪري ٿو.
  • گول سفر ڪائونٽر.
  • IOPLL s پيدا ڪرڻ لاءampling clock for deterministic lateency logic in the IP, and round trip counter component at testbench.
  • سسٽم PLL IP لاء سسٽم ڪلاڪ پيدا ڪرڻ لاء.
  • Avalon®-MM ايڊريس ڊيڪوڊر ريڪنفيگريشن ايڊريس اسپيس کي ڊيڪوڊ ڪرڻ لاءِ CPRI، Transceiver، ۽ Ethernet ماڊلز لاءِ ريڪنفيگريشن رسائيز دوران.
  • ري سيٽ ڪرڻ ۽ گھڙين جي نگراني ڪرڻ ۽ ڪجھ اسٽيٽس بِٽ لاءِ ذريعا ۽ تحقيق.
  • JTAG ڪنٽرولر جيڪو سسٽم ڪنسول سان رابطو ڪري ٿو. توهان سسٽم ڪنسول ذريعي ڪلائنٽ منطق سان رابطو ڪريو.
انٽرفيس سگنل

ٽيبل 5. ڊيزائن Exampلي انٽرفيس سگنل

سگنل ھدايت وصف
ref_clk100MHz ان پٽ ان پٽ گھڙي CSR جي رسائي لاءِ سڀني ريڪنفيگريشن انٽرفيس تي. 100 MHz تي ڊرائيو.
i_clk_ref[0] ان پٽ سسٽم PLL لاءِ حوالو گھڙي. 156.25 MHz تي ڊرائيو.
i_clk_ref[1] ان پٽ ٽرانسيور ريفرنس ڪلاڪ. تي ڊرائيو

• 153.6 MHz CPRI لائن جي شرح 1.2، 2.4، 3، 4.9، 6.1، ۽ 9.8 Gbps لاءِ.

• 184.32 MHz CPRI لائن جي شرحن لاءِ 10.1,12.1، ۽ 24.3 Gbps سان گڏ ۽ بغير RS-FEC.

i_rx_serial[n] ان پٽ ٽرانسيور PHY ان پٽ سيريل ڊيٽا.
o_tx_serial[n] ٻاھر ٽرانسيور PHY آئوٽ سيريل ڊيٽا.
ڊيزائن Exampلي رجسٽرز

ٽيبل 6. ڊيزائن Exampلي رجسٽرز

چينل نمبر بنيادي پتو (بائيٽ ايڊريس) رجسٽر جو قسم
 

 

0

0x00000000 چينل 0 لاءِ CPRI PHY ريڪنفيگريشن رجسٽر
0x00100000 Ethernet Reconfiguration رجسٽر ٿيو چينل 0 لاءِ
0x00200000 چينل 0 لاءِ ٽرانسيور ريڪنفيگريشن رجسٽر
 

1(2)

0x01000000 چينل 1 لاءِ CPRI PHY ريڪنفيگريشن رجسٽر
0x01100000 Ethernet Reconfiguration رجسٽر ٿيو چينل 1 لاءِ
0x01200000 چينل 1 لاءِ ٽرانسيور ريڪنفيگريشن رجسٽر
 

2(2)

0x02000000 چينل 2 لاءِ CPRI PHY ريڪنفيگريشن رجسٽر
0x02100000 Ethernet Reconfiguration رجسٽر ٿيو چينل 2 لاءِ
0x02200000 چينل 2 لاءِ ٽرانسيور ريڪنفيگريشن رجسٽر
جاري رهيو…
چينل نمبر بنيادي پتو (بائيٽ ايڊريس) رجسٽر جو قسم
 

3(2)

0x03000000 چينل 3 لاءِ CPRI PHY ريڪنفيگريشن رجسٽر
0x03100000 Ethernet Reconfiguration رجسٽر ٿيو چينل 3 لاءِ
0x03200000 چينل 3 لاءِ ٽرانسيور ريڪنفيگريشن رجسٽر

اهي رجسٽر محفوظ آهن جيڪڏهن چينل استعمال نه ڪيو وڃي.

F-Tile CPRI PHY Intel FPGA IP ڊيزائن Exampلي يوزر گائيڊ آرڪائيوز

جيڪڏهن هڪ IP ڪور نسخو درج نه ڪيو ويو آهي، اڳوڻي IP ڪور ورزن لاء صارف گائيڊ لاڳو ٿئي ٿو.

Intel Quartus Prime نسخو IP ڪور نسخو استعمال ڪندڙ ھدايت
21.2 2.0.0 F-Tile CPRI PHY Intel FPGA IP ڊيزائن Exampلي يوزر گائيڊ

F-Tile CPRI PHY Intel FPGA IP Design Ex. جي لاءِ دستاويز جي نظرثاني جي تاريخampلي يوزر گائيڊ

دستاويزي نسخو Intel Quartus Prime نسخو IP نسخو تبديليون
2021.10.04 21.3 3.0.0
  • سيڪشن ۾ نون سمائيليٽرز لاءِ سپورٽ شامل ڪئي وئي: هارڊويئر ۽ سافٽ ويئر گهرجون.
  • سيڪشن ۾ اپڊيٽ ٿيل قدم: ڊيزائن جو نمونو Exampلي ٽيسٽ بينچ.
  • نئين لائين جي شرح جي معلومات سان هيٺين حصن کي اپڊيٽ ڪيو:
    • ڊيزائن Exampوضاحت
    • Simulation Design Example
    • انٽرفيس سگنل
  • سيڪشن ۾ ايڊريس کي اپڊيٽ ڪيو: ڊيزائن Exampلي رجسٽرز.
2021.06.21 21.2 2.0.0 شروعاتي ڇڏڻ.

Intel Corporation. سڀ حق محفوظ آهن. Intel، Intel لوگو، ۽ ٻيا Intel نشان آھن Intel Corporation يا ان جي ماتحت ادارن جا ٽريڊ مارڪ. Intel وارنٽي ڏئي ٿو پنهنجي FPGA ۽ سيمڪنڊڪٽر پروڊڪٽس جي ڪارڪردگي کي موجوده وضاحتن مطابق Intel جي معياري وارنٽي مطابق، پر ڪنهن به وقت بغير اطلاع جي ڪنهن به پروڊڪٽس ۽ خدمتن ۾ تبديليون ڪرڻ جو حق محفوظ رکي ٿو. Intel هتي بيان ڪيل ڪنهن به معلومات، پراڊڪٽ، يا خدمت جي ايپليڪيشن يا استعمال مان پيدا ٿيندڙ ڪابه ذميواري يا ذميواري قبول نه ڪندو آهي سواءِ انٽيل طرفان لکڻ ۾ واضح طور تي اتفاق ڪيو ويو. Intel گراهڪن کي صلاح ڏني وئي آهي ته ڪنهن به شايع ٿيل معلومات تي ڀروسو ڪرڻ کان پهريان ۽ پروڊڪٽس يا خدمتن لاءِ آرڊر ڏيڻ کان پهريان ڊوائيس جي وضاحتن جو جديد نسخو حاصل ڪن.
* ٻيا نالا ۽ برانڊ ٻين جي ملڪيت جي طور تي دعوي ڪري سگھن ٿا.

دستاويز / وسيلا

Intel F-Tile CPRI PHY FPGA IP ڊيزائن Example [pdf] استعمال ڪندڙ ھدايت
F-Tile CPRI PHY FPGA IP ڊيزائن Example، PHY FPGA IP ڊيزائن Example، F-Tile CPRI IP ڊيزائن Exampلي، IP ڊيزائن Exampلي، IP ڊيزائن

حوالو

تبصرو ڇڏي ڏيو

توهان جو اي ميل پتو شايع نه ڪيو ويندو. گهربل فيلڊ نشان لڳل آهن *