Lógó intel

intel F-Tile CPRI PHY FPGA IP Design Example

intel F-Tile CPRI PHY FPGA IP Design Example táirge

Treoir Tosaigh Tapa

Soláthraíonn croí F-Tile CPRI PHY Intel® FPGA IP binse tástála insamhalta agus dearadh crua-earraí example a thacaíonn le tiomsú agus tástáil crua-earraí. Nuair a ghineann tú an dearadh example, cruthaíonn an eagarthóir paraiméadar go huathoibríoch an files riachtanach chun an dearadh i crua-earraí a insamhail, a thiomsú agus a thástáil.
Soláthraíonn Intel chomh maith le tiomsú-amháin example tionscadal ar féidir leat é a úsáid chun croí-limistéar agus uainiú IP a mheas go tapa.
Soláthraíonn croí F-Tile CPRI PHY Intel FPGA IP an cumas dearadh a ghiniúint examples do gach teaglaim tacaithe de líon na gcainéal CPRI agus rátaí giotán líne CPRI. An testbench agus dearadh example tacú le teaglaim paraiméadar iomadúla de chroílár IP Intel FPGA IP Tile CPRI PHY.

Fíor 1. Céimeanna Forbartha don Dearadh Example

intel F-Tile CPRI PHY FPGA IP Design Example fig 1

Eolas Gaolmhar

  • F-Tíleanna CPRI PHY Treoir Úsáideora IP Intel FPGA
    • Chun faisnéis mhionsonraithe a fháil ar F-tile CPRI PHY IP.
  • Nótaí Eisiúna IP F-Tile CPRI PHY Intel FPGA
    • Liostaíonn na Nótaí Eisiúna IP athruithe IP i scaoileadh áirithe.
Riachtanais Crua-earraí agus Bogearraí

Chun tástáil a dhéanamh ar an seanampLe dearadh, bain úsáid as na crua-earraí agus na bogearraí seo a leanas:

  • Bogearraí Intel Quartus® Prime Pro Edition
  • Consól córais
  • Insamhlóirí Tacaithe:
    • Achoimre* VCS*
    • Achoimre VCS MX
    • Siemens* EDA ModelSim* SE nó Questa* — Eagrán FPGA Questa-Intel
An Dearadh a Ghiniúint

Fíor 2. Nós Imeachta

intel F-Tile CPRI PHY FPGA IP Design Example fig 2Fíor 3. Example Design Tab in Eagarthóir Paraiméadar IP

intel F-Tile CPRI PHY FPGA IP Design Example fig 3

Chun tionscadal Intel Quartus Prime Pro Edition a chruthú:

  1. Sa Intel Quartus Prime Pro Edition, cliceáil File ➤ Treoraí Tionscadail Nua chun tionscadal nua Quartus Prime a chruthú, nó File ➤ Tionscadal Oscailte chun tionscadal Intel Quartus Prime atá ann cheana féin a oscailt. Spreagann an draoi tú gléas a shonrú.
  2. Sonraigh teaghlach an ghléis Agilex (I-sraith) agus roghnaigh gléas a chomhlíonann na ceanglais seo go léir:
    • Tá tíl transceiver F-tíl
    • Is é -1 nó -2 an grád luais transceiver
    • Is é grád croí-luas ná -1 nó -2 nó -3
  3. Cliceáil Críochnaigh.

Lean na céimeanna seo chun dearadh crua-earraí F-Tile CPRI PHY Intel FPGA IP a ghiniúint example agus testbench:

  1. Sa Chatalóg IP, aimsigh agus roghnaigh F-Tile CPRI PHY Intel FPGA IP. Feictear an fhuinneog Nua Athraithe IP.
  2. Sonraigh ainm barrleibhéil le haghaidh do athrú IP saincheaptha. Sábhálann an t-eagarthóir paraiméadar na socruithe éagsúlachta IP i a file ainmnithe .ip.
  3. Cliceáil OK. Tá an t-eagarthóir paraiméadar le feiceáil.
  4. Ar an táb IP, sonraigh na paraiméadair do do chroí-athrú IP.
  5. Ar an Example Design tab, faoi Example Dearadh Files, roghnaigh an rogha Insamhladh chun an testbench agus an tionscadal tiomsaithe amháin a ghiniúint. Roghnaigh an rogha Sintéise chun an dearadh crua-earraí a ghiniúint example. Ní mór duit ceann amháin ar a laghad de na roghanna Insamhladh agus Sintéise a roghnú chun an dearadh example.
  6. Ar an Example Design tab, faoi Generated HDL Formáid, roghnaigh Verilog HDL nó VHDL. Má roghnaíonn tú VHDL, ní mór duit an binse tástála a insamhladh le hionsamhlóir teangacha measctha. An gléas atá á thástáil san ex_ Is samhail VHDL é an t-eolaire, ach an príomhbhinse tástála file Is Verilog Córas file.
  7. Cliceáil ar an Gin Example Dearadh cnaipe. An Roghnaigh Example Design Eolaire feictear fuinneog.
  8. Más mian leat an dearadh a mhodhnú example cosán eolaire nó ainm ó na réamhshocruithe ar taispeáint (cpriphy_ftile_0_example_design), brabhsáil chuig an gcosán nua agus clóscríobh an dearadh nua example ainm eolaire (ample_dir>).
Struchtúr Eolaire

An croí-dhearadh F-Tile CPRI PHY Intel FPGA IP example file cuimsíonn eolairí na cinn seo a leanas a ghintear files don dearadh example.

Fíor 4. Struchtúr Eolaire an Example Dearadh

intel F-Tile CPRI PHY FPGA IP Design Example fig 4

Tábla 1. Testbench File Cur síos

File Ainmneacha Cur síos
Eochair Testbench agus Insamhladh Files
<design_example_dir>/ example_testbench/basic_avl_tb_top.sv Binse tástála barrleibhéil file. Cuireann an binse tástála an fillteán DUT ar an toirt agus ritheann sé tascanna Verilog HDL chun paicéid a ghiniúint agus a ghlacadh.
<design_example_dir>/ example_testbench/ cpriphy_ftile_wrapper.sv Fillteán DUT a thionnaíonn DUT agus comhpháirteanna eile an bhinse tástála.
Scripteanna Testbench(1)
<design_example_dir>/ example_testbench/run_vsim.do An script Siemens EDA ModelSim SE nó Questa nó Questa-Intel FPGA Edition chun an binse tástála a rith.
<design_example_dir>/ example_testbench/run_vcs.sh An script Synopsys VCS chun an binse tástála a rith.
<design_example_dir>/ example_testbench/run_vcsmx.sh An script Synopsys VCS MX (le chéile Verilog HDL agus SystemVerilog le VHDL) chun an mbinse tástála a rith.

Déan neamhaird de script insamhlóir eile saample_dir>/example_testbench/ fillteán.

Tábla 2. Dearadh Crua-earraí Example File Cur síos

File Ainmneacha Cur síos
<design_example_dir>/hardware_test_design/ cpriphy_ftile_hw.qpf Tionscadal Intel Quartus Prime file.
<design_example_dir>/hardware_test_design/ cpriphy_ftile_hw.qsf Socrú tionscadail Intel Quartus Prime file.
<design_example_dir>/hardware_test_design/ cpriphy_ftile_hw.sdc Achoimre Srianta Dearaidh files. Is féidir leat iad seo a chóipeáil agus a mhodhnú files do dhearadh Intel Agilex™ féin.
<design_example_dir>/hardware_test_design/ cpriphy_ftile_hw.v Dearadh barrleibhéil Verilog HDL example file.
<design_example_dir>/hardware_test_design/ cpriphy_ftile_wrapper.sv Fillteán DUT a thionnaíonn DUT agus comhpháirteanna eile an bhinse tástála.
<design_example_dir>/hardware_test_design/ hwtest_sl/main_script.tcl Príomh- file chun rochtain a fháil ar Chonsól an Chórais.
Insamhladh ar an Dearadh Example Testbench

Fíor 5. Nós Imeachta

intel F-Tile CPRI PHY FPGA IP Design Example fig 5

Lean na céimeanna seo chun an binse tástála a insamhladh:

  1. Ag an ordú go pras, athraigh chuig an eolaire insamhalta testbenchample_dir>/example_testbench. cd /example_testbench
  2. Rith quartus_tlg ar an tionscadal ginte file: quartus_tlg cpriphy_ftile_hw
  3. Rith ip-setup-simulation: ip-setup-simulation –output-directory=./sim_script –use-relative-paths –quartus project=cpriphy_ftile_hw.qpf
  4. Rith an script ionsamhlúcháin le haghaidh an t-insamhlóir tacaithe de do rogha féin. Déanann an script an binse tástála a thiomsú agus a rith san insamhlóir. Déan tagairt don tábla Céimeanna chun an Binse Tástála a Insamhladh.
  5. Déan anailís ar na torthaí. Fuair ​​an binse tástála rathúil cúig hipearfhráma, agus taispeánann sé “PASSED”.

Tábla 3. Céimeanna chun an Binse tástála in Insamhlóir Achoimre VCS* a Insamhladh

Insamhlóir Treoracha
VCS Sa líne ordaithe, cineál:
sh run_vcs.sh  
ar lean…
Insamhlóir Treoracha
VCS MX Sa líne ordaithe, cineál:
sh run_vcsmx.sh  
Eagrán ModelSim SE nó Questa nó Questa-Intel FPGA Sa líne ordaithe, cineál:
vsim -do rith_vsim.do  
Más fearr leat insamhail a dhéanamh gan an GUI a thabhairt suas, clóscríobh:
vsim -c -do rith_vsim.do  

Seo a leanas sampLéiríonn le aschur rith tástála insamhalta rathúil do 24.33024 Gbps le 4 cainéal CPRI:

intel F-Tile CPRI PHY FPGA IP Design Example fig 9 intel F-Tile CPRI PHY FPGA IP Design Example fig 10 intel F-Tile CPRI PHY FPGA IP Design Example fig 11

An Tionscadal Tiomsaithe Amháin a Chur le chéile

Chun an tiomsú-amháin example tionscadal, lean na céimeanna seo:

  1. Cinntigh dearadh tiomsaithe example giniúint iomlán.
  2. I mbogearraí Intel Quartus Prime Pro Edition, oscail an tionscadal Intel Quartus Prime Pro Editionample_dir>/compilation_test_design/cpriphy_ftile.qpf.
  3. Ar an roghchlár Próiseáil, cliceáil Tosaigh Tiomsú.
  4. Tar éis tiomsú rathúil, tá tuarascálacha maidir le huainiú agus le húsáid acmhainní ar fáil i do sheisiún Intel Quartus Prime Pro Edition.

Eolas Gaolmhar
Sreabhadh Dearaidh Blocbhunaithe

An Dearadh a Thiomsú agus a Chumrú Example i Crua-earraí

Chun an dearadh crua-earraí a thiomsú example agus cumraigh é ar do ghléas Intel Agilex, lean na céimeanna seo:

  1. Cinntigh dearadh crua-earraí example giniúint iomlán.
  2. I mbogearraí Intel Quartus Prime Pro Edition, oscail an tionscadal Intel Quartus Primeample_dir>/hardware_test_design/ cpriphy_ftile_hw.qpf.
  3. Cuir an .qsf in eagar file chun bioráin a shannadh bunaithe ar do chrua-earraí.
  4. Ar an roghchlár Próiseáil, cliceáil Tosaigh Tiomsú.
  5. Tar éis tiomsú rathúil, a .sof file ar fáil iample_dir>/hardware_test_design/output_files eolaire.

Lean na céimeanna seo chun an dearadh crua-earraí a ríomhchlárú example ar ghléas Intel Agilex:

  • Ceangail Intel Agilex I-sraith Kit Forbartha Ionracas Comhartha Transceiver chuig an ríomhaire óstach.
    Nóta: Déantar an trealamh forbartha a réamhchlárú leis na minicíochtaí ceart clog de réir réamhshocraithe. Ní gá duit an feidhmchlár Rialaithe Clog a úsáid chun na minicíochtaí a shocrú.
  • Ar an roghchlár Uirlisí, cliceáil Ríomhchláraitheoir.
  • Sa Ríomhchláraitheoir, cliceáil Socrú Crua-earraí.
  • Roghnaigh gléas ríomhchlárúcháin.
  • Cinntigh go bhfuil Mód socraithe go JTAG.
  • Roghnaigh an gléas Intel Agilex agus cliceáil Cuir Gléas. Taispeánann an Ríomhchláraitheoir blocléaráid de na naisc idir na gléasanna ar do chlár.
  • Sa ró le do .sof, cuir tic sa bhosca don .sof.
  • Ticeáil an bosca sa cholún Clár/Cumraigh.
  • Cliceáil Tosaigh.

Eolas Gaolmhar

  • Sreabhadh Dearaidh Blocbhunaithe
  • Gléasanna Intel FPGA a Ríomhchlárú
  • Dearthaí a Anailísiú agus a Dhífhabhtú le Consól Córais
Dearadh Crua-earraí Example

Tar éis duit an F-Tile CPRI PHY Intel FPGA IP core design exampLe agus é a chumrú ar do ghléas Intel Agilex, is féidir leat Consól an Chórais a úsáid chun an croí IP agus a chroíchláir PHY IP a ríomhchlárú.
Chun Consól an Chórais a chur ar siúl agus an dearadh crua-earraí a thástáil example, lean na céimeanna seo:

  1. Tar éis an dearadh crua-earraí example tá sé cumraithe ar fheiste Intel Agilex, i mbogearraí Intel Quartus Prime Pro Edition, ar an roghchlár Uirlisí, cliceáil Uirlisí Dífhabhtaithe an Chórais ➤ Consól an Chórais.
  2. Sa phána Tcl Console, clóscríobh cd hwtest chun an t-eolaire a athrú goample_dir>/hardware_test_design/hwtest_sl.
  3. Clóscríobh foinse main_script.tcl chun nasc leis an JTAG máistir agus tús a chur leis an tástáil.

Dearadh Example Tuairisc

An dearadh example léiríonn an fheidhmiúlacht bhunúsach de chroí-F-Tile CPRI PHY Intel FPGA IP. Is féidir leat an dearadh a ghiniúint ón Example Dearadh cluaisín i eagarthóir paraiméadar F-Tile CPRI PHY Intel FPGA IP.
Chun an dearadh a ghiniúint example, ní mór duit na luachanna paraiméadar a shocrú ar dtús don chroíathrú IP atá beartaithe agat a ghiniúint i do tháirge deiridh. Is féidir leat an dearadh a ghiniúint example leis an ngné RS-FEC nó gan é. Tá an ghné RS-FEC ar fáil le rátaí giotán líne 10.1376, 12.1651 agus 24.33024 Gbps CPRI.
Tábla 4. F-Tíleanna CPRI PHY maitrís Croíghné Intel FPGA IP

Ráta Giotán Líne CPRI (Gbps) Tacaíocht RS-FEC Clog Tagartha (MHz) Tacaíocht Foighne Cinntitheach
1.2288 Níl 153.6
2.4576 Níl 153.6
3.072 Níl 153.6
4.9152 Níl 153.6
6.144 Níl 153.6
9.8304 Níl 153.6
10.1376 Le agus Gan 184.32
12.1651 Le agus Gan 184.32
24.33024 Le agus Gan 184.32
Gnéithe
  • Gin an dearadh example le gné RS-FEC
  • Bun-inniúlachtaí seiceála paicéid lena n-áirítear comhaireamh latency turas cruinn
Dearadh Insamhladh Example

An dearadh F-Tile CPRI PHY Intel FPGA IP example gineann testbench insamhalta agus insamhalta files a chuireann an croí F-Tile CPRI PHY Intel FPGA IP ar an toirt nuair a roghnaíonn tú an rogha Insamhladh.

Fíor 6. Léaráid Bloc do Rátaí Líne 10.1316, 12.1651, agus 24.33024 Gbps (le agus gan RS-FEC)

intel F-Tile CPRI PHY FPGA IP Design Example fig 6Fíor 7. Léaráid Bloc do 1.228, 2.4576, 3.072, 4.9152, 6.144, agus 9.8304 Gbps Ráta Líne

intel F-Tile CPRI PHY FPGA IP Design Example fig 7

Sa dearadh seo example, soláthraíonn an testbench insamhalta feidhmiúlacht bhunúsach cosúil le tosaithe agus fanacht le glasáil, a tharchur agus a fháil paicéid.
Taispeánann an rith tástála rathúil aschur ag dearbhú an iompair seo a leanas:

  1. Athshocraíonn loighic an chliaint an croí IP.
  2. Fanann loighic an chliaint leis an ailíniú sonraí cosán RX.
  3. Tarchuireann loighic na gcliant hyperframes ar chomhéadan TX MII agus fanann sé ar chúig hyperframes a bheith faighte ar chomhéadan RX MII. Tarchuirtear agus faightear hyperframes ar chomhéadan MII de réir sonraíochtaí CPRI v7.0.
    Nóta: Úsáideann na dearaí CPRI a dhíríonn ar 1.2, 2.4, 3, 4.9, 6.1, agus ráta líne 9.8 Gbps comhéadan 8b/10b agus úsáideann na dearaí a dhíríonn ar 10.1, 12.1 agus 24.3 Gbps (le agus gan RS-FEC) comhéadan MII. Tá an dearadh seo exampÁirítear le le cuntar turais chruinn chun latency turas cruinn ó TX go RX a chomhaireamh.
  4. Léann loighic an chliaint luach latency an turais bhabhta agus seiceálann sé inneachar agus cruinneas na sonraí hyperframes ar thaobh RX MII a luaithe a chríochnaíonn an cuntar an comhaireamh latency turas cruinn.

Eolas Gaolmhar

  • Sonraíochtaí CPRI
Dearadh Crua-earraí Example

Fíor 8. Dearadh Crua-earraí Example Léaráid Bloc

intel F-Tile CPRI PHY FPGA IP Design Example fig 8

 

Nóta

  1. Úsáideann na dearaí CPRI le rátaí líne CPRI 2.4/4.9/9.8 Gbps comhéadan 8b/10b agus úsáideann gach dearadh rátaí líne CPRI eile comhéadan MII.
  2. Ní mór do na dearaí CPRI le rátaí líne CPRI 2.4/4.9/9.8 Gbps clog tagartha transceiver 153.6 MHz agus ní mór do gach ráta líne CPRI eile 184.32 MHz.

An F-Tíleanna CPRI PHY dearadh crua-earraí croí Intel FPGA IP exampÁirítear le le na comhpháirteanna seo a leanas:

  • F-Tíleanna CPRI PHY Intel FPGA IP croí.
  • Bloc loighic cliant paicéad a ghineann agus a fhaigheann trácht.
  • Cuntar turais bhabhta.
  • IOPLL a ghiniúint sampclog fada le haghaidh loighic latency cinntitheach taobh istigh den IP, agus comhpháirt cuntar turas cruinn ag testbench.
  • Córas PLL chun cloig chórais a ghiniúint don IP.
  • Díchódóir seoltaí Avalon®-MM chun spás seoltaí athchumraithe a dhíchódú do mhodúil CPRI, Transceiver, agus Ethernet le linn rochtana athchumraithe.
  • Foinsí agus tóireadóirí chun athshocrú a dhearbhú agus monatóireacht a dhéanamh ar na cloig agus cúpla giotán stádais.
  • JTAG rialtóir a dhéanann cumarsáid le Consól an Chórais. Déanann tú cumarsáid le loighic an chliaint trí Chonsól an Chórais.
Comharthaí Comhéadain

Tábla 5. Dearadh Example Comharthaí Comhéadain

Comhartha Treo Cur síos
tag_clk100MHz Ionchur Clog ionchuir le haghaidh rochtain CSR ar na comhéadain athchumraithe go léir. Tiomáint ag 100 MHz.
i_clk_ref[0] Ionchur Clog tagartha don Chóras PLL. Tiomáint ag 156.25 MHz.
i_clk_ref[1] Ionchur Clog tagartha transceiver. Tiomáint ag

• 153.6 MHz le haghaidh ráta líne CPRI 1.2, 2.4, 3, 4.9, 6.1, agus 9.8 Gbps.

• 184.32 MHz do rátaí líne CPRI 10.1,12.1, agus 24.3 Gbps le agus gan RS-FEC.

i_rx_srathach[n] Ionchur Sonraí sraitheach ionchuir Transceiver PHY.
o_tx_srathach[n] Aschur Sonraí sraitheach aschuir Transceiver PHY.
Dearadh Example Cláir

Tábla 6. Dearadh Example Cláir

Uimhir Cainéal Seoladh Bunaidh (Seoladh Beart) Cineál Clár
 

 

0

0x00000000 Cláraíonn CPRI PHY Athchumrú do Chainéal 0
0x00100000 Cláraíonn Athchumrú Ethernet do Chainéal 0
0x00200000 Cláraíonn Athchumrú Transceiver do Chainéal 0
 

1(2)

0x01000000 Cláraíonn CPRI PHY Athchumrú do Chainéal 1
0x01100000 Cláraíonn Athchumrú Ethernet do Chainéal 1
0x01200000 Cláraíonn Athchumrú Transceiver do Chainéal 1
 

2(2)

0x02000000 Cláraíonn CPRI PHY Athchumrú do Chainéal 2
0x02100000 Cláraíonn Athchumrú Ethernet do Chainéal 2
0x02200000 Cláraíonn Athchumrú Transceiver do Chainéal 2
ar lean…
Uimhir Cainéal Seoladh Bunaidh (Seoladh Beart) Cineál Clár
 

3(2)

0x03000000 Cláraíonn CPRI PHY Athchumrú do Chainéal 3
0x03100000 Cláraíonn Athchumrú Ethernet do Chainéal 3
0x03200000 Cláraíonn Athchumrú Transceiver do Chainéal 3

Cuirtear na cláir seo in áirithe mura n-úsáidtear an cainéal.

F-Tíleanna CPRI PHY Intel FPGA IP Design Example Cartlanna Treoir Úsáideora

Mura bhfuil croíleagan IP liostaithe, beidh feidhm ag an treoir úsáideora don chroíleagan IP roimhe seo.

Intel Quartus Príomh-leagan IP Core Leagan Treoir Úsáideora
21.2 2.0.0 F-Tíleanna CPRI PHY Intel FPGA IP Design Example Treoir Úsáideora

Stair Athbhreithnithe Doiciméid le haghaidh F-Tile CPRI PHY Intel FPGA IP Design Example Treoir Úsáideora

Leagan Doiciméid Intel Quartus Príomh-leagan Leagan IP Athruithe
2021.10.04 21.3 3.0.0
  • Tacaíocht bhreise le haghaidh insamhlóirí nua sa rannán: Riachtanais Crua-earraí agus Bogearraí.
  • Céimeanna nuashonraithe sa rannán: Insamhladh ar an Dearadh Example Testbench.
  • Nuashonraíodh na hailt seo a leanas le faisnéis nua faoi rátaí líne:
    • Dearadh Example Tuairisc
    • Dearadh Insamhladh Example
    • Comharthaí Comhéadain
  • Nuashonraíodh an seoladh sa rannán: Dearadh Example Cláir.
2021.06.21 21.2 2.0.0 Eisiúint tosaigh.

Intel Corporation. Gach ceart ar cosaint. Is trádmharcanna de chuid Intel Corporation nó a fhochuideachtaí iad Intel, lógó Intel, agus marcanna Intel eile. Barántaíonn Intel feidhmíocht a tháirgí FPGA agus leathsheoltóra de réir sonraíochtaí reatha de réir bharántas caighdeánach Intel, ach coimeádann sé an ceart chun athruithe a dhéanamh ar aon táirgí agus seirbhísí ag am ar bith gan fógra. Ní ghlacann Intel aon fhreagracht nó dliteanas a eascraíonn as cur i bhfeidhm nó úsáid aon fhaisnéise, táirge nó seirbhíse a thuairiscítear anseo ach amháin mar a aontaítear go sainráite i scríbhinn ag Intel. Moltar do chustaiméirí Intel an leagan is déanaí de shonraíochtaí feiste a fháil sula dtéann siad ag brath ar aon fhaisnéis foilsithe agus sula ndéanann siad orduithe le haghaidh táirgí nó seirbhísí.
*Féadtar ainmneacha agus brandaí eile a éileamh mar shealúchas daoine eile.

Doiciméid / Acmhainní

intel F-Tile CPRI PHY FPGA IP Design Example [pdfTreoir Úsáideora
F-Tíleanna CPRI PHY FPGA IP Design Example, PHY FPGA IP Design Example, F-Tile CPRI IP Design Example, Dearadh IP Example, Dearadh IP

Tagairtí

Fág trácht

Ní fhoilseofar do sheoladh ríomhphoist. Tá réimsí riachtanacha marcáilte *