intel logotipi

intel F-Tile CPRI PHY FPGA IP dizayni Example

intel F-Tile CPRI PHY FPGA IP dizayni Example mahsulot

Tez boshlash uchun qo'llanma

F-Tile CPRI PHY Intel® FPGA IP yadrosi simulyatsiya test stoli va apparat dizaynini taqdim etadi.ampkompilyatsiya va apparat sinovini qo'llab-quvvatlaydi. Dizaynni yaratganingizda example, parametr muharriri avtomatik ravishda yaratadi files dizaynni simulyatsiya qilish, kompilyatsiya qilish va apparatda sinab ko'rish uchun zarur.
Intel, shuningdek, faqat kompilyatsiya uchun mo'ljallangan example loyiha IP yadro maydoni va vaqtini tezda baholash uchun foydalanishingiz mumkin.
F-Tile CPRI PHY Intel FPGA IP yadrosi eski dizaynni yaratish qobiliyatini ta'minlaydi.ampCPRI kanallari soni va CPRI liniyasi bit tezligining barcha qo'llab-quvvatlanadigan kombinatsiyalari uchun les. Test dastgohi va dizayn sobiqampF-Tile CPRI PHY Intel FPGA IP yadrosining ko'plab parametr kombinatsiyalarini qo'llab-quvvatlaydi.

Shakl 1. Dizaynni ishlab chiqish bosqichlari Example

intel F-Tile CPRI PHY FPGA IP dizayni Examprasm 1

Tegishli ma'lumotlar

  • F-Tile CPRI PHY Intel FPGA IP foydalanuvchi qo'llanmasi
    • F-plitka CPRI PHY IP haqida batafsil ma'lumot olish uchun.
  • F-Tile CPRI PHY Intel FPGA IP relizlar haqida eslatmalar
    • IP reliz eslatmalarida ma'lum bir nashrdagi IP o'zgarishlar ro'yxati mavjud.
Uskuna va dasturiy ta'minotga qo'yiladigan talablar

Sobiqni sinab ko'rish uchunampdizayn uchun quyidagi apparat va dasturiy ta'minotdan foydalaning:

  • Intel Quartus® Prime Pro Edition dasturi
  • Tizim konsoli
  • Qo'llab-quvvatlanadigan simulyatorlar:
    • Sinopsis* VCS*
    • Synopsys VCS MX
    • Siemens* EDA ModelSim* SE yoki Questa*— Questa-Intel FPGA nashri
Dizayn yaratish

2-rasm. Jarayon

intel F-Tile CPRI PHY FPGA IP dizayni Examprasm 23 -rasm. MasalanampIP parametr muharriridagi Dizayn yorlig'i

intel F-Tile CPRI PHY FPGA IP dizayni Examprasm 3

Intel Quartus Prime Pro Edition loyihasini yaratish uchun:

  1. Intel Quartus Prime Pro Edition-da bosing File ➤ Yangi Quartus Prime loyihasini yaratish uchun yangi loyiha ustasi yoki File ➤ Mavjud Intel Quartus Prime loyihasini ochish uchun loyihani oching. Sehrgar sizga qurilmani ko'rsatishni taklif qiladi.
  2. Agilex (I-seriya) qurilmalar oilasini belgilang va ushbu talablarning barchasiga javob beradigan qurilmani tanlang:
    • Transceiver plitasi F-plitkadir
    • Transceiver tezligi darajasi -1 yoki -2
    • Asosiy tezlik darajasi -1 yoki -2 yoki -3
  3. Finish tugmasini bosing.

F-Tile CPRI PHY Intel FPGA IP apparat dizaynini yaratish uchun quyidagi amallarni bajaringample va testbench:

  1. IP-katalogida F-Tile CPRI PHY Intel FPGA IP-ni toping va tanlang. Yangi IP o'zgarishi oynasi paydo bo'ladi.
  2. Yuqori darajali nomni belgilang sizning shaxsiy IP-variantingiz uchun. Parametr muharriri IP o'zgarishi sozlamalarini a ichida saqlaydi file nomli .ip.
  3. OK tugmasini bosing. Parametr muharriri paydo bo'ladi.
  4. IP yorlig'ida IP yadro o'zgarishi uchun parametrlarni belgilang.
  5. Ex bo'yichaample Dizayn yorlig'i, Exampdizayn Files, testbench va faqat kompilyatsiya loyihasini yaratish uchun Simulyatsiya variantini tanlang. Uskuna dizaynini yaratish uchun Sintez opsiyasini tanlangample. Eski dizaynni yaratish uchun Simulyatsiya va Sintez opsiyalaridan kamida bittasini tanlashingiz kerakample.
  6. Ex bo'yichaample Dizayn yorlig'i, Yaratilgan HDL formati ostida Verilog HDL yoki VHDL-ni tanlang. Agar siz VHDL ni tanlasangiz, aralash til simulyatori bilan test stolini simulyatsiya qilishingiz kerak. Ex_ da sinovdan o'tkazilayotgan qurilma katalog VHDL modeli, lekin asosiy testbench file System Verilog hisoblanadi file.
  7. Ex Generate tugmasini bosingample Dizayn tugmasi. Example Design Directory oynasi paydo bo'ladi.
  8. Agar siz dizaynni o'zgartirmoqchi bo'lsangiz, oldingiample katalog yo'li yoki nomi ko'rsatilgan standartlardan (cpriphy_ftile_0_example_design), yangi yo'lga o'ting va yangi dizaynni yozing example katalog nomi (ample_dir>).
Katalog tuzilmasi

F-Tile CPRI PHY Intel FPGA IP-yadroli dizayni sobiqample file kataloglarda quyidagi yaratilgan files dizayn uchun example.

Shakl 4. Yaratilgan Ex.ning katalog tuzilishiampdizayn

intel F-Tile CPRI PHY FPGA IP dizayni Examprasm 4

1-jadval. Test dastgohi File Tavsiflar

File Ismlar Tavsif
Key Testbench va Simulyatsiya Files
<dizayn_example_dir>/ example_testbench/basic_avl_tb_top.sv Yuqori darajadagi sinov dastgohi file. Testbench DUT o'ramini ishga tushiradi va paketlarni yaratish va qabul qilish uchun Verilog HDL vazifalarini bajaradi.
<dizayn_example_dir>/ example_testbench/ cpriphy_ftile_wrapper.sv DUT va boshqa test dastgohi komponentlarini yaratuvchi DUT o'rami.
Testbench skriptlari(1)
<dizayn_example_dir>/ example_testbench/run_vsim.do Testbenchni ishga tushirish uchun Siemens EDA ModelSim SE yoki Questa yoki Questa-Intel FPGA Edition skripti.
<dizayn_example_dir>/ example_testbench/run_vcs.sh Testbenchni ishga tushirish uchun Synopsys VCS skripti.
<dizayn_example_dir>/ example_testbench/run_vcsmx.sh Testbenchni ishga tushirish uchun Synopsys VCS MX skripti (Verilog HDL va SystemVerilog VHDL bilan birlashtirilgan).

Boshqa simulyator skriptiga e'tibor bermangample_dir>/example_testbench/ papkasi.

Jadval 2. Uskuna dizayni Example File Tavsiflar

File Ismlar Tavsiflar
<dizayn_example_dir>/hardware_test_design/ cpriphy_ftile_hw.qpf Intel Quartus Prime loyihasi file.
<dizayn_example_dir>/hardware_test_design/ cpriphy_ftile_hw.qsf Intel Quartus Prime loyihasini sozlash file.
<dizayn_example_dir>/hardware_test_design/ cpriphy_ftile_hw.sdc Synopsys dizayn cheklovlari files. Siz ularni nusxalashingiz va o'zgartirishingiz mumkin files shaxsiy Intel Agilex™ dizayningiz uchun.
<dizayn_example_dir>/hardware_test_design/ cpriphy_ftile_hw.v Yuqori darajadagi Verilog HDL dizayni, masalanample file.
<dizayn_example_dir>/hardware_test_design/ cpriphy_ftile_wrapper.sv DUT va boshqa test dastgohi komponentlarini yaratuvchi DUT o'rami.
<dizayn_example_dir>/hardware_test_design/ hwtest_sl/main_script.tcl Asosiy file tizim konsoliga kirish uchun.
Dizaynni simulyatsiya qilish Example Testbench

5-rasm. Jarayon

intel F-Tile CPRI PHY FPGA IP dizayni Examprasm 5

Test dastgohini simulyatsiya qilish uchun quyidagi amallarni bajaring:

  1. Buyruqning satrida testbench simulyatsiyasi katalogiga o'tingample_dir>/example_testbench. cd /msample_testbench
  2. Yaratilgan loyihada quartus_tlg ni ishga tushiring file: quartus_tlg cpriphy_ftile_hw
  3. IP-setup-simulyatsiyasini ishga tushiring: ip-setup-simulation –output-directory=./sim_script –use-relative-paths –quartus project=cpriphy_ftile_hw.qpf
  4. Siz tanlagan qo'llab-quvvatlanadigan simulyator uchun simulyatsiya skriptini ishga tushiring. Skript simulyatorda testbenchni kompilyatsiya qiladi va boshqaradi. Testbenchni simulyatsiya qilish bosqichlari jadvaliga qarang.
  5. Natijalarni tahlil qiling. Muvaffaqiyatli test stoli beshta giperfreym oldi va “PASSED” yozuvini ko'rsatadi.

3-jadval. Synopsys VCS* Simulator-da Testbenchni simulyatsiya qilish bosqichlari

Simulyator Ko'rsatmalar
VCS Buyruqlar qatorida quyidagilarni kiriting:
sh run_vcs.sh  
davom etdi…
Simulyator Ko'rsatmalar
VCS MX Buyruqlar qatorida quyidagilarni kiriting:
sh run_vcsmx.sh  
ModelSim SE yoki Questa yoki Questa-Intel FPGA Edition Buyruqlar qatorida quyidagilarni kiriting:
vsim -do run_vsim.do  
Agar siz GUI-ni ochmasdan simulyatsiya qilishni afzal ko'rsangiz, yozing:
vsim -c -do run_vsim.do  

Quyidagi sample chiqishi 24.33024 CPRI kanali bilan 4 Gbps uchun muvaffaqiyatli simulyatsiya sinovini ko'rsatadi:

intel F-Tile CPRI PHY FPGA IP dizayni Examprasm 9 intel F-Tile CPRI PHY FPGA IP dizayni Examprasm 10 intel F-Tile CPRI PHY FPGA IP dizayni Examprasm 11

Faqat kompilyatsiya loyihasini kompilyatsiya qilish

Faqat kompilyatsiya qilish uchun examployiha uchun quyidagi amallarni bajaring:

  1. Kompilyatsiya dizaynini ta'minlash exampavlod tugallandi.
  2. Intel Quartus Prime Pro Edition dasturida Intel Quartus Prime Pro Edition loyihasini ochingample_dir>/compilation_test_design/cpriphy_ftile.qpf.
  3. Qayta ishlash menyusida Kompilyatsiyani boshlash-ni bosing.
  4. Muvaffaqiyatli kompilyatsiyadan so'ng, vaqt va resurslardan foydalanish bo'yicha hisobotlar Intel Quartus Prime Pro Edition seansida mavjud.

Tegishli ma'lumotlar
Blokka asoslangan dizayn oqimlari

Dizaynni kompilyatsiya qilish va sozlash ExampUskunada

Uskuna dizaynini kompilyatsiya qilish uchun example va uni Intel Agilex qurilmangizda sozlang, quyidagi amallarni bajaring:

  1. Uskuna dizaynini ta'minlash exampavlod tugallandi.
  2. Intel Quartus Prime Pro Edition dasturida Intel Quartus Prime loyihasini ochingample_dir>/hardware_test_design/ cpriphy_ftile_hw.qpf.
  3. .qsf ni tahrirlang file uskunangiz asosida pinlarni belgilash uchun.
  4. Qayta ishlash menyusida Kompilyatsiyani boshlash-ni bosing.
  5. Muvaffaqiyatli kompilyatsiyadan so'ng, a .sof file da mavjudample_dir>/hardware_test_design/output_files katalogi.

Uskuna dizaynini dasturlash uchun quyidagi amallarni bajaringampIntel Agilex qurilmasida:

  • Intel Agilex I-series Transceiver Signal Integrity Development Kit-ni asosiy kompyuterga ulang.
    Eslatma: Rivojlanish to'plami sukut bo'yicha to'g'ri soat chastotalari bilan oldindan dasturlashtirilgan. Chastotalarni sozlash uchun Clock Control ilovasidan foydalanish shart emas.
  • Asboblar menyusida Dasturchi-ni bosing.
  • Dasturchi oynasida Hardware Setup-ni bosing.
  • Dasturlash qurilmasini tanlang.
  • Mode J ga o'rnatilganligiga ishonch hosil qilingTAG.
  • Intel Agilex qurilmasini tanlang va Device qo'shish-ni bosing. Dasturchi sizning platangizdagi qurilmalar orasidagi ulanishlarning blok diagrammasini ko'rsatadi.
  • .sof bilan qatorda .sof uchun katakchani belgilang.
  • Dastur/Sozlash ustunidagi katakchani belgilang.
  • Start tugmasini bosing.

Tegishli ma'lumotlar

  • Blokka asoslangan dizayn oqimlari
  • Intel FPGA qurilmalarini dasturlash
  • Tizim konsoli yordamida dizaynlarni tahlil qilish va disk raskadrovka qilish
Uskuna dizaynini sinovdan o'tkazish Example

F-Tile CPRI PHY Intel FPGA IP yadro dizaynini kompilyatsiya qilganingizdan so'ng, example va uni Intel Agilex qurilmangizda sozlang, siz IP yadrosi va uning PHY IP yadro registrlarini dasturlash uchun tizim konsolidan foydalanishingiz mumkin.
Tizim konsolini yoqish va apparat dizaynini sinab ko'rish uchun, masalanample, quyidagi amallarni bajaring:

  1. Uskuna dizaynidan keyin sobiqample Intel Agilex qurilmasida, Intel Quartus Prime Pro Edition dasturida sozlangan, Asboblar menyusida Tizim disk raskadrovka asboblari ➤ Tizim konsoli-ni bosing.
  2. Tcl Console panelida katalogni o'zgartirish uchun cd hwtest ni kiritingample_dir>/hardware_test_design/hwtest_sl.
  3. J ga ulanishni ochish uchun main_script.tcl manbasini kiritingTAG master va testni boshlang.

Dizayn Example Tavsif

Dizayn sobiqample F-Tile CPRI PHY Intel FPGA IP yadrosining asosiy funksionalligini namoyish etadi. Siz dizaynni Ex dan yaratishingiz mumkinample F-Tile CPRI PHY Intel FPGA IP parametr muharriridagi Dizayn yorlig'i.
Dizaynni yaratish uchun example, avval siz yakuniy mahsulotingizda yaratmoqchi bo'lgan IP yadro o'zgarishi uchun parametr qiymatlarini o'rnatishingiz kerak. Siz eski dizaynni yaratishni tanlashingiz mumkinampRS-FEC xususiyati bilan yoki bo'lmasdan. RS-FEC xususiyati 10.1376, 12.1651 va 24.33024 Gbps CPRI chiziqli bit tezligi bilan mavjud.
Jadval 4. F-Tile CPRI PHY Intel FPGA IP asosiy xususiyati matritsasi

CPRI liniyasi bit tezligi (Gbps) RS-FEC qo'llab-quvvatlash Malumot soati (MGts) Deterministik kechikishni qo'llab-quvvatlash
1.2288 Yo'q 153.6 Ha
2.4576 Yo'q 153.6 Ha
3.072 Yo'q 153.6 Ha
4.9152 Yo'q 153.6 Ha
6.144 Yo'q 153.6 Ha
9.8304 Yo'q 153.6 Ha
10.1376 Bilan va bo'lmasdan 184.32 Ha
12.1651 Bilan va bo'lmasdan 184.32 Ha
24.33024 Bilan va bo'lmasdan 184.32 Ha
Xususiyatlari
  • Eski dizaynni yaratingampRS-FEC xususiyatiga ega
  • Paketlarni tekshirishning asosiy imkoniyatlari, shu jumladan, ikki martalik kechikishlar soni
Simulyatsiya dizayni Example

F-Tile CPRI PHY Intel FPGA IP dizayni sobiqample simulyatsiya test stolini va simulyatsiyani yaratadi fileSimulyatsiya opsiyasini tanlaganingizda F-Tile CPRI PHY Intel FPGA IP yadrosini ishga tushiradigan s.

Shakl 6. 10.1316, 12.1651 va 24.33024 Gbps (RS-FEC bilan va boʻlmasdan) liniya tariflari uchun blok diagrammasi

intel F-Tile CPRI PHY FPGA IP dizayni Examprasm 6Shakl 7. 1.228, 2.4576, 3.072, 4.9152, 6.144 va 9.8304 Gbps chiziq tezligi uchun blok diagrammasi

intel F-Tile CPRI PHY FPGA IP dizayni Examprasm 7

Ushbu dizaynda sobiqample, simulyatsiya test stoli ishga tushirish va bloklashni kutish, paketlarni uzatish va qabul qilish kabi asosiy funksiyalarni ta'minlaydi.
Muvaffaqiyatli sinov jarayoni quyidagi xatti-harakatni tasdiqlovchi natijani ko'rsatadi:

  1. Mijoz mantig'i IP yadrosini tiklaydi.
  2. Mijoz mantig'i RX ma'lumotlar yo'lini moslashtirishni kutadi.
  3. Mijoz mantig'i TX MII interfeysida giperfreymlarni uzatadi va RX MII interfeysida beshta giperfreymlar qabul qilinishini kutadi. Giperfreymlar CPRI v7.0 spetsifikatsiyalariga muvofiq MII interfeysida uzatiladi va qabul qilinadi.
    Eslatma: 1.2, 2.4, 3, 4.9, 6.1 va 9.8 Gbps chiziq tezligini maqsad qilgan CPRI dizaynlari 8b/10b interfeysidan foydalanadi va 10.1, 12.1 va 24.3 Gbps (RS-FEC bilan va holda) MII interfeysidan foydalanadi. Ushbu dizayn sobiqample TX dan RX gacha bo'lgan aylanish kechikishini hisoblash uchun aylanma hisoblagichni o'z ichiga oladi.
  4. Mijoz mantig'i aylanish kechikish qiymatini o'qiydi va hisoblagich aylanish kechikish vaqtini hisoblashni tugatgandan so'ng RX MII tomonidagi giperfreymlar ma'lumotlarining mazmuni va to'g'riligini tekshiradi.

Tegishli ma'lumotlar

  • CPRI spetsifikatsiyalari
Uskuna dizayni Example

Shakl 8. Uskunani loyihalash ExampBlok diagrammasi

intel F-Tile CPRI PHY FPGA IP dizayni Examprasm 8

 

Eslatma

  1. 2.4/4.9/9.8 Gbit/s CPRI liniya tezligiga ega CPRI dizaynlari 8b/10b interfeysidan foydalanadi va boshqa barcha CPRI liniya tezligi dizaynlari MII interfeysidan foydalanadi.
  2. 2.4/4.9/9.8 Gbit/s CPRI liniya tezligiga ega CPRI dizaynlari uchun 153.6 MGts qabul qiluvchi uzatuvchi mos yozuvlar soati va boshqa barcha CPRI liniyasi tezligi uchun 184.32 MGts kerak bo'ladi.

F-Tile CPRI PHY Intel FPGA IP-yadroviy apparat dizayni example quyidagi komponentlarni o'z ichiga oladi:

  • F-Tile CPRI PHY Intel FPGA IP yadrosi.
  • Trafikni hosil qiluvchi va qabul qiluvchi paket mijozi mantiqiy bloki.
  • Ikki tomonlama taymer.
  • s yaratish uchun IOPLLampIP ichidagi deterministik kechikish mantig'i uchun ling soati va testbenchdagi aylanma hisoblagich komponenti.
  • IP uchun tizim soatlarini yaratish uchun PLL tizimi.
  • Qayta konfiguratsiyaga kirish vaqtida CPRI, Transceiver va Ethernet modullari uchun qayta konfiguratsiya manzil maydonini dekodlash uchun Avalon®-MM manzil dekoderi.
  • Qayta tiklashni tasdiqlash va soatlarni va bir nechta holat bitlarini kuzatish uchun manbalar va problar.
  • JTAG Tizim konsoli bilan aloqa o'rnatadigan kontroller. Siz tizim konsoli orqali mijoz mantig'i bilan bog'lanasiz.
Interfeys signallari

Jadval 5. Dizayn Example Interfeys signallari

Signal Yo'nalish Tavsif
ref_clk100MHz Kirish Barcha qayta konfiguratsiya interfeyslarida CSR kirish uchun kirish soati. 100 MGts chastotada haydash.
i_clk_ref[0] Kirish PLL tizimi uchun mos yozuvlar soati. 156.25 MGts chastotada haydash.
i_clk_ref[1] Kirish Transceiver mos yozuvlar soati. Haydash vaqti

• CPRI liniya tezligi 153.6, 1.2, 2.4, 3, 4.9 va 6.1 Gbps uchun 9.8 MGts.

• CPRI liniyasi uchun 184.32 MGts 10.1,12.1 va RS-FEC bilan va 24.3 Gbps.

i_rx_serial[n] Kirish Transceiver PHY kirish seriyali ma'lumotlari.
o_tx_serial[n] Chiqish Transceiver PHY chiqish seriyali ma'lumotlari.
Dizayn Example Registrlar

Jadval 6. Dizayn Example Registrlar

Kanal raqami Asosiy manzil (bayt manzil) Ro'yxatdan o'tish turi
 

 

0

0x00000000 CPRI PHY 0-kanal uchun qayta konfiguratsiya registrlari
0x00100000 0-kanal uchun Ethernet rekonfiguratsiyasi registrlari
0x00200000 Transceiverni qayta konfiguratsiya 0-kanal uchun registrlar
 

1(2)

0x01000000 CPRI PHY 1-kanal uchun qayta konfiguratsiya registrlari
0x01100000 1-kanal uchun Ethernet rekonfiguratsiyasi registrlari
0x01200000 Transceiverni qayta konfiguratsiya 1-kanal uchun registrlar
 

2(2)

0x02000000 CPRI PHY 2-kanal uchun qayta konfiguratsiya registrlari
0x02100000 2-kanal uchun Ethernet rekonfiguratsiyasi registrlari
0x02200000 Transceiverni qayta konfiguratsiya 2-kanal uchun registrlar
davom etdi…
Kanal raqami Asosiy manzil (bayt manzil) Ro'yxatdan o'tish turi
 

3(2)

0x03000000 CPRI PHY 3-kanal uchun qayta konfiguratsiya registrlari
0x03100000 3-kanal uchun Ethernet rekonfiguratsiyasi registrlari
0x03200000 Transceiverni qayta konfiguratsiya 3-kanal uchun registrlar

Agar kanal ishlatilmasa, bu registrlar zahiraga olinadi.

F-Tile CPRI PHY Intel FPGA IP Design ExampFoydalanuvchi uchun qo'llanma arxivlari

Agar IP-yadro versiyasi ro'yxatda bo'lmasa, oldingi IP-yadro versiyasi uchun foydalanuvchi qo'llanmasi amal qiladi.

Intel Quartus Prime versiyasi IP yadro versiyasi Foydalanuvchi uchun qoʻllanma
21.2 2.0.0 F-Tile CPRI PHY Intel FPGA IP Design ExampFoydalanuvchi uchun qo'llanma

F-Tile CPRI PHY Intel FPGA IP Design Ex. uchun hujjatlarni ko'rib chiqish tarixiampFoydalanuvchi uchun qo'llanma

Hujjat versiyasi Intel Quartus Prime versiyasi IP versiyasi O'zgarishlar
2021.10.04 21.3 3.0.0
  • Bo'limda yangi simulyatorlar uchun qo'shimcha yordam qo'shildi: Uskuna va dasturiy ta'minotga qo'yiladigan talablar.
  • Bo'limda yangilangan qadamlar: Dizaynni simulyatsiya qilish Example Testbench.
  • Quyidagi bo'limlar yangi chiziq stavkasi ma'lumotlari bilan yangilandi:
    • Dizayn Example Tavsif
    • Simulyatsiya dizayni Example
    • Interfeys signallari
  • Bo'limdagi manzil yangilandi: Dizayn Example Registrlar.
2021.06.21 21.2 2.0.0 Dastlabki nashr.

Intel korporatsiyasi. Barcha huquqlar himoyalangan. Intel, Intel logotipi va boshqa Intel belgilari Intel korporatsiyasi yoki uning sho'ba korxonalarining savdo belgilaridir. Intel o'zining FPGA va yarimo'tkazgich mahsulotlarining Intel standart kafolatiga muvofiq joriy spetsifikatsiyalarga muvofiq ishlashiga kafolat beradi, lekin istalgan vaqtda ogohlantirmasdan istalgan mahsulot va xizmatlarga o'zgartirish kiritish huquqini o'zida saqlab qoladi. Intel tomonidan yozma ravishda kelishilgan hollar bundan mustasno, bu erda tasvirlangan har qanday ma'lumot, mahsulot yoki xizmatdan foydalanish yoki qo'llash natijasida kelib chiqadigan hech qanday javobgarlik yoki javobgarlikni o'z zimmasiga olmaydi. Intel mijozlariga har qanday nashr etilgan ma'lumotlarga tayanishdan va mahsulot yoki xizmatlarga buyurtma berishdan oldin qurilma texnik xususiyatlarining so'nggi versiyasini olish tavsiya etiladi.
*Boshqa nomlar va brendlar boshqalarning mulki sifatida da'vo qilinishi mumkin.

Hujjatlar / manbalar

intel F-Tile CPRI PHY FPGA IP dizayni Example [pdf] Foydalanuvchi uchun qoʻllanma
F-Tile CPRI PHY FPGA IP dizayni Example, PHY FPGA IP Design Example, F-Tile CPRI IP Design Example, IP Design Example, IP dizayni

Ma'lumotnomalar

Fikr qoldiring

Sizning elektron pochta manzilingiz nashr etilmaydi. Majburiy maydonlar belgilangan *