Lógó intelInterlaken (2ú Glúin) Intel ®
Agilex™ FPGA IP Design Example
Treoir Úsáideora

Treoir Tosaigh Tapa

Soláthraíonn croí IP FPGA Interlaken (2ú Giniúint) binse tástála insamhalta agus dearadh crua-earraí example a thacaíonn le tiomsú agus tástáil crua-earraí. Nuair a ghineann tú an dearadh example, cruthaíonn an eagarthóir paraiméadar go huathoibríoch an files riachtanach chun an dearadh i crua-earraí a insamhail, a thiomsú agus a thástáil. An dearadh exampTá le ar fáil freisin le haghaidh gné Breathnú i leataobh Interlaken.
An testbench agus dearadh examptacaíonn le mód NRZ agus PAM4 le haghaidh feistí E-tíl. Gineann croí IP FPGA Interlaken (2ú Giniúint) dearadh examples do gach teaglaim tacaithe de líon na lánaí agus rátaí sonraí.

Fíor 1. Céimeanna Forbartha don Dearadh Exampleintel Interlaken 2ú Giniúint Agilex FPGA IP Design Example - FIGIÚR 1

Dearadh croí IP Interlaken (2ú Giniúint) exampTacaíonn le leis na gnéithe seo a leanas:

  • Modh lúbtha sraitheach inmheánach TX go RX
  • Gineann go huathoibríoch paicéid méid seasta
  • Bun-inniúlachtaí seiceála paicéid
  • Cumas Consól Córais a úsáid chun an dearadh a athshocrú chun críche aththástáil
  • oiriúnú PMA

Fíor 2. Blocléaráid Ardleibhéil do Dhearadh Interlaken (2ú Giniúint) Exampleintel Interlaken 2ú Giniúint Agilex FPGA IP Design Example - FIGIÚR 2

Eolas Gaolmhar

  • Interlaken (2ú Giniúint) Treoir Úsáideora IP FPGA
  • Interlaken (2ú Giniúint) Nótaí Eisiúna IP Intel FPGA

1.1. Riachtanais Crua-earraí agus Bogearraí
Chun tástáil a dhéanamh ar an seanampLe dearadh, bain úsáid as na crua-earraí agus na bogearraí seo a leanas:

  • Leagan bogearraí Intel® Prime Pro Edition 21.3
  • Consól an Chórais
  • Insamhlóirí tacaithe:
    — Siemens* EDA ModelSim* SE nó QuestaSim*
    — Achoimre* VCS*
    — Cadence* Xcelium*
  • Kit Forbartha Transceiver-SoC Sraith F Intel Agilex® Quartus™ (AGFB014R24A2E2V)

Eolas Gaolmhar
Treoir Úsáideora Kit Forbartha Transceiver-SoC Intel Agilex F-Series
1.2. Struchtúr Eolaire
Dearadh croí IP Interlaken (2ú Giniúint) example file cuimsíonn eolairí na cinn seo a leanas a ghintear files don dearadh example.
Fíor 3. Struchtúr Eolaire an Idirlinne Ginte (2ú Glúin) Example Dearadh

intel Interlaken 2ú Giniúint Agilex FPGA IP Design Example - FIGIÚR 3

An chumraíocht crua-earraí, insamhalta, agus tástáil files lonnaithe iample_installation_dir>/uflex_ilk_0_example_dearadh.
Tábla 1. Interlaken (2ú Giniúint) Dearadh Crua-earraí IP Croí Example File Cur síos
iad seo filetá s snaample_installation_dir>/uflex_ilk_0_example_dearadh/ seanampeolaire le_design/quartus.

File Ainmneacha Cur síos
example_dearadh.qpf Tionscadal Intel Quartus Prime file.
example_dearadh.qsf Socruithe tionscadail Intel Quartus Prime file
example_design.sdc jtag_uainiú_teimpléad.sdc Srian Dearaidh Achoimre file. Is féidir leat a chóipeáil agus a mhodhnú le haghaidh do dhearadh féin.
sysconsole_testbench.tcl Príomh- file chun rochtain a fháil ar Chonsól an Chórais

Tábla 2. Interlaken (2ú Giniúint) IP Core Testbench File Cur síos
seo file atá saample_installation_dir>/uflex_ilk_0_example_dearadh/ seanampeolaire le_design/rtl.

File Ainm Cur síos
barr_tb.sv Binse tástála barrleibhéil file.

Tábla 3. nterlaken (2ú Giniúint) Scripteanna IP Core Testbench
iad seo filetá s snaample_installation_dir>/uflex_ilk_0_example_dearadh/ seanampeolaire le_design/testbench.

File Ainm Cur síos
vcstest.sh An script VC chun an binse tástála a rith.
vlog_pro.do An script ModelSim SE nó QuestaSim chun an binse tástála a rith.
xcelium.sh An script Xcelium chun an binse tástála a rith.

1.3. Dearadh Crua-earraí Example Comhpháirteanna
An seanampCeanglaíonn dearadh cloig thagartha an chórais agus PLL agus na comhpháirteanna deartha riachtanacha. An seanampCumraíonn dearadh an croí IP i mód aisghabhála inmheánach agus gineann sé paicéid ar chomhéadan aistrithe sonraí úsáideora croí IP TX. Seolann an croí IP na paicéid seo ar an gcosán inmheánach loopback tríd an trasghlacadóir.
Tar éis don chroí-ghlacadóir IP na paicéid a fháil ar an gcosán loopback, déanann sé na paicéid Interlaken a phróiseáil agus a tharchur ar chomhéadan aistrithe sonraí úsáideora RX. An seanampseiceálann dearadh go bhfuil na paicéid faighte agus tarchurtha ag teacht leis.
Na crua-earraí exampÁirítear le dearadh PLLanna seachtracha. Is féidir leat an téacs soiléir a scrúdú files chuig view sample cód a chuireann modh amháin féideartha i bhfeidhm chun PLLanna seachtracha a nascadh le IP FPGA Interlaken (2ú Giniúint).
Dearadh crua-earraí Interlaken (2ú Giniúint) exampÁirítear le le na comhpháirteanna seo a leanas:

  1. Interlaken (2ú Glúin) FPGA IP
  2. Gineadóir Paicéad agus Seiceálaí Paicéad
  3. JTAG rialtóir a dhéanann cumarsáid le Console System. Déanann tú cumarsáid le loighic an chliaint tríd an gConsól Córais.

Fíor 4. Dearadh Crua-earraí Interlaken (2ú Giniúint) Example Léaráid Bloc Ardleibhéil le haghaidh Éagsúlachtaí Mód NRZ E-tílintel Interlaken 2ú Giniúint Agilex FPGA IP Design Example - FIGIÚR 5

Dearadh crua-earraí Interlaken (2ú Giniúint) exampLe sin a dhíríonn ar éagsúlachtaí mód PAM4 E-tíl éilíonn mac_clkin clog breise a ghineann an IO PLL. Ní mór don PLL seo an clog tagartha céanna a úsáid a thiomáineann an pll_ref_clk.

Fíor 5. Dearadh Crua-earraí Interlaken (2ú Giniúint) Example Ardleibhéal
Léaráid Bloc le haghaidh Éagsúlachtaí Mód PAM4 E-tílintel Interlaken 2ú Giniúint Agilex FPGA IP Design Example - FIGIÚR 4

Le haghaidh éagsúlachtaí mód PAM4 E-tíl, nuair a chumasaíonn tú na cainéil trasghlacadóir neamhúsáidte a Chaomhnú le haghaidh paraiméadar PAM4, cuirtear calafort clog tagartha breise leis (pll_ref_clk [1]). Ní mór an port seo a thiomáint ag an minicíocht chéanna atá sainmhínithe san eagarthóir paraiméadar IP (Minicíocht clog tagartha do chainéil leasaithe). Tá an Caomhnaigh cainéil trasghlacadóir neamhúsáidte le haghaidh PAM4 roghnach. Tá an bioráin agus na srianta gaolmhara a shanntar don chlog seo le feiceáil sa QSF nuair a roghnaíonn tú Intel Stratix® 10 nó trealamh forbartha Intel Agilex chun dearadh a ghiniúint.
Le haghaidh dearadh exampLe insamhalta, sainmhíníonn an testbench minicíocht chéanna i gcónaí do pll_ref_clk[0] agus pll_ref_clk[1].
Eolas Gaolmhar
Treoir Úsáideora Kit Forbartha Transceiver-SoC Intel Agilex F-Series
1.4. An Dearadh a Ghiniúint

Fíor 6. Nós Imeachtaintel Interlaken 2ú Giniúint Agilex FPGA IP Design Example - FIGIÚR 6

Lean na céimeanna seo chun na crua-earraí exampdearadh agus binse tástála:

  1. I mbogearraí Intel Quartus Prime Pro Edition, cliceáil File ➤ Treoraí Tionscadail Nua chun tionscadal nua Intel Quartus Prime a chruthú, nó cliceáil File ➤ Tionscadal Oscailte chun tionscadal Intel Quartus Prime atá ann cheana féin a oscailt. Spreagann an draoi tú gléas a shonrú.
  2. Sonraigh an teaghlach gléas Agilex agus roghnaigh gléas do do dhearadh.
  3. Sa Chatalóg IP, aimsigh agus cliceáil faoi dhó Interlaken (2ú Giniúint) Intel FPGA IP. Feictear an fhuinneog New IP Variant.
  4. Sonraigh ainm barrleibhéil le haghaidh do athrú IP saincheaptha. Sábhálann an t-eagarthóir paraiméadar na socruithe éagsúlachta IP i a file ainmnithe .ip.
  5. Cliceáil OK. Tá an t-eagarthóir paraiméadar le feiceáil.
    Fíor 7. Example Design Tab sa Interlaken (2ú Giniúint) Intel FPGA IP Eagarthóir Paraiméadarintel Interlaken 2ú Giniúint Agilex FPGA IP Design Example - FIGIÚR 7
  6. Ar an táb IP, sonraigh na paraiméadair do do chroí-athrú IP.
  7. Ar an táb um Oiriúnú PMA, sonraigh na paraiméadair oiriúnaithe PMA má tá sé ar intinn agat oiriúnú PMA a úsáid le haghaidh éagsúlachtaí do ghléas E-tíl.
    Tá an chéim seo roghnach:

    • Roghnaigh Cumasaigh ualach oiriúnú IP bog rogha.
    Nóta: Ní mór duit rogha a chumasú Cumasaigh Máistir Debug PHY Dúchasach (NPDME) ar an táb IP nuair atá oiriúnú PMA cumasaithe.
    • Roghnaigh réamhshocrú oiriúnaithe PMA le haghaidh oiriúnú PMA Roghnaigh paraiméadar.
    • Cliceáil PMA Adaptation Preload chun na paraiméadair oiriúnaithe tosaigh agus leanúnacha a luchtú.
    • Sonraigh líon na bhfoirmíochtaí PMA le tacú leo nuair atá cumraíochtaí iolracha PMA cumasaithe ag baint úsáide as Uimhir paraiméadar cumraíochta PMA.
    • Roghnaigh cén chumraíocht PMA le luchtú nó le stóráil ag baint úsáide as Roghnaigh cumraíocht PMA le luchtú nó le stóráil.
    • Cliceáil Luchtaigh oiriúnú ó chumraíocht PMA roghnaithe chun na socruithe cumraíochta PMA roghnaithe a luchtú.
    Le haghaidh tuilleadh faisnéise faoi pharaiméadair oiriúnaithe PMA, féach ar Threoir Úsáideora E-tile Transceiver PHY.
  8. Ar an Example Design tab, roghnaigh an rogha Insamhladh chun an bhinse tástála a ghiniúint, agus roghnaigh an rogha Sintéise chun na crua-earraí a ghiniúint exampdearadh.
    Nóta: Ní mór duit ceann amháin ar a laghad a roghnú de na roghanna Insamhladh nó Sintéise a ghineann an Example Dearadh Files.
  9. I gcás Formáid HDL Ginte, níl ach Verilog ar fáil.
  10. Roghnaigh an rogha chuí le haghaidh Trealamh Forbartha Sprioc.
    Nóta: Níl an rogha Intel Agilex F-Series Transceiver SoC Development Kit ar fáil ach amháin nuair a shonraíonn do thionscadal ainm gléas Intel Agilex ag tosú le AGFA012 nó AGFA014. Nuair a roghnaíonn tú an rogha Kit Forbartha, socraítear na tascanna bioráin de réir uimhir pháirt feiste Intel Agilex Development Kit AGFB014R24A2E2V agus d'fhéadfadh go mbeadh siad difriúil ó do ghléas roghnaithe. Má tá sé ar intinn agat an dearadh ar chrua-earraí a thástáil ar PCB eile, roghnaigh Gan an rogha trealamh forbartha agus déan na tascanna cuí bioráin sa .qsf file.
  11. Cliceáil Gin Example Dearadh. An Roghnaigh Example Design Eolaire feictear fuinneog.
  12. Más mian leat an dearadh a mhodhnú example cosán eolaire nó ainm ó na réamhshocruithe ar taispeáint (uflex_ilk_0_example_design), brabhsáil chuig an gcosán nua agus clóscríobh an dearadh nua example ainm eolaire.
  13. Cliceáil OK.

Eolas Gaolmhar

1.5. Insamhladh ar an Dearadh Example Testbench
Déan tagairt do Interlaken (2ú Giniúint) Hardware Design Example Bloc Ardleibhéil le haghaidh Éagsúlachtaí Mód NRZ E-tíl agus Dearadh Crua-earraí Interlaken (2ú Giniúint) Example Bloc Ardleibhéil le haghaidh Éagsúlachtaí Mód E-tíl PAM4 léaráidí bloc den bhinse tástála insamhalta.

Fíor 8. Nós Imeachtaintel Interlaken 2ú Giniúint Agilex FPGA IP Design Example - FIGIÚR 8

Lean na céimeanna seo chun an binse tástála a insamhladh:

  1. Ag an ordú go pras, athraigh chuig an eolaire insamhalta testbench. Tá an t-eolaireample_installation_dir>/example_design/ testbench le haghaidh feistí Intel Agilex.
  2. Rith an script ionsamhlúcháin le haghaidh an t-insamhlóir tacaithe de do rogha féin. Déanann an script an binse tástála a thiomsú agus a rith san insamhlóir. Ba cheart do do script a sheiceáil go bhfuil na comhaireamh SOP agus EOP ag teacht le chéile tar éis an ionsamhlúcháin a bheith críochnaithe. Déan tagairt don tábla Steps to Run Insamhladh.
    Tábla 4. Céimeanna chun Insamhalta a Rith
    Insamhlóir Treoracha
    ModelSim SE nó QuestaSim Sa líne ordaithe, cineál -do vlog_pro.do. Más fearr leat insamhail a dhéanamh gan an ModelSim GUI a thabhairt suas, cineál vsim -c -do vlog_pro.do
    VCS Sa líne ordaithe, cineál sh vcstest.sh
    Xcelium Sa líne ordaithe, cineál sh xcelium.sh
  3. Déan anailís ar na torthaí. Seolann agus faigheann ionsamhlúchán paicéid, agus taispeánann sé “Test PASSED”.

An bhinse tástála don dearadh exampDéanann le na tascanna seo a leanas:

  • Tús a chur leis an Interlaken (2ú Giniúint) Intel FPGA IP.
  • Priontaí stádas PHY.
  • Seiceálann sé sioncrónú meitefhráma (SYNC_LOCK) agus teorainneacha focal (bloc) (WORD_LOCK).
  • Fanann sé le lánaí aonair a ghlasáil agus a ailíniú.
  • Tosaíonn sé ag tarchur paicéid.
  • Seiceálann staitisticí paicéid:
    — earráidí CRC24
    — SOPanna
    — EOPanna

Seo a leanas sampLéiríonn le aschur rith tástála insamhalta rathúil i mód Interlaken:
**************************************
EOLAS: Ag fanacht le lánaí a ailíniú.
Tá na lánaí glacadóra ar fad ailínithe agus réidh le trácht a fháil.
***********************************************
***********************************************
EOLAS: Tosaigh ag tarchur paicéid
***********************************************
***********************************************
EOLAS: Stop ag tarchur paicéid
***********************************************
***********************************************
EOLAS: Staitisticí paicéid a sheiceáil
***********************************************
Tuairiscíodh 24 earráid CRC: 0
SOPanna arna dtarchur: 100
EOPanna arna dtarchur: 100
SOPanna faighte: 100
EOPanna faighte: 100
Comhaireamh earráide ECC: 0
***********************************************
EOLAS: Ritheadh ​​an tástáil
***********************************************
Nóta: An dearadh Interlaken seanample simulation testbench seolann 100 paicéad agus faigheann sé 100 paicéad.
Seo a leanas sampLéiríonn le aschur rith tástála insamhalta rathúil i mód Breathnú i leataobh Interlaken:
Seiceáil TX agus RX Counter comhionann nó nach bhfuil.
—————————————————
READ_MM: seoladh 4000014 = 00000001.
—————————————————
Giotán comhionann a dhí-dhearbhú.
—————————————————
WRITE_MM: faigheann seoladh 4000001 00000001.
WRITE_MM: faigheann seoladh 4000001 00000000.
—————————————————
RX_SOP COUNTER.
—————————————————
READ_MM: seoladh 400000c = 0000006a.
—————————————————
RX_EOP COUNTER.
READ_MM: seoladh 400000d = 0000006a.
—————————————————
READ_MM: seoladh 4000010 = 00000000.
—————————————————
Taispeáin an Tuarascáil Deiridh.
—————————————————
0 Earráid Bhraite
0 Earráid CRC24 tuairiscithe
Tarchuireadh 106 SOP
Tarchuireadh 106 EOP
Fuarthas 106 SOP
Fuarthas 106 EOP
—————————————————
Críochnaigh Insamhladh
—————————————————
TÁSTÁIL Ritheadh
—————————————————
Nóta: Athraíonn líon na bpacáistí (SOPanna agus EOPanna) in aghaidh an lána i ndearadh Interlaken Lookaside example insamhalta sample aschur.
Eolas Gaolmhar
Dearadh Crua-earraí Example Comhpháirteanna ar leathanach 6
1.6. An Dearadh a Thiomsú agus a Chumrú Example i Crua-earraí

Fíor 9. Nós Imeachtaintel Interlaken 2ú Giniúint Agilex FPGA IP Design Example - FIGIÚR 9

Chun tástáil taispeána ar na crua-earraí a thiomsú agus a rith example dearadh, lean na céimeanna seo:

  1. Cinntigh crua-earraí exampTá giniúint dearadh críochnaithe.
  2. I mbogearraí Intel Quartus Prime Pro Edition, oscail an tionscadal Intel Quartus Primeample_installation_dir>/example_design/quartus/ example_design.qpf>.
  3. Ar an roghchlár Próiseáil, cliceáil Tosaigh Tiomsú.
  4. Tar éis tiomsú rathúil, a .sof file ar fáil i do eolaire sonraithe.
    Lean na céimeanna seo chun na crua-earraí a ríomhchlárú example dearadh ar ghléas Intel Agilex:
  5. Ceangail Intel Agilex F-Series Transceiver-SoC Development Kit leis an ríomhaire óstach.
    b. Seoladh an feidhmchlár Rialú Clog, atá mar chuid den trealamh forbartha, agus socraigh minicíochtaí nua don dearadh example. Seo thíos an socrú minicíochta san fheidhmchlár Rialaithe Clog:
    • Si5338 (U37), CLK1- 100 MHz
    • Si5338 (U36), CLK2- 153.6 MHz
    • Si549 (Y2), AMACH- Socraigh ar luach pll_ref_clk (1) de réir do riachtanas dearaidh.
    c. Ar an roghchlár Uirlisí, cliceáil Ríomhchláraitheoir.
    d. Sa Ríomhchláraitheoir, cliceáil Socrú Crua-earraí.
    e. Roghnaigh gléas ríomhchlárúcháin.
    f. Roghnaigh agus cuir leis an Kit Forbartha Transceiver-SoC Intel Agilex F-Series a bhféadfaidh do sheisiún Intel Quartus Prime nascadh leis.
    g. Cinntigh go bhfuil Mód socraithe go JTAG.
    h. Roghnaigh an gléas Intel Agilex agus cliceáil Cuir Gléas. Taispeánann an Ríomhchláraitheoir blocléaráid de na naisc idir na gléasanna ar do chlár.
    i. Sa ró le do .sof, cuir tic sa bhosca don .sof.
    j. Ticeáil an bosca sa cholún Clár/Cumraigh.
    k. Cliceáil Tosaigh.

Eolas Gaolmhar

1.7. Dearadh Crua-earraí Example
Tar éis duit an dearadh croí Interlaken (2ú Giniúint) Intel FPGA IP exampLe do ghléas a chumrú agus a chumrú, is féidir leat an Consól Córais a úsáid chun an croí IP agus a chláir lárnacha IP Dúchasach PHY leabaithe a ríomhchlárú.
Lean na céimeanna seo chun Consól an Chórais a thabhairt suas agus an dearadh crua-earraí a thástáil example:

  1. I mbogearraí Intel Quartus Prime Pro Edition, ar an roghchlár Uirlisí, cliceáil Uirlisí Dífhabhtaithe an Chórais ➤ Consól an Chórais.
  2. Athrú ar anample_installation_dir>exampeolaire le_design/ hwtest.
  3. Chun nasc a oscailt leis an JTAG máistir, clóscríobh an t-ordú seo a leanas: source sysconsole_testbench.tcl
  4. Is féidir leat modh lúbtha sraitheach inmheánach a chur ar siúl leis an dearadh seo a leanas example horduithe:
    a. stat: Priontálann eolas stádais ghinearálta.
    b. sys_reset : Athshocraigh an córas.
    c. loop_on: Cuireann sé loopback sraitheach inmheánach ar siúl.
    d. rith_example_design: Ritheann an dearadh example.
    Nóta: Ní mór duit ordú loop_on a rith roimh run_exampordú le_design.
    An rith_exampritheann le_design na horduithe seo a leanas i seicheamh:
    sys_reset-> stat-> gen_on-> stat-> gen_off.
    Nóta: Nuair a roghnaíonn tú an rogha Cumasaigh ualach oiriúnaithe IP bog, an run_exampDéanann ordú le_design an calabrú oiriúnaithe tosaigh ar thaobh RX tríd an ordú run_load_PMA_configuration a rith.
  5. Is féidir leat modh lúbtha sraitheach inmheánach a mhúchadh leis an dearadh seo a leanas exampordú:
    a. loop_off: Múch an loopback sraitheach inmheánach.
  6. Is féidir leat an croí IP a ríomhchlárú leis an dearadh breise seo a leanas example horduithe:
    a. gen_on : Cumasaíonn sé gineadóir paicéad.
    b. gen_off : Díchumasaigh gineadóir paicéad.
    c. run_test_loop : Ritheann an tástáil le haghaidh amanna le haghaidh éagsúlachtaí E-tíl NRZ agus PAM4.
    d. clear_err: Glanann sé gach giotán earráide greamaitheacha.
    e. set_mód_tástála : Socraíonn sé tástáil a rith i mód ar leith.
    f. get_test_mode : Priontálann an modh tástála reatha.
    g. set_burst_size : Socraíonn sé méid pléasctha i mbearta.
    h. get_burst_size : Priontálann faisnéis méid pléasctha.

Priontálann an triail rathúil teachtaireacht HW_TEST:PASS. Seo thíos na critéir pasála le haghaidh rith tástála:

  • Uimh earráidí le haghaidh CRC32, CRC24, agus seiceálaí.
  • Ba cheart go mbeadh SOPanna agus EOPanna tarchurtha ag teacht leis an bhfáil a fhaightear.

Seo a leanas sampLéiríonn le aschur rith tástála rathúil i mód Interlaken:
EOLAS: INFO: Stop ag giniúint pacaí
==== TUARASCÁIL STÁDAS ====
TX KHz: 402813
RX KHz: 402813
Glais Freq: 0x0000ff
Glasáil TX PLL: 0x000001
Ailíniú: 0x00c10f
Rx LOA: 0x000000
Tx LOA: 0x000000
glas focal: 0x0000ff
glas sioncronaithe: 0x0000ff
Earráidí CRC32 : 0
Earráidí CRC24 : 0
Earráidí seiceála: 0
Bratacha earráid FIFO: 0x000000
SOPanna arna dtarchur: 1087913770
EOPanna arna dtarchur: 1087913770
SOPanna faighte: 1087913770
EOPanna faighte: 1087913770
ECC ceartaithe: 0
Earráid ECC: 0
Chuaigh 161 soicind caite ó powerup
HW_TEST : PASS
Priontálann an triail rathúil HW_TEST : PASS teachtaireacht. Seo thíos na critéir pasála le haghaidh rith tástála:

  • Uimh earráidí le haghaidh CRC32, CRC24, agus seiceálaí.
  • Ba cheart go mbeadh SOPanna agus EOPanna tarchurtha ag teacht leis an bhfáil a fhaightear.

Seo a leanas sampLéiríonn le aschur rith tástála rathúil i mód Interlaken Lookaside:
EOLAS: INFO: Stop ag giniúint pacaí
==== TUARASCÁIL STÁDAS ====
TX KHz: 402813
RX KHz: 402812
Glais Freq: 0x000fff
Glasáil TX PLL: 0x000001
Ailíniú: 0x00c10f
Rx LOA: 0x000000
Tx LOA: 0x000000
glas focal: 0x000fff
glas sioncronaithe: 0x000fff
Earráidí CRC32 : 0
Earráidí CRC24 : 0
Earráidí seiceála: 0
SOPanna arna dtarchur: 461
EOPanna arna dtarchur: 461
SOPanna faighte: 461
EOPanna faighte: 461
Chuaigh 171 soicind caite ó powerup
HW_TEST : PASS

Dearadh Example Tuairisc

An dearadh example léiríonn feidhmiúlachtaí chroí IP Interlaken.
Eolas Gaolmhar
Interlaken (2ú Giniúint) Treoir Úsáideora IP FPGA
2.1. Dearadh Example Iompar
Chun an dearadh i gcrua-earraí a thástáil, clóscríobh na horduithe seo a leanas sa Chonsól Córais::

  1. Faigh an socrú file:
    % foinseample>uflex_ilk_0_example_dearadh/example_design/hwtest/sysconsole_testbench.tcl
  2. Rith an triail:
    % rith_example_dearadh
  3. Dearadh crua-earraí Interlaken (2ú Giniúint) exampCríochnaíonn le na céimeanna seo a leanas:
    a. Athshocraigh IP Interlaken (2ú Glúin).
    b. Cumraíonn sé an IP Interlaken (2ú Giniúint) i mód loopback inmheánach.
    c. Seoltar sruth de phaicéid Interlaken le sonraí réamhshainithe sa phálasta chuig comhéadan aistrithe sonraí úsáideora TX an chroí IP.
    d. Seiceálann sé na paicéid a fuarthas agus tuairiscíonn sé an stádas. An seiceálaí paicéad atá san áireamh sa dearadh crua-earraí exampSoláthraíonn le na bunchumais seiceála paicéid seo a leanas:
    • Seiceálann sé go bhfuil seicheamh na bpaicéad tarchurtha i gceart.
    • Seiceálann sé go bhfuil na sonraí faighte ag teacht leis na luachanna ionchais trína chinntiú go bhfuil idir chomhaireamh thús an phaicéid (SOP) agus dheireadh an phaicéid (EOP) ailínithe agus sonraí á dtarchur agus á bhfáil.

2.2. Comharthaí Comhéadain
Tábla 5. Dearadh Example Comharthaí Comhéadain

Ainm an Phoirt Treo Leithead (Giotán) Cur síos
mgmt_clk Ionchur 1 Ionchur clog córais. Ní mór minicíocht clog a bheith 100 MHz.
pll_ref_clk /pll_ref_clk[1:0] (2) Ionchur 2-Ean Clog tagartha transceiver. Tiomáineann an RX CDR PLL.
Ainm an Phoirt Treo Leithead (Giotán) Cur síos
Níl pll_ref_clk[1] ar fáil ach amháin nuair a chumasaíonn tú Caomhnaigh nach bhfuil in úsáid
Nóta: cainéil transceiver do PAM4 paraiméadar in éagsúlachtaí IP mód E-tíl PAM4.
rx_pin Ionchur Líon na lánaí Bioráin sonraí SERDES an ghlacadóra.
tx_pin Aschur Líon na lánaí Tarchuir bioráin sonraí SERDES.
rx_pin_n Ionchur Líon na lánaí Bioráin sonraí SERDES an ghlacadóra.
Níl an comhartha seo ar fáil ach amháin in éagsúlachtaí gléas PAM4 E-tile.
tx_pin_n Aschur Líon na lánaí Tarchuir bioráin sonraí SERDES.
Níl an comhartha seo ar fáil ach amháin in éagsúlachtaí gléas PAM4 E-tile.
mac_clk_pll_ref Ionchur 1 Caithfidh an comhartha seo a bheith tiomáinte ag PLL agus ní mór dó an fhoinse clog céanna a úsáid a thiomáineann an pll_ref_clk.
Níl an comhartha seo ar fáil ach amháin in éagsúlachtaí gléas PAM4 E-tile.
usr_pb_athshocrú_n Ionchur 1 Athshocrú an chórais.

Eolas Gaolmhar
Comharthaí Comhéadain
2.3. Cláraigh Léarscáil

Nóta:

  • Dearadh ExampTosaíonn seoladh an chláir le 0x20** agus tosaíonn seoladh clár lárnach IP Interlaken le 0x10**.
  • Cód rochtana: RO – Inléite Amháin, agus RW – Léigh/Scríobh.
  • Léann consól córais an dearadh example cláraíonn agus tuairiscíonn an stádas tástála ar an scáileán.

Tábla 6. Dearadh Example Cláraigh Léarscáil le haghaidh Interlaken Design Example

Fritháireamh Ainm Rochtain Cur síos
8'h00 Curtha in áirithe
8'h01 Curtha in áirithe
8'h02 Athshocrú an chórais PLL RO Léiríonn na giotáin a leanas iarratas athshocraithe PLL an chórais agus cumasaigh luach:
• Giotán [0] – sys_pll_rst_req
• Giotán [1] – sys_pll_rst_ga
8'h03 RX lána ailínithe RO Léiríonn ailíniú lána RX.
8'h04 WORD faoi ghlas RO [NUM_LANES–1:0] – Aitheantas teorainneacha focal (bloc).

(2) Nuair a chumasaíonn tú Caomhnaigh cainéil transceiver neamhúsáidte le haghaidh paraiméadar PAM4, cuirtear calafort clog tagartha breise leis chun an cainéal sclábhaithe PAM4 neamhúsáidte a chaomhnú.

Fritháireamh Ainm Rochtain Cur síos
8'h05 Sioncronú faoi ghlas RO [NUM_LANES–1:0] – Sioncronú Metaframe.
8'h06 – 8'h09 Comhaireamh earráide CRC32 RO Léiríonn sé an líon earráidí CRC32.
8'h0A Comhaireamh earráide CRC24 RO Léiríonn sé an líon earráidí CRC24.
8'h0B Comhartha thar maoil/Fo-shreabhadh RO Léiríonn na píosaí seo a leanas:
• Giotán [3] – comhartha foshreafa TX
• Giotán [2] – comhartha thar maoil TX
• Giotán [1] – comhartha thar maoil RX
8'h0C Comhaireamh SOP RO Léiríonn sé líon na SOP.
8'h0D Comhaireamh EOP RO Léiríonn an líon EOP
8'h0E Earráid chomhaireamh RO Léiríonn sé líon na n-earráidí seo a leanas:
• Ailíniú lána a chailleadh
• Focal rialaithe mídhleathach
• Patrún frámaithe mídhleathach
• Táscaire SOP nó EOP in easnamh
8'h0F seol_sonraí_mm_clk RW Scríobh 1 go giotán [0] chun comhartha an ghineadóra a chumasú.
8'h10 Earráid seiceála Léiríonn sé an earráid seiceála. (earráid sonraí SOP, earráid uimhir chainéil, agus earráid sonraí PLD)
8'h11 Glasáil córas PLL RO Léiríonn Giotán [0] tásc glasála PLL.
8'h14 Comhaireamh TX SOP RO Léiríonn sé líon na SOP ginte ag an ngineadóir paicéad.
8'h15 Comhaireamh TX EOP RO Léiríonn sé líon na EOP ginte ag an ngineadóir paicéad.
8'h16 Paicéad leanúnach RW Scríobh 1 go giotán [0] chun an paicéad leanúnach a chumasú.
8'h39 Comhaireamh earráide ECC RO Léiríonn sé líon na n-earráidí ECC.
8'h40 Ceartaigh an ECC an líon earráidí RO Léiríonn sé líon na n-earráidí ECC ceartaithe.

Tábla 7. Dearadh Example Cláraigh Léarscáil le haghaidh Interlaken Look-side Design Example
Bain úsáid as an léarscáil clár seo nuair a ghineann tú an dearadh example Cumasaigh Interlaken Amharc ar an paraiméadar mód curtha ar siúl.

Fritháireamh Ainm Rochtain Cur síos
8'h00 Curtha in áirithe
8'h01 Athshocrú cuntair RO Scríobh 1 go giotán [0] chun TX agus RX cuntar comhionann a ghlanadh.
8'h02 Athshocrú an chórais PLL RO Léiríonn na giotáin a leanas iarratas athshocraithe PLL an chórais agus cumasaigh luach:
• Giotán [0] – sys_pll_rst_req
• Giotán [1] – sys_pll_rst_ga
8'h03 RX lána ailínithe RO Léiríonn ailíniú lána RX.
8'h04 WORD faoi ghlas RO [NUM_LANES–1:0] – Aitheantas teorainneacha focal (bloc).
8'h05 Sioncronú faoi ghlas RO [NUM_LANES–1:0] – Sioncronú Metaframe.
8'h06 – 8'h09 Comhaireamh earráide CRC32 RO Léiríonn sé an líon earráidí CRC32.
8'h0A Comhaireamh earráide CRC24 RO Léiríonn sé an líon earráidí CRC24.
Fritháireamh Ainm Rochtain Cur síos
8'h0B Curtha in áirithe
8'h0C Comhaireamh SOP RO Léiríonn sé líon na SOP.
8'h0D Comhaireamh EOP RO Léiríonn an líon EOP
8'h0E Earráid chomhaireamh RO Léiríonn sé líon na n-earráidí seo a leanas:
• Ailíniú lána a chailleadh
• Focal rialaithe mídhleathach
• Patrún frámaithe mídhleathach
• Táscaire SOP nó EOP in easnamh
8'h0F seol_sonraí_mm_clk RW Scríobh 1 go giotán [0] chun comhartha an ghineadóra a chumasú.
8'h10 Earráid seiceála RO Léiríonn sé an earráid seiceála. (earráid sonraí SOP, earráid uimhir chainéil, agus earráid sonraí PLD)
8'h11 Glasáil córas PLL RO Léiríonn Giotán [0] tásc glasála PLL.
8'h13 Comhaireamh latency RO Léiríonn líon na latency.
8'h14 Comhaireamh TX SOP RO Léiríonn sé líon na SOP ginte ag an ngineadóir paicéad.
8'h15 Comhaireamh TX EOP RO Léiríonn sé líon na EOP ginte ag an ngineadóir paicéad.
8'h16 Paicéad leanúnach RO Scríobh 1 go giotán [0] chun an paicéad leanúnach a chumasú.
8'h17 TX agus RX gcuntar comhionann RW Léiríonn sé go bhfuil cuntar TX agus RX cothrom.
8'h23 Cumasaigh latency WO Scríobh 1 go giotán [0] chun an latency a thomhas.
8'h24 Latency réidh RO Léiríonn sé go bhfuil tomhas latency réidh.

Interlaken (2ú Giniúint) Intel Agilex FPGA IP Design Example Cartlanna Treoir Úsáideora

Le haghaidh na leaganacha is déanaí agus na leaganacha roimhe seo den treoir úsáideora seo, féach ar an Idirlinn (2i Giniúint) Intel Agilex FPGA IP Design Example Treoir Úsáideora Leagan HTML. Roghnaigh an leagan agus cliceáil ar Íoslódáil. Mura bhfuil leagan IP nó bogearraí liostaithe, beidh feidhm ag an treoir úsáideora don leagan IP nó bogearraí roimhe seo.
Tá na leaganacha IP mar an gcéanna le leaganacha bogearraí Intel Quartus Prime Design Suite suas go dtí v19.1. Ó leagan bogearraí Intel Quartus Prime Design Suite 19.2 nó níos déanaí, tá scéim leagan IP nua ag cores IP.

Stair Athbhreithnithe Doiciméid le haghaidh Interlaken (2ú Giniúint) Intel Agilex FPGA IP Design Example Treoir Úsáideora

Leagan Doiciméid Intel Quartus Príomh-leagan Leagan IP Athruithe
2022.08.03 21.3 20.0.1 Ceartaigh an gléas OPN le haghaidh Kit Forbartha Transceiver-SoC Intel Agilex F-Series.
2021.10.04 21.3 20.0.1 • Tacaíocht bhreise d'insamhlóir QuestaSim.
• Baineadh tacaíocht d'insamhlóir NCSim.
2021.02.24 20.4 20.0.1 • Cuireadh faisnéis leis maidir leis an gcainéal trasghlacadóir nár úsáideadh do PAM4 a chaomhnú sa rannán: Dearadh Crua-earraí Example Comhpháirteanna.
• Cuireadh an cur síos ar an gcomhartha pll_ref_clk[1] leis sa roinn: Comharthaí Comhéadain.
2020.12.14 20.4 20.0.0 • Nuashonraithe sample haschur tástála crua-earraí do mhód Interlaken agus don mhód Breathnú i leataobh Interlaken sa rannóg Ag Tástáil an Dearaidh Crua-earraí Example.
• Léarscáil cláir nuashonraithe le haghaidh dearadh Féach leataobh Interlaken example in section Cláraigh Léarscáil.
• Cuireadh critéar pasála isteach le haghaidh rith tástála crua-earraí rathúil sa rannóg Testing the Hardware Design Example.
2020.10.16 20.2 19.3.0 Ordú ceartaithe chun an calabrú oiriúnaithe tosaigh a rith ar thaobh RX i Tástáil an Dearadh Crua-earraí Exampalt le.
2020.06.22 20.2 19.3.0 • An dearadh example ar fáil le haghaidh Interlaken Look-aside mode.
• Tástáil crua-earraí ar an dearadh example ar fáil le haghaidh éagsúlachtaí gléas Intel Agilex.
• Figiúr Curtha Leis: Blocléaráid Ardleibhéil do Dhearadh Interlaken (2ú Giniúint) Example.
• Nuashonraíodh na hailt seo a leanas:
– Ceanglais Crua-earraí agus Bogearraí
– Struchtúr Eolaire
• Athraíodh na figiúirí seo a leanas chun nuashonrú a bhaineann le Breathnú i leataobh Interlaken a chur san áireamh:
– Fíor: Interlaken (2ú Giniúint) Dearadh Crua-earraí Example Ard
Léaráid Bloc Leibhéil le haghaidh Éagsúlachtaí Mód NRZ R-tíl
– Fíor: Interlaken (2ú Giniúint) Dearadh Crua-earraí Example Blocléaráid Ardleibhéil le haghaidh Éagsúlachtaí Mód PAM4 Ríomh-thíl
• Fíor Nuashonraithe: Eagarthóir Paraiméadar IP.
• Cuireadh faisnéis leis faoi na socruithe minicíochta san fheidhmchlár rialaithe cloig sa rannán Ag Tiomsú agus Cumraigh an Dearaidh Eisample i Crua-earraí.
Leagan Doiciméid Intel Quartus Príomh-leagan Leagan IP Athruithe

• Cuireadh leis na haschuir tástála don Bhreatimeacht Interlaken sna ranna seo a leanas:
– Insamhladh an Dearaidh Example Testbench
– An Dearadh Crua-earraí Example
• Cuireadh na comharthaí nua seo a leanas leis sa rannán Comharthaí Comhéadain:
– mgmt_clk
– rx_pin_n
– tx_pin_n
– mac_clk_pll_ref
• Cuireadh leis an gclár clár le haghaidh dearadh Féach leataobh Interlaken example in section: Cláraigh Léarscáil.

2019.09.30 19.3 19.2.1

Baineadh clk100. Feidhmíonn an mgmt_clk mar chlog tagartha don IO PLL mar seo a leanas:
• Fíor: Dearadh Crua-earraí Interlaken (2ú Giniúint) Example Léaráid Bloc Ardleibhéil le haghaidh Éagsúlachtaí Mód NRZ E-tíl.
• Fíor: Dearadh Crua-earraí Interlaken (2ú Giniúint) Example Léaráid Bloc Ardleibhéil le haghaidh Éagsúlachtaí Mód PAM4 E-tíl.

2019.07.01 19.2 19.2 Eisiúint tosaigh.

Intel Corporation. Gach ceart ar cosaint. Is trádmharcanna de chuid Intel Corporation nó a fhochuideachtaí iad Intel, lógó Intel, agus marcanna Intel eile. Barántaíonn Intel feidhmíocht a tháirgí FPGA agus leathsheoltóra de réir sonraíochtaí reatha de réir bharántas caighdeánach Intel, ach coimeádann sé an ceart chun athruithe a dhéanamh ar aon táirgí agus seirbhísí ag am ar bith gan fógra. Ní ghlacann Intel aon fhreagracht nó dliteanas a eascraíonn as cur i bhfeidhm nó úsáid aon fhaisnéise, táirge nó seirbhíse a thuairiscítear anseo ach amháin mar a aontaítear go sainráite i scríbhinn ag Intel. Moltar do chustaiméirí Intel an leagan is déanaí de shonraíochtaí feiste a fháil sula dtéann siad ag brath ar aon fhaisnéis foilsithe agus sula ndéanann siad orduithe le haghaidh táirgí nó seirbhísí.
*Féadtar ainmneacha agus brandaí eile a éileamh mar shealúchas daoine eile.
ISO
9001:2015
Cláraithe
Interlaken (2ú Giniúint) Intel® Agilex™ FPGA IP Design Example Treoir Úsáideora

Lógó intel

intel Interlaken 2ú Giniúint Agilex FPGA IP Design Example - ICON 1 Leagan ar líne
intel Interlaken 2ú Giniúint Agilex FPGA IP Design Example - ICON 2 Seol Aiseolas
ID: 683800
UG-20239
Leagan: 2022.08.03

Doiciméid / Acmhainní

intel Interlaken (2ú Giniúint) Agilex FPGA IP Design Example [pdfTreoir Úsáideora
Interlaken 2nd Generation Agilex FPGA IP Design Example, Interlaken, 2nd Generation Agilex FPGA IP Design Example, Agilex FPGA IP Design Example, Dearadh IP Example

Tagairtí

Fág trácht

Ní fhoilseofar do sheoladh ríomhphoist. Tá réimsí riachtanacha marcáilte *