Interlaken (Moloko oa 2) Intel®
Agilex™ FPGA IP Design Example
Bukana ea Mosebelisi
Tataiso ea ho Qala ka Potlako
Interlaken (2nd Generation) FPGA IP core e fana ka teko ea ketsiso le moralo oa lisebelisoa tsa khale.ample e tšehetsang ho bokella le ho hlahloba hardware. Ha o hlahisa moqapi example, mohlophisi oa parameter o iketsetsa faele ea files bohlokoa ho etsisa, ho bokella, le ho leka moralo ho hardware. Moqapi example e fumaneha hape bakeng sa karolo ea Interlaken Look-aside.
The testbench le moralo example e ts'ehetsa mokhoa oa NRZ le PAM4 bakeng sa lisebelisoa tsa E-tile. Interlaken (2nd Generation) FPGA IP mantlha e hlahisa moralo oa examples bakeng sa metsoako eohle e tšehetsoeng ea palo ea litselana le litefiso tsa data.
Setšoantšo sa 1. Mehato ea Ntlafatso ea Moqapi Example
Interlaken (2nd Generation) IP core design example e tšehetsa likarolo tse latelang:
- Ka hare TX ho RX serial loopback mode
- E iketsetsa lipakete tsa boholo bo tsitsitseng
- Bokhoni ba ho hlahloba pakete ea mantlha
- Bokhoni ba ho sebelisa System Console ho hlophisa moralo bocha molemong oa ho etsa liteko hape
- Phetoho ea PMA
Setšoantšo sa 2. Setšoantšo sa Block Block se phahameng bakeng sa Interlaken (Moloko oa 2) Moqapi Example
Lintlha Tse Amanang
- Interlaken (Moloko oa Bobeli) FPGA IP User Guide
- Interlaken (Moloko oa 2) Lintlha tsa Phallo tsa Intel FPGA IP
1.1. Litlhoko tsa Hardware le Software
Ho leka example design, sebelisa hardware le software tse latelang:
- Mofuta oa software oa Intel® Prime Pro Edition 21.3
- Console ea tsamaiso
- Li-simulator tse tšehetsoeng:
— Siemens* EDA ModelSim* SE kapa QuestaSim*
- Synopsy* VCS*
- Cadence * Xcelium * - Intel Agilex® Quartus™ F-Series Transceiver-SoC Development Kit (AGFB014R24A2E2V)
Lintlha Tse Amanang
Intel Agilex F-Series Transceiver-SoC Development Kit User Guide
1.2. Sebopeho sa Directory
Interlaken (2nd Generation) IP core design example file li-directory li na le tse latelang tse hlahisitsoeng files bakeng sa moralo example.
Setšoantšo sa 3. Sebopeho sa Mananeo a Hlahisang Interlaken (Moloko oa Bobeli) Example Design
Tlhophiso ea hardware, ketsiso, le teko files li fumaneha hoample_installation_dir>/uflex_ilk_0_example_design.
Letlapa la 1. Interlaken (Moloko oa Bobeli) IP Core Hardware Design Example File Litlhaloso
Tsena files li hoample_installation_dir>/uflex_ilk_0_example_design/ mohlalaample_design/quartus directory.
File Mabitso | Tlhaloso |
example_design.qpf | Morero oa mantlha oa Intel Quartus file. |
example_design.qsf | Litlhophiso tsa projeke ea Intel Quartus Prime file |
example_design.sdc jtag_timing_template.sdc | Synopsys Design Constraint file. U ka kopitsa le ho fetola moralo oa hau. |
sysconsole_testbench.tcl | Ka sehloohong file bakeng sa ho fihlella System Console |
Letlapa la 2. Interlaken (Moloko oa 2) IP Core Testbench File Tlhaloso
Sena file e ka har'aample_installation_dir>/uflex_ilk_0_example_design/ mohlalaample_design/rtl directory.
File Lebitso | Tlhaloso |
top_tb.sv | Testbench ea boemo bo holimo file. |
Lethathamo la 3. nterlaken (Moloko oa 2) IP Core Testbench Scripts
Tsena files li hoample_installation_dir>/uflex_ilk_0_example_design/ mohlalaample_design/testbench directory.
File Lebitso | Tlhaloso |
vcstest.sh | Mongolo oa VCS ho tsamaisa testbench. |
vlog_pro.do | Sengoloa sa ModelSim SE kapa QuestaSim ho tsamaisa testbench. |
xcelium.sh | Sengoloa sa Xcelium ho tsamaisa testbench. |
1.3. Moqapi oa lisebelisoa tsa thepa Example Likarolo
Example design e hokahanya lioache tsa litšupiso tsa sistimi le PLL le likarolo tse hlokahalang tsa moralo. Example design e hlophisa mantlha ea IP ka har'a mokhoa oa ka hare oa loopback mme e hlahisa lipakete ho sebopeho sa phetiso ea data ea mosebelisi ea IP core TX. IP core e romela lipakete tsena tseleng e ka hare ea loopback ka transceiver.
Kamora hore moamoheli oa mantlha oa IP a fumane lipakete tseleng ea loopback, e sebetsana le lipakete tsa Interlaken ebe e li fetisetsa ho sebopeho sa phetisetso ea data ea RX. Example design e lekola hore na lipakete li amohetse le ho fetisoa li ts'oana.
The hardware example moralo o kenyelletsa li-PLL tsa kantle. U ka hlahloba mongolo o hlakileng files ho view sample khoutu e sebelisang mokhoa o le mong o ka khonehang oa ho hokahanya li-PLL tsa kantle ho Interlaken (2nd Generation) FPGA IP.
Moralo oa hardware oa Interlaken (2nd Generation) example kenyeletsa likarolo tse latelang:
- Interlaken (Moloko oa Bobeli) FPGA IP
- Pakete jenereithara le Pakete Checker
- JTAG molaoli ea buisanang le System Console. U buisana le logic ea bareki ka System Console.
Setšoantšo sa 4. Interlaken (2nd Generation) Hardware Design Example Setšoantšo sa Thibelo ea Boemo bo Phahameng bakeng sa Phapang ea Mokhoa oa E-tile NRZ
Moralo oa hardware oa Interlaken (2nd Generation) exampLe hore e shebane le mefuta ea E-tile PAM4 e hloka oache e eketsehileng mac_clkin eo IO PLL e e hlahisang. PLL ena e tlameha ho sebelisa oache e ts'oanang e tsamaisang pll_ref_clk.
Setšoantšo sa 5. Interlaken (2nd Generation) Hardware Design Example Boemo bo Phahameng
Thibela Setšoantšo sa Phapang ea Mokhoa oa E-tile PAM4
Bakeng sa mefuta e fapaneng ea mokhoa oa E-tile PAM4, ha o nolofalletsa Boloka liteishene tsa transceiver tse sa sebelisoeng bakeng sa parameter ea PAM4, ho eketsoa sebaka se eketsehileng sa oache (pll_ref_clk [1]). Boema-kepe bona bo tlameha ho tsamaisoa ka makhetlo a tšoanang le a hlalositsoeng ho IP parameter editor (Reference clock frequency bakeng sa likanale tse bolokiloeng). The Sireletsa likanale tsa transceiver tse sa sebelisoeng bakeng sa PAM4 ke boikhethelo. Pini le litšitiso tse amanang le tsona tse abetsoeng oache ena li bonahala ho QSF ha u khetha Intel Stratix® 10 kapa Intel Agilex kit ea ntlafatso bakeng sa tlhahiso ea moralo.
Bakeng sa moralo example simulation, testbench e lula e hlalosa makhetlo a tšoanang bakeng sa pll_ref_clk[0] le pll_ref_clk[1].
Lintlha Tse Amanang
Intel Agilex F-Series Transceiver-SoC Development Kit User Guide
1.4. Ho Hlahisa Moralo
Setšoantšo sa 6. Mokhoa
Latela mehato ena ho hlahisa hardware example moralo le testbench:
- Ho software ea Intel Quartus Prime Pro Edition, tobetsa File ➤ Project Wizard e Ncha ho theha projeke e ncha ea Intel Quartus Prime, kapa tobetsa File ➤ Open Project ho bula morero o teng oa Intel Quartus Prime. Wizate e o kopa hore o hlalose sesebediswa.
- Hlalosa lelapa la sesebelisoa sa Agilex ebe u khetha sesebelisoa bakeng sa moralo oa hau.
- Ho IP Catalog, fumana le ho penya habeli Interlaken (2nd Generation) Intel FPGA IP. Ho hlaha fensetere e ncha ea IP Variant.
- Hlalosa lebitso la boemo bo holimo bakeng sa phapang ea hau ea IP e tloaelehileng. Mohlophisi oa paramethara o boloka litlhophiso tsa phapang ea IP ho a file bitsetsoe .ip.
- Tobetsa OK. Mohlophisi oa parameter oa hlaha.
Setšoantšo sa 7. Example Design Tab ho Interlaken (Moloko oa Bobeli) Intel FPGA IP Parameter Editor - Ho tab ea IP, hlakisa li-parameter tsa IP ea hau ea mantlha.
- Ho thebo ea PMA Adaptation, hlakisa maemo a ho ikamahanya le maemo a PMA haeba u rera ho sebelisa phetoho ea PMA bakeng sa mefuta ea hau ea lisebelisoa tsa E-tile.
Mohato ona ke oa boikhethelo:
• Kgetha Numella ho ikamahanya le maemo IP kgetho e bonolo.
Tlhokomeliso: U tlameha ho nolofalletsa khetho ea Enable Native PHY Debug Master Endpoint (NPDME) ho tab ea IP ha phetoho ea PMA e butsoitse.
• Kgetha sete ya ho ikamahanya le maemo ho PMA bakeng sa ho ikamahanya le maemo a PMA Kgetha parametha.
• Tobetsa PMA Adaptation Preload ho kenya liparamente tsa pele le tse tsoelang pele tsa ho ikamahanya le maemo.
• Hlalosa palo ea litlhophiso tsa PMA ho tšehetsa ha litlhophiso tse ngata tsa PMA li nolofalitsoe ho sebelisoa Nomoro ea paramethara ea tlhophiso ea PMA.
• Kgetha hore na o tla kenya kapa o boloke tlhophiso efe ya PMA ka ho sebedisa Kgetha tlhophiso ya PMA ho kenya kapa ho e boloka.
• Tobetsa Load adaptation ho tsoa ho tlhophiso ea PMA e khethiloeng ho kenya litlhophiso tse khethiloeng tsa PMA.
Bakeng sa lintlha tse ling mabapi le liparamente tsa ho ikamahanya le maemo PMA, sheba ho E-tile Transceiver PHY User Guide. - Ho Example Design tab, khetha khetho ea Simulation ho hlahisa testbench, 'me u khethe khetho ea Synthesis ho hlahisa thepa ea khale.ampmoralo.
Tlhokomeliso: U tlameha ho khetha bonyane khetho e le 'ngoe ea Simulation kapa Synthesis hlahisa Example Design Files. - Bakeng sa Format ea HDL e hlahisitsoeng, ke Verilog feela e fumanehang.
- Bakeng sa Target Development Kit khetha khetho e nepahetseng.
Tlhokomeliso: Khetho ea Intel Agilex F-Series Transceiver SoC Development Kit e fumaneha feela ha morero oa hau o hlalosa lebitso la sesebelisoa sa Intel Agilex ho qala ka AGFA012 kapa AGFA014. Ha u khetha khetho ea Development Kit, likabelo tsa phini li behiloe ho latela nomoro ea sesebelisoa sa Intel Agilex Development Kit AGFB014R24A2E2V mme e ka fapana le sesebelisoa seo u se khethileng. Haeba u batla ho lekola moralo ho hardware ho PCB e fapaneng, khetha khetho ea No development kit 'me u etse likabelo tse nepahetseng ho .qsf. file. - Tobetsa Hlahisa Example Design. The Khetha Exampho hlaha fensetere ea Design Directory.
- Haeba u batla ho fetola moralo examptsela ea directory kapa lebitso ho tsoa ho li-defaults tse bontšitsoeng (uflex_ilk_0_example_design), sheba tsela e ncha ebe u thaepa sebopeho se secha sa example lebitso la directory.
- Tobetsa OK.
Lintlha Tse Amanang
1.5. Ho etsisa Moqapi Example Testbench
Sheba ho Interlaken (Moloko oa Bobeli) Moqapi oa Hardware Example Block Level Level bakeng sa E-tile NRZ Mode Variations and Interlaken (2nd Generation) Hardware Design Ex.ample High Level Block bakeng sa E-tile PAM4 Mode Variations block diagrams tsa simulation testbench.
Setšoantšo sa 8. Mokhoa
Latela mehato ena ho etsisa testbench:
- Ka potlako ea taelo, fetola ho directory ea simulation ea testbench. Lethathamo keample_installation_dir>/example_design/ testbench bakeng sa lisebelisoa tsa Intel Agilex.
- Matha sengoloa sa ketsiso bakeng sa simulator e tšehelitsoeng eo u e khethileng. Script e bokella le ho tsamaisa testbench ho simulator. Sengoliloeng sa hau se lokela ho lekola hore SOP le EOP li bapana ka mor'a hore papiso e felile. Sheba tafoleng Mehato ea ho Matha Ketsiso.
Lethathamo la 4. Mehato ea ho Matha KetsisoMoetsisi Litaelo ModelSim SE kapa QuestaSim Moleng oa taelo, thaepa -do vlog_pro.do. Haeba u khetha ho etsisa ntle le ho hlahisa ModelSim GUI, thaepa vsim -c -do vlog_pro.do VCS Moleng oa taelo, thaepa sh vcstest.sh Xcelium Moleng oa taelo, thaepa sh xcelium.sh - Sekaseka liphetho. Ketsiso e atlehileng e romella le ho amohela lipakete, 'me e bonts'a "Test PASSED".
The testbench bakeng sa moralo example phetha mesebetsi e latelang:
- Instatiates Interlaken (Moloko oa Bobeli) Intel FPGA IP.
- E hatisa boemo ba PHY.
- E hlahloba khokahano ea metaframe (SYNC_LOCK) le meeli ea lentsoe (thibelo) (WORD_LOCK).
- E emetse hore litselana ka bomong li notleloe le ho lokisoa.
- E qala ho tsamaisa lipakete.
- E hlahloba lipalo-palo tsa liphutheloana:
- Liphoso tsa CRC24
- SOPs
- EOPs
Tse latelang sample sephetho se bonts'a tlhahlobo e atlehileng ea papiso e etsoang ka mokhoa oa Interlaken:
****************************************
INFO: E emetse hore litselana li lokisoe.
Litsela tsohle tsa baamoheli li hokahantsoe 'me li se li loketse ho amohela sephethephethe.
************************************************
************************************************
INFO: Qala ho fetisetsa lipakete
************************************************
************************************************
INFO: Khaotsa ho fetisa lipakete
************************************************
************************************************
INFO: Ho hlahloba lipalo-palo tsa lipakete
************************************************
Liphoso tsa CRC 24 li tlalehiloe: 0
Li-SOP tse fetisoang: 100
EOPs e fetisoa: 100
SOPs e fumanoeng: 100
Li-EOP li amohetse: 100
Palo ea liphoso tsa ECC: 0
************************************************
INFO: Test PASSED
************************************************
Hlokomela: Moqapi oa Interlaken example simulation testbench e romella lipakete tse 100 mme e amohela lipakete tse 100.
Tse latelang sample sephetho se bonts'a tlhahlobo e atlehileng ea ketsiso e etsoang ka mokhoa oa Interlaken Look-aside:
Sheba TX le RX Counter li lekana kapa che.
————————————————————--
BALA_MM: aterese 4000014 = 00000001.
————————————————————--
De-assert Counter equal bit.
————————————————————--
WRITE_MM: aterese 4000001 e fumana 00000001.
WRITE_MM: aterese 4000001 e fumana 00000000.
————————————————————--
RX_SOP KHANTHA.
————————————————————--
BALA_MM: aterese 400000c = 0000006a.
————————————————————--
RX_EOP KHAKHA.
BALA_MM: aterese 400000d = 0000006a.
————————————————————--
BALA_MM: aterese 4000010 = 00000000.
————————————————————--
Hlahisa Tlaleho ea ho Qetela.
————————————————————--
0 E Lemohile Phoso
0 CRC24 liphoso tse tlalehiloeng
106 SOPs e fetisoa
106 EOPs e fetisitsoe
106 SOPs e amohetse
106 EOPs e amohetse
————————————————————--
Qetella Ketsiso
————————————————————--
TEKO FEELA
————————————————————--
Hlokomela: Palo ea lipakete (SOPs le EOPs) e fapana ka lane ho Interlaken Lookaside design ex.ample ketsiso sample tlhahiso.
Lintlha Tse Amanang
Moqapi oa lisebelisoa tsa thepa Example Likarolo leqepheng la 6
1.6. Ho Kopanya le ho Hlophisa Moralo Example ho Hardware
Setšoantšo sa 9. Mokhoa
Ho bokella le ho etsa tlhahlobo ea pontšo ho hardware example design, latela mehato ena:
- Netefatsa hore hardware example tlhahiso ea moralo e felile.
- Ho software ea Intel Quartus Prime Pro Edition, bula morero oa Intel Quartus Primeample_installation_dir>/example_design/quartus/ example_design.qpf>.
- Ho menu ea Processing, tobetsa Start Compilation.
- Ka mor'a ho bokella ka katleho, a .sof file e fumaneha bukeng ea hau e boletsoeng.
Latela mehato ena ho etsa lenaneo la hardware example moralo ho sesebelisoa sa Intel Agilex: - Hokela Intel Agilex F-Series Transceiver-SoC Development Kit ho komporo e amohelang.
b. Qala ts'ebeliso ea Clock Control, e leng karolo ea lisebelisoa tsa nts'etsopele, 'me u behe maqhubu a macha bakeng sa moqapi oa khale.ample. Ka tlase ke litlhophiso tsa khafetsa ts'ebelisong ea Clock Control:
• Si5338 (U37), CLK1- 100 MHz
• Si5338 (U36), CLK2- 153.6 MHz
• Si549 (Y2), OUT- Beha boleng ba pll_ref_clk (1) ho latela tlhoko ea moralo oa hau.
c. Ho Tools menu, tobetsa Programmer.
d. Ho "Programmer", tobetsa "Hardware Setup".
e. Khetha sesebelisoa sa ho etsa mananeo.
f. Khetha 'me u kenye Intel Agilex F-Series Transceiver-SoC Development Kit eo karolo ea hau ea Intel Quartus Prime e ka hokelang ho eona.
g. Netefatsa hore Mode e setetsoe ho JTAG.
h. Khetha sesebelisoa sa Intel Agilex ebe o tobetsa Eketsa Sesebelisoa. Lenaneo le bonts'a setšoantšo sa li-block tsa likhokahano lipakeng tsa lisebelisoa tse botong ea hau.
ke. Moleng le .sof ea hau, hlahloba lebokose la .sof.
j. Tshwaya lebokoso mo kholomong ya Lenaneo/Configure.
k. Tobetsa Qala.
Lintlha Tse Amanang
- Lisebelisoa tsa lisebelisoa tsa Intel FPGA leqepheng la 0
- Ho sekaseka le ho lokisa meralo ka System Console
- Intel Agilex F-Series Transceiver-SoC Development Kit User Guide
1.7. Ho Lekola Moetso oa Hardware Example
Kamora hore o bokelle Interlaken (2nd Generation) Intel FPGA IP core design example ho lokisa sesebelisoa sa hau, u ka sebelisa System Console ho hlophisa motheo oa IP le lirejistara tsa eona tsa mantlha tsa Native PHY IP.
Latela mehato ena ho hlahisa Console ea Sisteme le ho leka moralo oa lisebelisoa tsa khaleampLe:
- Ho software ea Intel Quartus Prime Pro Edition, ho Tools menu, tobetsa Lisebelisoa tsa Tsamaiso ea Tsamaiso ➤ System Console.
- Fetola ho eaample_installation_dir>mohlalaample_design/ hwtest directory.
- Ho bula khokahano ho JTAG monghali, ngola taelo e latelang: mohloli sysconsole_testbench.tcl
- O ka bulela serial loopback mode ka moetso o latelangample litaelo:
a. stat: E hatisa lintlha tse akaretsang tsa boemo.
b. sys_reset: E tsosolosa tsamaiso.
c. loop_on: E bulela serial loopback e ka hare.
d. run_example_design: E tsamaisa sebopeho sa mohlalaample.
Tlhokomeliso: U tlameha ho tsamaisa taelo ea loop_on pele run_examptaelo ea le_design.
The run_example_design e tsamaisa litaelo tse latelang ka tatellano:
sys_reset->stat->gen_on->stat->gen_off.
Tlhokomeliso: Ha u khetha Enable load load soft IP khetho, run_examptaelo ea le_design e etsa tekanyo ea pele ea ho ikamahanya le maemo ka lehlakoreng la RX ka ho sebelisa taelo ea run_load_PMA_configuration. - O ka tima mokhoa oa ka hare oa serial loopback ka moralo o latelang oa mohlalaample command:
a. loop_off: E tima loopback ea ka hare ea serial. - U ka hlophisa mantlha ea IP ka moralo o latelang oa mohlalaample litaelo:
a. gen_on: E nolofalletsa jenereithara ea pakete.
b. gen_off: E thibela jenereithara ea pakete.
c. run_test_loop: E etsa tlhahlobo bakeng sa linako tse fapaneng tsa E-tile NRZ le PAM4.
d. clear_err: E hlakola likarolo tsohle tsa liphoso tse khomarelang.
e. set_test_mode : E theha tlhahlobo hore e sebetse ka mokhoa o itseng.
f. get_test_mode: E hatisa mokhoa oa hajoale oa teko.
g. set_burst_size : E beha boholo ba ho phatloha ka li-byte.
h. get_burst_size: E hatisa lintlha tsa boholo bo phatlohileng.
Teko e atlehileng e hatisa HW_TEST:PASS molaetsa. Ka tlase ke mekhoa ea ho feta bakeng sa ho etsa tlhahlobo:
- Ha ho liphoso tsa CRC32, CRC24, le checker.
- Li-SOP tse fetisoang le li-EOP li lokela ho tsamaisana le tse amoheloang.
Tse latelang sample sephetho se bonts'a tlhahlobo e atlehileng e etsoang ka mokhoa oa Interlaken:
INFO: INFO: Emisa ho hlahisa lipakete
==== TLALEHO YA MAEMO ====
TX KHz : 402813
RX KHz : 402813
Hangata linotlolo: 0x0000ff
Senotlolo sa TX PLL : 0x000001
Hlophisa: 0x00c10f
Rx LOA : 0x000000
Tx LOA : 0x000000
senotlolo sa mantsoe: 0x0000ff
sync senotlolo: 0x0000ff
Liphoso tsa CRC32: 0
Liphoso tsa CRC24: 0
Liphoso tsa Checker: 0
Lifolakha tsa liphoso tsa FIFO: 0x000000
SOPs e fetisoa: 1087913770
EOPs e fetisoa: 1087913770
Li-SOP tse amohetseng: 1087913770
Li-EOPs li amohetse: 1087913770
ECC e lokisitsoe: 0
Phoso ea ECC: 0
E fetile metsotsoana e 161 ho tloha powerup
HW_TEST : PASS
Teko e atlehileng e hatisitse HW_TEST : Molaetsa oa PASS. Ka tlase ke mekhoa ea ho feta bakeng sa ho etsa tlhahlobo:
- Ha ho liphoso tsa CRC32, CRC24, le checker.
- Li-SOP tse fetisoang le li-EOP li lokela ho tsamaisana le tse amoheloang.
Tse latelang sample sephetho se bonts'a tlhahlobo e atlehileng e etsoang ka mokhoa oa Interlaken Lookaside:
INFO: INFO: Emisa ho hlahisa lipakete
==== TLALEHO YA MAEMO ====
TX KHz : 402813
RX KHz : 402812
Hangata linotlolo: 0x000ffff
Senotlolo sa TX PLL : 0x000001
Hlophisa: 0x00c10f
Rx LOA : 0x000000
Tx LOA : 0x000000
senotlolo sa mantsoe: 0x000ffff
sync senotlolo: 0x000ffff
Liphoso tsa CRC32: 0
Liphoso tsa CRC24: 0
Liphoso tsa Checker: 0
SOPs e fetisoa: 461
EOPs e fetisoa: 461
Li-SOP tse amohetseng: 461
Li-EOPs li amohetse: 461
E fetile metsotsoana e 171 ho tloha powerup
HW_TEST : PASS
Moqapi Example Tlhaloso
Moqapi example e bonts'a ts'ebetso ea mantlha ea IP ea Interlaken.
Lintlha Tse Amanang
Interlaken (Moloko oa Bobeli) FPGA IP User Guide
2.1. Moralo Example Boitšoaro
Ho leka moralo ho Hardware, thaepa litaelo tse latelang ho System Console:
- Mohloli oa setup file:
% mohloliample>uflex_ilk_0_example_design/example_design/hwtest/sysconsole_testbench.tcl - Etsa tlhahlobo:
% run_example_design - Moralo oa hardware oa Interlaken (2nd Generation) example phetha mehato e latelang:
a. E tsosolosa IP ea Interlaken (Moloko oa Bobeli).
b. E lokisa Interlaken (Moloko oa Bobeli) IP ka mokhoa oa ka hare oa loopback.
c. E romella liphutheloana tse ngata tsa Interlaken tse nang le data e boletsoeng esale pele e lefelloang ho sebopeho sa phetisetso ea data ea mosebelisi ea TX ea mantlha ea IP.
d. E hlahloba lipakete tse amohetsoeng ebe e tlaleha boemo. Sehlahlobi sa pakete se kenyellelitsoeng ho sebopeho sa hardware exampLe e fana ka lintlha tse latelang tsa ho hlahloba liphutheloana:
• Lekola hore na tatellano ea pakete e fetisoang e nepahetse.
• Lekola hore na data e amohetsweng e tsamaellana le boleng bo lebeletsweng ka ho etsa bonnete ba hore palo ya ho qala pakete (SOP) le pheletso ya pakete (EOP) e tsamaellana ha data e ntse e fetiswa le ho amoheloa.
2.2. Lipontšo tsa Interface
Lethathamo la 5. Moqapi Example Lipontšo tsa Interface
Lebitso la Port | Tataiso | Bophara (Bits) | Tlhaloso |
mgmt_clk | Kenyeletso | 1 | Ho kenya oache ea sistimi. Maqhubu a oache a tlameha ho ba 100 MHz. |
pll_ref_clk /pll_ref_clk[1:0] (2) | Kenyeletso | 2-Jan | Oache ea litšupiso ea transceiver. E tsamaisa RX CDR PLL. |
Lebitso la Port | Tataiso | Bophara (Bits) | Tlhaloso |
pll_ref_clk[1] e fumaneha feela ha o butse Boloka e sa sebelisoe Hlokomela: likanale tsa transceiver tsa PAM4 parameter ka E-tile PAM4 mode IP mefuta e fapaneng. |
|||
rx_pin | Kenyeletso | Palo ea litselana | Receiver SERDES phini ea data. |
tx_pin | Sephetho | Palo ea litselana | Fetisetsa PIN ea data ea SERDES. |
rx_pin_n | Kenyeletso | Palo ea litselana | Receiver SERDES phini ea data. Letšoao lena le fumaneha feela ka mefuta ea lisebelisoa tsa mokhoa oa E-tile PAM4. |
tx_pin_n | Sephetho | Palo ea litselana | Fetisetsa PIN ea data ea SERDES. Letšoao lena le fumaneha feela ka mefuta ea lisebelisoa tsa mokhoa oa E-tile PAM4. |
mac_clk_pll_ref | Kenyeletso | 1 | Letšoao lena le tlameha ho tsamaisoa ke PLL 'me le tlameha ho sebelisa mohloli o tšoanang oa oache o tsamaisang pll_ref_clk. Letšoao lena le fumaneha feela ka mefuta ea lisebelisoa tsa mokhoa oa E-tile PAM4. |
usr_pb_reset_n | Kenyeletso | 1 | Sesebelisoa sa reset. |
Lintlha Tse Amanang
Lipontšo tsa Interface
2.3. Ngolisa 'mapa
Hlokomela:
- Moqapi ExampAterese ea register e qala ka 0x20** ha aterese ea mantlha ea IP ea Interlaken e qala ka 0x10**.
- Khouto ea ho kena: RO—Bala Feela, le RW—Bala/Ngola.
- System console e bala sebopeho sa exampe ngolisa le ho tlaleha boemo ba teko skrineng.
Lethathamo la 6. Moqapi Example Ngoliso 'mapa oa Interlaken Design Example
Offset | Lebitso | Phihlello | Tlhaloso |
8h00 | Reserved | ||
8h01 | Reserved | ||
8h02 | Sistimi ea PLL e nchafalitsoe | RO | Li-bits tse latelang li bonts'a kopo ea ho seta bocha PLL le ho nolofalletsa boleng: • Bit [0] – sys_pll_rst_req • Bit [1] – sys_pll_rst_en |
8h03 | RX lane e tsamaellana | RO | E bonts'a tlhophiso ea tsela ea RX. |
8h04 | LENTSOE notletsoe | RO | [NUM_LANES–1:0] – Tlhaloso ea meeli ea Lentsoe (thibelo). |
(2) Ha o nolofalletsa Boloka liteishene tsa transceiver tse sa sebelisoeng bakeng sa parameter ea PAM4, kou e eketsehileng ea litšupiso e eketsoa ho boloka mocha oa makhoba oa PAM4 o sa sebelisoeng.
Offset | Lebitso | Phihlello | Tlhaloso |
8h05 | Khokahano e notletsoe | RO | [NUM_LANES–1:0] – Khokahano ea Metaframe. |
8'h06 - 8'h09 | CRC32 palo ea liphoso | RO | E bontša palo ea liphoso tsa CRC32. |
8h0A | CRC24 palo ea liphoso | RO | E bontša palo ea liphoso tsa CRC24. |
8h0b | Lets'oao la ho phalla / Tlaaseha | RO | Lintlha tse latelang li bontša: • Bit [3] - TX lets'oao la phallo e tlase • Bit [2] - Letšoao la ho phalla la TX • Bit [1] - Letšoao la ho phalla la RX |
8h0C | palo ea SOP | RO | E bontša palo ea SOP. |
8'h0D | palo ea EOP | RO | E bontša palo ea EOP |
8h0E | Palo ea liphoso | RO | E bontša palo ea liphoso tse latelang: • Ho lahleheloa ke tsela ea tsela • Lentsoe la taolo e seng molaong • Paterone e seng molaong ea ho etsa liforeimi • SOP kapa EOP sesupa se sieo |
8'h0F | send_data_mm_clk | RW | Ngola 1 ho bit [0] ho nolofalletsa lets'oao la jenereithara. |
8h10 | Phoso ea ho hlahloba | E bontša phoso ea ho hlahloba. (Phoso ea data ea SOP, phoso ea nomoro ea Channel, le phoso ea data ea PLD) | |
8h11 | Sistimi PLL senotlolo | RO | Bit [0] e supa sesupo sa senotlolo sa PLL. |
8h14 | TX SOP palo | RO | E bontša palo ea SOP e hlahisoang ke jenereithara ea pakete. |
8h15 | TX EOP palo | RO | E bontša palo ea EOP e hlahisoang ke jenereithara ea pakete. |
8h16 | Phakete e tsoelang pele | RW | Ngola ho tloha ho 1 ho isa ho [0] ho nolofalletsa pakete e tsoelang pele. |
8h39 | ECC palo ea liphoso | RO | E bontša palo ea liphoso tsa ECC. |
8h40 | ECC e lokisitse palo ea liphoso | RO | E bontša palo ea liphoso tse lokisitsoeng tsa ECC. |
Lethathamo la 7. Moqapi Example Ngolisa 'mapa oa Interlaken Sheba-kathoko Design Example
Sebelisa 'mapa ona oa rejisetara ha u etsa moralo oa example ka Enable Interlaken Look-aside mode parameter e butswe.
Offset | Lebitso | Phihlello | Tlhaloso |
8h00 | Reserved | ||
8h01 | Counter reset | RO | Ngola 1 to bit [0] ho hlakola TX le RX counter biti e lekanang. |
8h02 | Sistimi ea PLL e nchafalitsoe | RO | Li-bits tse latelang li bonts'a kopo ea ho seta bocha PLL le ho nolofalletsa boleng: • Bit [0] – sys_pll_rst_req • Bit [1] – sys_pll_rst_en |
8h03 | RX lane e tsamaellana | RO | E bonts'a tlhophiso ea tsela ea RX. |
8h04 | LENTSOE notletsoe | RO | [NUM_LANES–1:0] – Tlhaloso ea meeli ea Lentsoe (thibelo). |
8h05 | Khokahano e notletsoe | RO | [NUM_LANES–1:0] – Khokahano ea Metaframe. |
8'h06 - 8'h09 | CRC32 palo ea liphoso | RO | E bontša palo ea liphoso tsa CRC32. |
8h0A | CRC24 palo ea liphoso | RO | E bontša palo ea liphoso tsa CRC24. |
Offset | Lebitso | Phihlello | Tlhaloso |
8h0b | Reserved | ||
8h0C | palo ea SOP | RO | E bontša palo ea SOP. |
8'h0D | palo ea EOP | RO | E bontša palo ea EOP |
8h0E | Palo ea liphoso | RO | E bontša palo ea liphoso tse latelang: • Ho lahleheloa ke tsela ea tsela • Lentsoe la taolo e seng molaong • Paterone e seng molaong ea ho etsa liforeimi • SOP kapa EOP sesupa se sieo |
8'h0F | send_data_mm_clk | RW | Ngola 1 ho bit [0] ho nolofalletsa lets'oao la jenereithara. |
8h10 | Phoso ea ho hlahloba | RO | E bontša phoso ea ho hlahloba. (Phoso ea data ea SOP, phoso ea nomoro ea Channel, le phoso ea data ea PLD) |
8h11 | Sistimi PLL senotlolo | RO | Bit [0] e supa sesupo sa senotlolo sa PLL. |
8h13 | Palo ea morao | RO | E bontša palo ea latency. |
8h14 | TX SOP palo | RO | E bontša palo ea SOP e hlahisoang ke jenereithara ea pakete. |
8h15 | TX EOP palo | RO | E bontša palo ea EOP e hlahisoang ke jenereithara ea pakete. |
8h16 | Phakete e tsoelang pele | RO | Ngola ho tloha ho 1 ho isa ho [0] ho nolofalletsa pakete e tsoelang pele. |
8h17 | TX le RX counter li lekana | RW | E bontša hore TX le RX counter lia lekana. |
8h23 | Numella latency | WO | Ngola 1 ho isa ho biti [0] ho nolofalletsa tekanyo ea latency. |
8h24 | Latency loketse | RO | E bontša hore latency methatso e se e loketse. |
Interlaken (Moloko oa Bobeli) Intel Agilex FPGA IP Design Example User Guide Archives
Bakeng sa liphetolelo tsa morao-rao le tse fetileng tsa bukana ea basebelisi, sheba ho Interlaken (ea bobeli Moloko) Intel Agilex FPGA IP Design Example Bukana ea Mosebelisi Mofuta oa HTML. Khetha mofuta ebe o tobetsa Download. Haeba IP kapa mofuta oa software o sa thathamisoa, ho sebetsa tataiso ea mosebelisi bakeng sa IP e fetileng kapa mofuta oa software.
Liphetolelo tsa IP li tšoana le mefuta ea software ea Intel Quartus Prime Design Suite ho fihla ho v19.1. Ho tsoa ho Intel Quartus Prime Design Suite software version 19.2 kapa hamorao, li-cores tsa IP li na le morero o mocha oa phetolelo ea IP.
Nalane ea Tokiso ea Tokomane bakeng sa Interlaken (Moloko oa Bobeli) Intel Agilex FPGA IP Design Example Bukana ea Mosebelisi
Tokomane Version | Intel Quartus Prime Version | IP Version | Liphetoho |
2022.08.03 | 21.3 | 20.0.1 | E lokisitse sesebelisoa sa OPN bakeng sa Intel Agilex F-Series Transceiver-SoC Development Kit. |
2021.10.04 | 21.3 | 20.0.1 | • Tšehetso e ekelitsoeng bakeng sa simulator ea QuestaSim. • Ho tlosoa tšehetso bakeng sa simulator ea NCSim. |
2021.02.24 | 20.4 | 20.0.1 | • Lintlha tse ling mabapi le ho boloka mocha oa transceiver o sa sebelisoang bakeng sa PAM4 karolong: Hardware Design Ex.ample Likarolo. • E kentse tlhaloso ea lets'oao la pll_ref_clk[1] karolong ea: Interface Signals. |
2020.12.14 | 20.4 | 20.0.0 | • E ntlafalitsoeng kample hardware test output for Interlaken mode le Interlaken Look-aside mode in section Ho lekola Hardware Design Example. • 'Mapa o ntlafalitsoeng oa ngoliso bakeng sa moralo oa Interlaken Look-aside example karolong ea ngoliso ea 'mapa. • E kentse mokhoa oa ho feta bakeng sa tlhahlobo e atlehileng ea hardware e etsoang karolong ea Teko ea Hardware Design Example. |
2020.10.16 | 20.2 | 19.3.0 | Taelo e lokisitsoeng ea ho tsamaisa mokhoa oa pele oa ho ikamahanya le maemo ka lehlakoreng la RX ho Lekola Hardware Design Example karolo. |
2020.06.22 | 20.2 | 19.3.0 | • Moqapi mohlalaample e fumaneha bakeng sa mokhoa oa Interlaken Look-side. • Ho hlahloba lisebelisoa tsa sebopeho sa example e fumaneha bakeng sa mefuta e fapaneng ea lisebelisoa tsa Intel Agilex. • Setšoantšo se Ekelitsoeng: Sets'oants'o se Phahameng sa Block Diagram bakeng sa Interlaken (Moloko oa Bobeli) Moqapi Example. • Likarolo tse latelang li ntlafalitsoe: - Litlhoko tsa Hardware le Software – Sebopeho sa Directory • E fetotse lipalo tse latelang ho kenyelletsa lintlha tse amanang le Interlaken Look-aside: – Setšoantšo: Interlaken (2nd Generation) Hardware Design Example Phahameng Setšoantšo sa Thibelo ea Boemo bakeng sa Phapang ea Mokhoa oa E- tile NRZ – Setšoantšo: Interlaken (2nd Generation) Hardware Design Example Setšoantšo sa Block Level e Phahameng bakeng sa E- tile PAM4 Mode Variations • Setšoantšo se ntlafalitsoeng: IP Parameter Editor. • Tlhahisoleseding e ekeditsweng mabapi le di-setting tsa maqhubu a tshebediso ya taolo ya watjhe karolong ya Ho Kopanya le ho Hlophisa Design Example ho Hardware. |
Tokomane Version | Intel Quartus Prime Version | IP Version | Liphetoho |
• Liphetho tsa liteko tse kentsoeng bakeng sa Interlaken Look- kathoko likarolong tse latelang: |
|||
2019.09.30 | 19.3 | 19.2.1 |
E tlositsoe clk100. The mgmt_clk e sebetsa e le oache ea litšupiso ho IO PLL ka tse latelang: |
2019.07.01 | 19.2 | 19.2 | Tokollo ea pele. |
Intel Corporation. Litokelo tsohle li sirelelitsoe. Intel, logo ea Intel, le matšoao a mang a Intel ke matšoao a khoebo a Intel Corporation kapa lithuso tsa eona. Intel e tiisa ts'ebetso ea FPGA ea eona le lihlahisoa tsa semiconductor ho latela litlhaloso tsa hajoale ho latela waranti e tloaelehileng ea Intel, empa e na le tokelo ea ho etsa liphetoho ho lihlahisoa le lits'ebeletso life kapa life ka nako efe kapa efe ntle le tsebiso. Intel ha e nke boikarabello kapa boikarabello bo hlahang ka lebaka la kopo kapa ts'ebeliso ea tlhahisoleseling efe kapa efe, sehlahisoa, kapa ts'ebeletso e hlalositsoeng mona ntle le ha ho lumellane ka ho hlaka ka lengolo ke Intel. Bareki ba Intel ba eletsoa ho fumana mofuta oa morao-rao oa litlhaloso tsa sesebelisoa pele ba itšetleha ka tlhahisoleseling efe kapa efe e phatlalalitsoeng le pele ba kenya liodara tsa lihlahisoa kapa lits'ebeletso.
*Mabitso a mang le mabitso a mang a ka nkoa e le thepa ea ba bang.
ISO
9001:2015
Ngodisitsoe
Interlaken (Moloko oa Bobeli) Intel® Agilex™ FPGA IP Design Example Bukana ea Mosebelisi
Online Version
Romella Maikutlo
ID: 683800
UG-20239
Phetolelo: 2022.08.03
Litokomane / Lisebelisoa
![]() |
Intel Interlaken (Moloko oa Bobeli) Agilex FPGA IP Design Example [pdf] Bukana ea Mosebelisi Interlaken 2nd Generation Agilex FPGA IP Design Example, Interlaken, 2nd Generation Agilex FPGA IP Design Example, Agilex FPGA IP Design Example, IP Design Example |