இன்டெல் லோகோஇன்டர்லேக்கன் (2வது தலைமுறை) இன்டெல் ®
அஜிலெக்ஸ்™ FPGA IP வடிவமைப்பு Example
பயனர் வழிகாட்டி

விரைவு தொடக்க வழிகாட்டி

இன்டர்லேக்கன் (2வது தலைமுறை) FPGA IP கோர் ஒரு உருவகப்படுத்துதல் சோதனை பெஞ்ச் மற்றும் வன்பொருள் வடிவமைப்பை வழங்குகிறது.ampதொகுத்தல் மற்றும் வன்பொருள் சோதனையை ஆதரிக்கும் le. நீங்கள் வடிவமைப்பை உருவாக்கும் போது முன்னாள்ample, அளவுரு எடிட்டர் தானாகவே உருவாக்குகிறது fileவன்பொருளில் வடிவமைப்பை உருவகப்படுத்தவும், தொகுக்கவும் மற்றும் சோதிக்கவும் அவசியம். வடிவமைப்பு முன்னாள்ample இன்டர்லேக்கன் லுக்-அசைட் அம்சத்திற்கும் கிடைக்கிறது.
டெஸ்ட்பெஞ்ச் மற்றும் வடிவமைப்பு முன்னாள்ampE-டைல் சாதனங்களுக்கான NRZ மற்றும் PAM4 பயன்முறையை le ஆதரிக்கிறது. Interlaken (2வது தலைமுறை) FPGA IP கோர் வடிவமைப்பை உருவாக்குகிறதுampலேன்களின் எண்ணிக்கை மற்றும் தரவு விகிதங்களின் அனைத்து ஆதரிக்கப்படும் சேர்க்கைகளுக்கும் les.

படம் 1. வடிவமைப்பிற்கான வளர்ச்சி படிகள் முன்னாள்ampleintel Interlaken 2வது தலைமுறை Agilex FPGA IP வடிவமைப்பு Example - படம் 1

இன்டர்லேக்கன் (2வது தலைமுறை) ஐபி கோர் வடிவமைப்பு முன்னாள்ample பின்வரும் அம்சங்களை ஆதரிக்கிறது:

  • உள் TX முதல் RX வரையிலான தொடர் லூப்பேக் பயன்முறை
  • நிலையான அளவு பாக்கெட்டுகளை தானாகவே உருவாக்குகிறது
  • அடிப்படை பாக்கெட் சோதனை திறன்கள்
  • மறு-சோதனை நோக்கத்திற்காக வடிவமைப்பை மீட்டமைக்க சிஸ்டம் கன்சோலைப் பயன்படுத்தும் திறன்
  • PMA தழுவல்

படம் 2. இன்டர்லேக்கன் (2வது தலைமுறை) வடிவமைப்பு முன்னாள்க்கான உயர்-நிலை தொகுதி வரைபடம்ampleintel Interlaken 2வது தலைமுறை Agilex FPGA IP வடிவமைப்பு Example - படம் 2

தொடர்புடைய தகவல்

  • Interlaken (2வது தலைமுறை) FPGA IP பயனர் வழிகாட்டி
  • Interlaken (2வது தலைமுறை) Intel FPGA IP வெளியீட்டு குறிப்புகள்

1.1 வன்பொருள் மற்றும் மென்பொருள் தேவைகள்
முன்னாள் சோதிக்கample வடிவமைப்பு, பின்வரும் வன்பொருள் மற்றும் மென்பொருளைப் பயன்படுத்தவும்:

  • Intel® Prime Pro பதிப்பு மென்பொருள் பதிப்பு 21.3
  • சிஸ்டம் கன்சோல்
  • ஆதரிக்கப்படும் சிமுலேட்டர்கள்:
    — சீமென்ஸ்* EDA மாடல்சிம்* SE அல்லது QuestaSim*
    — சுருக்கம்* VCS*
    - கேடென்ஸ்* எக்ஸ்செலியம்*
  • Intel Agilex® Quartus™ F-Series Transceiver-SoC டெவலப்மெண்ட் கிட் (AGFB014R24A2E2V)

தொடர்புடைய தகவல்
Intel Agilex F-Series Transceiver-SoC டெவலப்மெண்ட் கிட் பயனர் கையேடு
1.2 அடைவு அமைப்பு
இன்டர்லேக்கன் (2வது தலைமுறை) ஐபி கோர் வடிவமைப்பு முன்னாள்ample file கோப்பகங்களில் பின்வரும் உருவாக்கம் உள்ளது fileகள் வடிவமைப்பிற்கான முன்னாள்ampலெ.
படம் 3. ஜெனரேட்டட் இன்டர்லேக்கனின் அடைவு அமைப்பு (2வது தலைமுறை) முன்னாள்ample வடிவமைப்பு

intel Interlaken 2வது தலைமுறை Agilex FPGA IP வடிவமைப்பு Example - படம் 3

வன்பொருள் கட்டமைப்பு, உருவகப்படுத்துதல் மற்றும் சோதனை fileகள் அமைந்துள்ளனample_installation_dir>/uflex_ilk_0_example_design.
அட்டவணை 1. இன்டர்லேக்கன் (2வது தலைமுறை) ஐபி கோர் ஹார்டுவேர் டிசைன் எக்ஸ்ample File விளக்கங்கள்
இவை fileகள் உள்ளனample_installation_dir>/uflex_ilk_0_example_design/ example_design/quartus அடைவு.

File பெயர்கள் விளக்கம்
example_design.qpf இன்டெல் குவார்டஸ் பிரைம் திட்டம் file.
example_design.qsf Intel Quartus Prime திட்ட அமைப்புகள் file
example_design.sdc ஜேtag_timing_template.sdc சுருக்கம் வடிவமைப்பு கட்டுப்பாடு file. உங்கள் சொந்த வடிவமைப்பிற்காக நீங்கள் நகலெடுத்து மாற்றலாம்.
sysconsole_testbench.tcl முக்கிய file சிஸ்டம் கன்சோலை அணுகுவதற்கு

அட்டவணை 2. இன்டர்லேக்கன் (2வது தலைமுறை) ஐபி கோர் டெஸ்ட்பெஞ்ச் File விளக்கம்
இது file இல் உள்ளதுample_installation_dir>/uflex_ilk_0_example_design/ example_design/rtl கோப்பகம்.

File பெயர் விளக்கம்
top_tb.sv உயர்மட்ட சோதனை பெஞ்ச் file.

அட்டவணை 3. இன்டர்லேக்கன் (2வது தலைமுறை) ஐபி கோர் டெஸ்ட்பெஞ்ச் ஸ்கிரிப்டுகள்
இவை fileகள் உள்ளனample_installation_dir>/uflex_ilk_0_example_design/ example_design/testbench அடைவு.

File பெயர் விளக்கம்
vcstest.sh டெஸ்ட்பெஞ்சை இயக்க VCS ஸ்கிரிப்ட்.
vlog_pro.do டெஸ்ட்பெஞ்சை இயக்க ModelSim SE அல்லது QuestaSim ஸ்கிரிப்ட்.
xcelium.sh டெஸ்ட்பெஞ்சை இயக்க Xcelium ஸ்கிரிப்ட்.

1.3 வன்பொருள் வடிவமைப்பு Example கூறுகள்
முன்னாள்ample வடிவமைப்பு அமைப்பு மற்றும் PLL குறிப்பு கடிகாரங்கள் மற்றும் தேவையான வடிவமைப்பு கூறுகளை இணைக்கிறது. முன்னாள்ample வடிவமைப்பு IP மையத்தை உள் லூப்பேக் பயன்முறையில் கட்டமைக்கிறது மற்றும் IP கோர் TX பயனர் தரவு பரிமாற்ற இடைமுகத்தில் பாக்கெட்டுகளை உருவாக்குகிறது. ஐபி கோர் இந்த பாக்கெட்டுகளை டிரான்ஸ்ஸீவர் மூலம் உள் லூப்பேக் பாதையில் அனுப்புகிறது.
ஐபி கோர் ரிசீவர் லூப்பேக் பாதையில் பாக்கெட்டுகளைப் பெற்ற பிறகு, அது இன்டர்லேக்கன் பாக்கெட்டுகளை செயலாக்குகிறது மற்றும் அவற்றை RX பயனர் தரவு பரிமாற்ற இடைமுகத்தில் அனுப்புகிறது. முன்னாள்ample வடிவமைப்பு பெறப்பட்ட மற்றும் அனுப்பப்பட்ட பாக்கெட்டுகள் பொருந்துமா என்பதை சரிபார்க்கிறது.
வன்பொருள் முன்னாள்ample வடிவமைப்பு வெளிப்புற PLLகளை உள்ளடக்கியது. நீங்கள் தெளிவான உரையை ஆராயலாம் fileகள் வேண்டும் view sampஇண்டர்லேக்கன் (2வது தலைமுறை) FPGA IP உடன் வெளிப்புற PLLகளை இணைக்க ஒரு சாத்தியமான முறையை செயல்படுத்தும் le குறியீடு.
இன்டர்லேக்கன் (2வது தலைமுறை) வன்பொருள் வடிவமைப்பு முன்னாள்ample பின்வரும் கூறுகளை உள்ளடக்கியது:

  1. இன்டர்லேக்கன் (2வது தலைமுறை) FPGA IP
  2. பாக்கெட் ஜெனரேட்டர் மற்றும் பாக்கெட் செக்கர்
  3. JTAG சிஸ்டம் கன்சோலுடன் தொடர்பு கொள்ளும் கட்டுப்படுத்தி. சிஸ்டம் கன்சோல் மூலம் கிளையன்ட் லாஜிக்குடன் நீங்கள் தொடர்பு கொள்கிறீர்கள்.

படம் 4. இன்டர்லேக்கன் (2வது தலைமுறை) வன்பொருள் வடிவமைப்பு ExampE-டைல் NRZ பயன்முறை மாறுபாடுகளுக்கான உயர் நிலை தொகுதி வரைபடம்intel Interlaken 2வது தலைமுறை Agilex FPGA IP வடிவமைப்பு Example - படம் 5

இன்டர்லேக்கன் (2வது தலைமுறை) வன்பொருள் வடிவமைப்பு முன்னாள்ampE-டைல் PAM4 பயன்முறை மாறுபாடுகளை குறிவைக்கும் le க்கு IO PLL உருவாக்கும் கூடுதல் கடிகாரம் mac_clkin தேவைப்படுகிறது. இந்த PLL ஆனது pll_ref_clk ஐ இயக்கும் அதே குறிப்பு கடிகாரத்தைப் பயன்படுத்த வேண்டும்.

படம் 5. இன்டர்லேக்கன் (2வது தலைமுறை) வன்பொருள் வடிவமைப்பு Example உயர் நிலை
மின்-டைல் PAM4 பயன்முறை மாறுபாடுகளுக்கான பிளாக் வரைபடம்intel Interlaken 2வது தலைமுறை Agilex FPGA IP வடிவமைப்பு Example - படம் 4

E-tile PAM4 பயன்முறை மாறுபாடுகளுக்கு, PAM4 அளவுருவிற்குப் பயன்படுத்தப்படாத டிரான்ஸ்ஸீவர் சேனல்களைப் பாதுகாக்கும் போது, ​​கூடுதல் குறிப்பு கடிகார போர்ட் சேர்க்கப்படும் (pll_ref_clk [1]). இந்த போர்ட் ஐபி அளவுரு எடிட்டரில் வரையறுக்கப்பட்ட அதே அதிர்வெண்ணில் இயக்கப்பட வேண்டும் (பாதுகாக்கப்பட்ட சேனல்களுக்கான குறிப்பு கடிகார அதிர்வெண்). PAM4 க்கான பயன்படுத்தப்படாத டிரான்ஸ்ஸீவர் சேனல்களைப் பாதுகாத்தல் விருப்பமானது. வடிவமைப்பு உருவாக்கத்திற்கான Intel Stratix® 10 அல்லது Intel Agilex டெவலப்மென்ட் கிட்டைத் தேர்ந்தெடுக்கும்போது, ​​இந்தக் கடிகாரத்திற்கு ஒதுக்கப்பட்டுள்ள முள் மற்றும் தொடர்புடைய கட்டுப்பாடுகள் QSF இல் தெரியும்.
வடிவமைப்பிற்கு முன்னாள்ample உருவகப்படுத்துதல், testbench எப்போதும் pll_ref_clk[0] மற்றும் pll_ref_clk[1]க்கு ஒரே அலைவரிசையை வரையறுக்கிறது.
தொடர்புடைய தகவல்
Intel Agilex F-Series Transceiver-SoC டெவலப்மெண்ட் கிட் பயனர் கையேடு
1.4 வடிவமைப்பை உருவாக்குதல்

படம் 6. நடைமுறைintel Interlaken 2வது தலைமுறை Agilex FPGA IP வடிவமைப்பு Example - படம் 6

வன்பொருளை உருவாக்க இந்த படிகளைப் பின்பற்றவும்ampவடிவமைப்பு மற்றும் சோதனை பெஞ்ச்:

  1. Intel Quartus Prime Pro பதிப்பு மென்பொருளில், கிளிக் செய்யவும் File ➤ புதிய Intel Quartus Prime திட்டத்தை உருவாக்க புதிய திட்ட வழிகாட்டி அல்லது கிளிக் செய்யவும் File ➤ ஏற்கனவே உள்ள இன்டெல் குவார்டஸ் பிரைம் திட்டத்தை திறக்க ப்ராஜெக்ட்டைத் திறக்கவும். ஒரு சாதனத்தைக் குறிப்பிட வழிகாட்டி உங்களைத் தூண்டுகிறது.
  2. சாதன குடும்பம் Agilex ஐக் குறிப்பிடவும் மற்றும் உங்கள் வடிவமைப்பிற்கான சாதனத்தைத் தேர்ந்தெடுக்கவும்.
  3. IP அட்டவணையில், Interlaken (2வது தலைமுறை) Intel FPGA IPஐக் கண்டுபிடித்து இருமுறை கிளிக் செய்யவும். புதிய ஐபி மாறுபாடு சாளரம் தோன்றும்.
  4. உயர்மட்ட பெயரைக் குறிப்பிடவும் உங்கள் தனிப்பயன் IP மாறுபாட்டிற்கு. அளவுரு எடிட்டர் ஐபி மாறுபாடு அமைப்புகளை a இல் சேமிக்கிறது file பெயரிடப்பட்டது .ip.
  5. சரி என்பதைக் கிளிக் செய்யவும். அளவுரு எடிட்டர் தோன்றும்.
    படம் 7. Exampஇன்டர்லேக்கனில் உள்ள டிசைன் டேப் (2வது தலைமுறை) இன்டெல் எஃப்பிஜிஏ ஐபி அளவுரு எடிட்டரில்intel Interlaken 2வது தலைமுறை Agilex FPGA IP வடிவமைப்பு Example - படம் 7
  6. IP தாவலில், உங்கள் IP மைய மாறுபாட்டிற்கான அளவுருக்களைக் குறிப்பிடவும்.
  7. PMA தழுவல் தாவலில், உங்கள் E-டைல் சாதன மாறுபாடுகளுக்கு PMA தழுவலைப் பயன்படுத்த திட்டமிட்டால், PMA தழுவல் அளவுருக்களைக் குறிப்பிடவும்.
    இந்த படி விருப்பமானது:

    • தழுவல் சுமை மென்மையான ஐபி விருப்பத்தை இயக்கு என்பதைத் தேர்ந்தெடுக்கவும்.
    குறிப்பு: PMA தழுவல் இயக்கப்படும் போது, ​​IP தாவலில் நேட்டிவ் PHY பிழைத்திருத்த மாஸ்டர் எண்ட்பாயிண்ட் (NPDME) விருப்பத்தை நீங்கள் இயக்க வேண்டும்.
    • PMA தழுவலுக்கு PMA தழுவல் முன்னமைவைத் தேர்ந்தெடுக்கவும் அளவுருவைத் தேர்ந்தெடுக்கவும்.
    • ஆரம்ப மற்றும் தொடர்ச்சியான தழுவல் அளவுருக்களை ஏற்றுவதற்கு PMA அடாப்டேஷன் ப்ரீலோடை கிளிக் செய்யவும்.
    • PMA உள்ளமைவு அளவுருவின் எண்ணிக்கையைப் பயன்படுத்தி பல PMA உள்ளமைவுகள் இயக்கப்படும்போது ஆதரிக்கும் PMA உள்ளமைவுகளின் எண்ணிக்கையைக் குறிப்பிடவும்.
    • எந்த PMA உள்ளமைவை ஏற்ற வேண்டும் அல்லது சேமிக்க வேண்டும் என்பதைத் தேர்ந்தெடுக்கவும், ஏற்ற அல்லது சேமிக்க PMA உள்ளமைவைத் தேர்ந்தெடுக்கவும்.
    • தேர்ந்தெடுக்கப்பட்ட பிஎம்ஏ உள்ளமைவு அமைப்புகளை ஏற்ற, தேர்ந்தெடுக்கப்பட்ட பிஎம்ஏ உள்ளமைவிலிருந்து ஏற்ற தழுவலைக் கிளிக் செய்யவும்.
    PMA தழுவல் அளவுருக்கள் பற்றிய கூடுதல் தகவலுக்கு, E-tile Transceiver PHY பயனர் கையேட்டைப் பார்க்கவும்.
  8. முன்னாள் மீதுample டிசைன் டேப், டெஸ்ட்பெஞ்சை உருவாக்க உருவகப்படுத்துதல் விருப்பத்தைத் தேர்ந்தெடுத்து, வன்பொருளை உருவாக்குவதற்கு தொகுப்பு விருப்பத்தைத் தேர்ந்தெடுக்கவும்.ample வடிவமைப்பு.
    குறிப்பு: Ex ஐ உருவாக்கும் சிமுலேஷன் அல்லது சின்தஸிஸ் விருப்பங்களில் ஒன்றையாவது நீங்கள் தேர்ந்தெடுக்க வேண்டும்ample வடிவமைப்பு Files.
  9. உருவாக்கப்பட்ட HDL வடிவமைப்பிற்கு, வெரிலாக் மட்டுமே கிடைக்கிறது.
  10. Target Development Kitக்கு பொருத்தமான விருப்பத்தைத் தேர்ந்தெடுக்கவும்.
    குறிப்பு: Intel Agilex F-Series Transceiver SoC டெவலப்மெண்ட் கிட் விருப்பம் AGFA012 அல்லது AGFA014 இல் தொடங்கும் Intel Agilex சாதனத்தின் பெயரை உங்கள் திட்டம் குறிப்பிடும் போது மட்டுமே கிடைக்கும். நீங்கள் டெவலப்மெண்ட் கிட் விருப்பத்தைத் தேர்ந்தெடுக்கும்போது, ​​இன்டெல் அஜிலெக்ஸ் டெவலப்மென்ட் கிட் சாதனத்தின் பகுதி எண் AGFB014R24A2E2V இன் படி பின் பணிகள் அமைக்கப்படும், மேலும் நீங்கள் தேர்ந்தெடுத்த சாதனத்திலிருந்து வேறுபடலாம். நீங்கள் வேறு PCB இல் வன்பொருளில் வடிவமைப்பைச் சோதிக்க விரும்பினால், டெவலப்மென்ட் கிட் இல்லை விருப்பத்தைத் தேர்ந்தெடுத்து .qsf இல் பொருத்தமான பின் பணிகளைச் செய்யவும் file.
  11. Ex ஐ உருவாக்கு என்பதைக் கிளிக் செய்யவும்ample வடிவமைப்பு. தேர்ந்தெடு முன்னாள்ample வடிவமைப்பு அடைவு சாளரம் தோன்றும்.
  12. நீங்கள் வடிவமைப்பை மாற்ற விரும்பினால், முன்னாள்ample அடைவு பாதை அல்லது இயல்புநிலையிலிருந்து பெயர் காட்டப்படும் (uflex_ilk_0_example_design), புதிய பாதையில் உலாவவும் மற்றும் புதிய வடிவமைப்பை டைப் செய்யவும்ample அடைவு பெயர்.
  13. சரி என்பதைக் கிளிக் செய்யவும்.

தொடர்புடைய தகவல்

1.5 வடிவமைப்பை உருவகப்படுத்துதல் Example டெஸ்ட்பெஞ்ச்
Interlaken (2வது தலைமுறை) வன்பொருள் வடிவமைப்பு Ex ஐப் பார்க்கவும்ampஇ-டைல் NRZ பயன்முறை மாறுபாடுகள் மற்றும் இன்டர்லேக்கன் (2வது தலைமுறை) ஹார்டுவேர் டிசைன் Ex.ampஈ-டைல் PAM4 பயன்முறை மாறுபாடுகளுக்கான உயர் நிலை பிளாக் உருவகப்படுத்துதல் சோதனை பெஞ்சின் பிளாக் வரைபடங்கள்.

படம் 8. நடைமுறைintel Interlaken 2வது தலைமுறை Agilex FPGA IP வடிவமைப்பு Example - படம் 8

சோதனை பெஞ்சை உருவகப்படுத்த இந்த படிகளைப் பின்பற்றவும்:

  1. கட்டளை வரியில், testbench உருவகப்படுத்துதல் கோப்பகத்திற்கு மாற்றவும். அடைவு உள்ளதுample_installation_dir>/எக்ஸ்ampIntel Agilex சாதனங்களுக்கான le_design/ testbench.
  2. உங்கள் விருப்பப்படி ஆதரிக்கப்படும் சிமுலேட்டருக்கான சிமுலேஷன் ஸ்கிரிப்டை இயக்கவும். ஸ்கிரிப்ட் தொகுத்து, சிமுலேட்டரில் டெஸ்ட்பெஞ்சை இயக்குகிறது. உருவகப்படுத்துதல் முடிந்ததும் SOP மற்றும் EOP எண்ணிக்கைகள் பொருந்துமா என்பதை உங்கள் ஸ்கிரிப்ட் சரிபார்க்க வேண்டும். சிமுலேஷனை இயக்குவதற்கான படிகள் அட்டவணையைப் பார்க்கவும்.
    அட்டவணை 4. உருவகப்படுத்துதலை இயக்குவதற்கான படிகள்
    சிமுலேட்டர் வழிமுறைகள்
    ModelSim SE அல்லது QuestaSim கட்டளை வரியில், -do vlog_pro.do என தட்டச்சு செய்யவும். ModelSim GUI ஐக் கொண்டு வராமல் உருவகப்படுத்த விரும்பினால், vsim -c -do vlog_pro.do என தட்டச்சு செய்யவும்.
    வி.சி.எஸ் கட்டளை வரியில், sh vcstest.sh என தட்டச்சு செய்யவும்
    Xcelium கட்டளை வரியில், sh xcelium.sh என தட்டச்சு செய்யவும்
  3. முடிவுகளை பகுப்பாய்வு செய்யுங்கள். வெற்றிகரமான உருவகப்படுத்துதல் பாக்கெட்டுகளை அனுப்புகிறது மற்றும் பெறுகிறது, மேலும் "சோதனை நிறைவேற்றப்பட்டது" என்பதைக் காட்டுகிறது.

வடிவமைப்பிற்கான டெஸ்ட்பெஞ்ச் முன்னாள்ample பின்வரும் பணிகளை முடிக்கிறது:

  • இன்டர்லேக்கன் (2வது தலைமுறை) இன்டெல் எஃப்பிஜிஏ ஐபியை துரிதப்படுத்துகிறது.
  • PHY நிலையை அச்சிடுகிறது.
  • மெட்டாஃப்ரேம் ஒத்திசைவு (SYNC_LOCK) மற்றும் சொல் (தொகுதி) எல்லைகளை (WORD_LOCK) சரிபார்க்கிறது.
  • தனிப்பட்ட பாதைகள் பூட்டப்பட்டு சீரமைக்கப்படும் வரை காத்திருக்கிறது.
  • பாக்கெட்டுகளை அனுப்பத் தொடங்குகிறது.
  • பாக்கெட் புள்ளிவிவரங்களை சரிபார்க்கிறது:
    - CRC24 பிழைகள்
    - SOPகள்
    - EOPs

பின்வரும் எஸ்ampஇன்டர்லேகன் பயன்முறையில் வெற்றிகரமான உருவகப்படுத்துதல் சோதனை ஓட்டத்தை le வெளியீடு விளக்குகிறது:
*******************************************
தகவல்: பாதைகள் சீரமைக்கப்படும் வரை காத்திருக்கிறது.
அனைத்து ரிசீவர் பாதைகளும் சீரமைக்கப்பட்டு போக்குவரத்தைப் பெற தயாராக உள்ளன.
**************************************************** *
**************************************************** *
தகவல்: பாக்கெட்டுகளை அனுப்பத் தொடங்குங்கள்
**************************************************** *
**************************************************** *
தகவல்: பாக்கெட்டுகளை அனுப்புவதை நிறுத்துங்கள்
**************************************************** *
**************************************************** *
தகவல்: பாக்கெட்டுகளின் புள்ளிவிவரங்களைச் சரிபார்க்கிறது
**************************************************** *
CRC 24 பிழைகள் புகாரளிக்கப்பட்டன: 0
SOPகள் அனுப்பப்பட்டது: 100
ஈஓபிகள் பரவுகின்றன: 100
பெறப்பட்ட SOPகள்: 100
EOPs பெறப்பட்டது: 100
ECC பிழை எண்ணிக்கை: 0
**************************************************** *
தகவல்: தேர்வில் தேர்ச்சி
**************************************************** *
குறிப்பு: இன்டர்லேக்கன் வடிவமைப்பு முன்னாள்ample சிமுலேஷன் டெஸ்ட்பெஞ்ச் 100 பாக்கெட்டுகளை அனுப்புகிறது மற்றும் 100 பாக்கெட்டுகளைப் பெறுகிறது.
பின்வரும் எஸ்ampஇன்டர்லேகன் லுக்-அசைட் பயன்முறையில் வெற்றிகரமான உருவகப்படுத்துதல் சோதனை ஓட்டத்தை le வெளியீடு விளக்குகிறது:
TX மற்றும் RX கவுண்டர் சமமாக இருக்கிறதா இல்லையா என்பதைச் சரிபார்க்கவும்.
——————————————————-
READ_MM: முகவரி 4000014 = 00000001.
——————————————————-
டி-அசெர்ட் கவுண்டர் சம பிட்.
——————————————————-
WRITE_MM: முகவரி 4000001 00000001 பெறுகிறது.
WRITE_MM: முகவரி 4000001 00000000 பெறுகிறது.
——————————————————-
RX_SOP கவுண்டர்.
——————————————————-
READ_MM: முகவரி 400000c = 0000006a.
——————————————————-
RX_EOP கவுண்டர்.
READ_MM: முகவரி 400000d = 0000006a.
——————————————————-
READ_MM: முகவரி 4000010 = 00000000.
——————————————————-
இறுதி அறிக்கையைக் காட்டு.
——————————————————-
0 பிழை கண்டறியப்பட்டது
0 CRC24 பிழைகள் பதிவாகியுள்ளன
106 SOPகள் அனுப்பப்பட்டன
106 EOPகள் அனுப்பப்பட்டன
106 SOPகள் பெறப்பட்டன
106 EOPகள் பெறப்பட்டன
——————————————————-
பினிஷ் சிமுலேஷன்
——————————————————-
தேர்வில் தேர்ச்சி
——————————————————-
குறிப்பு: இன்டர்லேக்கன் லுக்சைட் வடிவமைப்பில் ஒரு பாதைக்கு பாக்கெட்டுகளின் எண்ணிக்கை (SOPகள் மற்றும் EOPகள்) மாறுபடும்.ample உருவகப்படுத்துதல் sample வெளியீடு.
தொடர்புடைய தகவல்
வன்பொருள் வடிவமைப்பு Examp6 பக்கம் உள்ள கூறுகள்
1.6 வடிவமைப்பை தொகுத்தல் மற்றும் கட்டமைத்தல் Exampஹார்டுவேரில் le

படம் 9. நடைமுறைintel Interlaken 2வது தலைமுறை Agilex FPGA IP வடிவமைப்பு Example - படம் 9

வன்பொருளில் ஒரு ஆர்ப்பாட்ட சோதனையை தொகுக்கவும் இயக்கவும் முன்னாள்ampவடிவமைப்பு, இந்த படிகளைப் பின்பற்றவும்:

  1. வன்பொருள் முன்னாள் உறுதிample வடிவமைப்பு உருவாக்கம் முடிந்தது.
  2. Intel Quartus Prime Pro பதிப்பு மென்பொருளில், Intel Quartus Prime திட்டத்தைத் திறக்கவும்ample_installation_dir>/எக்ஸ்ample_design/quartus/ example_design.qpf>.
  3. செயலாக்க மெனுவில், தொகுப்பைத் தொடங்கு என்பதைக் கிளிக் செய்யவும்.
  4. வெற்றிகரமான தொகுப்புக்குப் பிறகு, a .sof file உங்கள் குறிப்பிட்ட கோப்பகத்தில் கிடைக்கும்.
    வன்பொருள் முன்னாள் நிரல் செய்ய இந்தப் படிகளைப் பின்பற்றவும்ampIntel Agilex சாதனத்தில் le வடிவமைப்பு:
  5. Intel Agilex F-Series Transceiver-SoC டெவலப்மெண்ட் கிட்டை ஹோஸ்ட் கணினியுடன் இணைக்கவும்.
    பி. டெவலப்மென்ட் கிட்டின் ஒரு பகுதியாக இருக்கும் கடிகாரக் கட்டுப்பாடு பயன்பாட்டைத் துவக்கி, வடிவமைப்பிற்கான புதிய அதிர்வெண்களை அமைக்கவும்ampலெ. கடிகார கட்டுப்பாட்டு பயன்பாட்டில் உள்ள அதிர்வெண் அமைப்பு கீழே உள்ளது:
    • Si5338 (U37), CLK1- 100 MHz
    • Si5338 (U36), CLK2- 153.6 MHz
    • Si549 (Y2), OUT- உங்கள் வடிவமைப்புத் தேவைக்கேற்ப pll_ref_clk (1) மதிப்பிற்கு அமைக்கவும்.
    c. கருவிகள் மெனுவில், புரோகிராமர் என்பதைக் கிளிக் செய்யவும்.
    ஈ. புரோகிராமரில், வன்பொருள் அமைவு என்பதைக் கிளிக் செய்யவும்.
    இ. நிரலாக்க சாதனத்தைத் தேர்ந்தெடுக்கவும்.
    f. உங்கள் Intel Quartus Prime அமர்வு இணைக்கக்கூடிய Intel Agilex F-Series Transceiver-SoC டெவலப்மெண்ட் கிட்டைத் தேர்ந்தெடுத்து சேர்க்கவும்.
    g. பயன்முறை J க்கு அமைக்கப்பட்டிருப்பதை உறுதிசெய்யவும்TAG.
    ம. Intel Agilex சாதனத்தைத் தேர்ந்தெடுத்து, சாதனத்தைச் சேர் என்பதைக் கிளிக் செய்யவும். புரோகிராமர் உங்கள் போர்டில் உள்ள சாதனங்களுக்கிடையேயான இணைப்புகளின் தொகுதி வரைபடத்தைக் காண்பிக்கும்.
    நான். உங்கள் .sof உள்ள வரிசையில், .sofக்கான பெட்டியைத் தேர்வு செய்யவும்.
    ஜே. நிரல்/கட்டமைவு நெடுவரிசையில் உள்ள பெட்டியை சரிபார்க்கவும்.
    கே. தொடங்கு என்பதைக் கிளிக் செய்யவும்.

தொடர்புடைய தகவல்

1.7 ஹார்டுவேர் டிசைனை சோதித்தல் Example
நீங்கள் Interlaken (2வது தலைமுறை) Intel FPGA ஐபி கோர் வடிவமைப்பை தொகுத்த பிறகு, முன்னாள்ample மற்றும் உங்கள் சாதனத்தை உள்ளமைக்கவும், நீங்கள் IP கோர் மற்றும் அதன் உட்பொதிக்கப்பட்ட நேட்டிவ் PHY IP கோர் பதிவேடுகளை நிரல் செய்ய சிஸ்டம் கன்சோலைப் பயன்படுத்தலாம்.
சிஸ்டம் கன்சோலைக் கொண்டு வர இந்தப் படிகளைப் பின்பற்றவும் மற்றும் வன்பொருள் வடிவமைப்பை சோதிக்கவும்ampலெ:

  1. Intel Quartus Prime Pro Edition மென்பொருளில், Tools மெனுவில், System Debugging Tools ➤ System Console என்பதைக் கிளிக் செய்யவும்.
  2. என்பதற்கு மாற்றவும்ample_installation_dir>எ.காample_design/ hwtest கோப்பகம்.
  3. ஜே உடன் இணைப்பைத் திறக்கTAG முதன்மை, பின்வரும் கட்டளையை தட்டச்சு செய்யவும்: source sysconsole_testbench.tcl
  4. பின்வரும் வடிவமைப்பின் மூலம் உள் சீரியல் லூப்பேக் பயன்முறையை நீங்கள் இயக்கலாம்ample கட்டளைகள்:
    அ. புள்ளிவிவரம்: பொதுவான நிலைத் தகவலை அச்சிடுகிறது.
    பி. sys_reset: கணினியை மீட்டமைக்கிறது.
    c. loop_on: உள் தொடர் லூப்பேக்கை இயக்குகிறது.
    ஈ. ரன்_எக்ஸ்ample_design: முன்னாள் வடிவமைப்பை இயக்குகிறதுampலெ.
    குறிப்பு: run_exக்கு முன் loop_on கட்டளையை இயக்க வேண்டும்ample_design கட்டளை.
    ரன்_எக்ஸ்ample_design பின்வரும் கட்டளைகளை ஒரு வரிசையில் இயக்குகிறது:
    sys_reset->stat->gen_on->stat->gen_off.
    குறிப்பு: அடாப்டேஷன் லோட் சாஃப்ட் ஐபி விருப்பத்தை இயக்கு என்பதைத் தேர்ந்தெடுக்கும்போது, ​​run_examprun_load_PMA_configuration கட்டளையை இயக்குவதன் மூலம் le_design கட்டளை RX பக்கத்தில் ஆரம்ப தழுவல் அளவுத்திருத்தத்தை செய்கிறது.
  5. பின்வரும் வடிவமைப்பின் மூலம் உள் சீரியல் லூப்பேக் பயன்முறையை நீங்கள் முடக்கலாம்ample கட்டளை:
    அ. loop_off: உள் தொடர் லூப்பேக்கை முடக்குகிறது.
  6. பின்வரும் கூடுதல் வடிவமைப்புடன் நீங்கள் IP மையத்தை நிரல் செய்யலாம்ample கட்டளைகள்:
    அ. gen_on: பாக்கெட் ஜெனரேட்டரை இயக்குகிறது.
    பி. gen_off: பாக்கெட் ஜெனரேட்டரை முடக்குகிறது.
    c. run_test_loop: சோதனையை இயக்குகிறது E-tile NRZ மற்றும் PAM4 மாறுபாடுகளுக்கான நேரங்கள்.
    ஈ. clear_err: அனைத்து ஒட்டும் பிழை பிட்களையும் அழிக்கிறது.
    இ. set_test_mode : ஒரு குறிப்பிட்ட பயன்முறையில் இயக்க சோதனையை அமைக்கிறது.
    f. get_test_mode: தற்போதைய சோதனை பயன்முறையை அச்சிடுகிறது.
    g. செட்_பர்ஸ்ட்_அளவு : பர்ஸ்ட் அளவை பைட்டுகளில் அமைக்கிறது.
    ம. get_burst_size: பர்ஸ்ட் அளவு தகவலை அச்சிடுகிறது.

வெற்றிகரமான சோதனை அச்சிடுகிறது HW_TEST:PASS செய்தி. சோதனை ஓட்டத்திற்கான தேர்ச்சி அளவுகோல்கள் கீழே:

  • CRC32, CRC24 மற்றும் சரிபார்ப்பிற்கான பிழைகள் இல்லை.
  • அனுப்பப்பட்ட SOPகள் மற்றும் EOPகள் பெறப்பட்டவற்றுடன் பொருந்த வேண்டும்.

பின்வரும் எஸ்ample வெளியீடு Interlaken முறையில் ஒரு வெற்றிகரமான சோதனை ஓட்டத்தை விளக்குகிறது:
தகவல்: தகவல்: தொகுப்புகளை உருவாக்குவதை நிறுத்துங்கள்
==== நிலை அறிக்கை ====
TX KHz : 402813
RX KHz : 402813
அதிர்வெண் பூட்டுகள்: 0x0000ff
TX PLL பூட்டு : 0x000001
சீரமை: 0x00c10f
Rx LOA : 0x000000
Tx LOA : 0x000000
வார்த்தை பூட்டு: 0x0000ff
ஒத்திசைவு பூட்டு: 0x0000ff
CRC32 பிழைகள் : 0
CRC24 பிழைகள் : 0
சரிபார்ப்பு பிழைகள்: 0
FIFO பிழைக் கொடிகள் : 0x000000
SOPs அனுப்பப்பட்டது : 1087913770
EOPs அனுப்பப்பட்டது : 1087913770
பெறப்பட்ட SOPகள் : 1087913770
EOPs பெறப்பட்டது : 1087913770
ECC சரி செய்யப்பட்டது: 0
ECC பிழை: 0
பவர்அப்பில் இருந்து 161 வினாடிகள் கடந்துவிட்டன
HW_TEST : பாஸ்
வெற்றிகரமான சோதனை அச்சிடுகிறது HW_TEST : PASS செய்தி. சோதனை ஓட்டத்திற்கான தேர்ச்சி அளவுகோல்கள் கீழே:

  • CRC32, CRC24 மற்றும் சரிபார்ப்பிற்கான பிழைகள் இல்லை.
  • அனுப்பப்பட்ட SOPகள் மற்றும் EOPகள் பெறப்பட்டவற்றுடன் பொருந்த வேண்டும்.

பின்வரும் எஸ்ample வெளியீடு Interlaken Lookaside பயன்முறையில் ஒரு வெற்றிகரமான சோதனை ஓட்டத்தை விளக்குகிறது:
தகவல்: தகவல்: தொகுப்புகளை உருவாக்குவதை நிறுத்துங்கள்
==== நிலை அறிக்கை ====
TX KHz : 402813
RX KHz : 402812
அதிர்வெண் பூட்டுகள்: 0x000fff
TX PLL பூட்டு : 0x000001
சீரமை: 0x00c10f
Rx LOA : 0x000000
Tx LOA : 0x000000
வார்த்தை பூட்டு: 0x000fff
ஒத்திசைவு பூட்டு: 0x000fff
CRC32 பிழைகள் : 0
CRC24 பிழைகள் : 0
சரிபார்ப்பு பிழைகள்: 0
SOPs அனுப்பப்பட்டது : 461
EOPs அனுப்பப்பட்டது : 461
பெறப்பட்ட SOPகள் : 461
EOPs பெறப்பட்டது : 461
பவர்அப்பில் இருந்து 171 வினாடிகள் கடந்துவிட்டன
HW_TEST : பாஸ்

வடிவமைப்பு முன்னாள்ample விளக்கம்

வடிவமைப்பு முன்னாள்ample இன்டர்லேக்கன் ஐபி மையத்தின் செயல்பாடுகளை விளக்குகிறது.
தொடர்புடைய தகவல்
Interlaken (2வது தலைமுறை) FPGA IP பயனர் வழிகாட்டி
2.1. வடிவமைப்பு Example நடத்தை
வன்பொருளில் வடிவமைப்பைச் சோதிக்க, கணினி கன்சோலில் பின்வரும் கட்டளைகளைத் தட்டச்சு செய்யவும்::

  1. அமைப்பை ஆதாரம் file:
    % ஆதாரம்ample>uflex_ilk_0_example_design/example_design/hwtest/sysconsole_testbench.tcl
  2. சோதனையை இயக்கவும்:
    % run_example_design
  3. இன்டர்லேக்கன் (2வது தலைமுறை) வன்பொருள் வடிவமைப்பு முன்னாள்ample பின்வரும் படிகளை நிறைவு செய்கிறது:
    அ. இன்டர்லேக்கன் (2வது தலைமுறை) ஐபியை மீட்டமைக்கிறது.
    பி. இன்டர்லேக்கன் (2வது தலைமுறை) ஐபியை இன்டர்னல் லூப்பேக் பயன்முறையில் கட்டமைக்கிறது.
    c. IP மையத்தின் TX பயனர் தரவு பரிமாற்ற இடைமுகத்திற்கு பேலோடில் உள்ள முன் வரையறுக்கப்பட்ட தரவுகளுடன் இன்டர்லேக்கன் பாக்கெட்டுகளின் ஸ்ட்ரீமை அனுப்புகிறது.
    ஈ. பெறப்பட்ட பாக்கெட்டுகளை சரிபார்த்து, நிலையைப் புகாரளிக்கும். வன்பொருள் வடிவமைப்பில் பாக்கெட் செக்கர் சேர்க்கப்பட்டுள்ளதுample பின்வரும் அடிப்படை பாக்கெட் சோதனை திறன்களை வழங்குகிறது:
    • கடத்தப்பட்ட பாக்கெட் வரிசை சரியாக உள்ளதா என சரிபார்க்கிறது.
    • தரவு அனுப்பப்படும் மற்றும் பெறப்படும் போது, ​​பாக்கெட்டின் தொடக்கம் (SOP) மற்றும் பாக்கெட்டின் முடிவு (EOP) எண்ணிக்கைகள் இரண்டும் சீரமைக்கப்படுவதை உறுதி செய்வதன் மூலம் பெறப்பட்ட தரவு எதிர்பார்க்கப்படும் மதிப்புகளுடன் பொருந்துகிறதா என்பதைச் சரிபார்க்கிறது.

2.2 இடைமுக சமிக்ஞைகள்
அட்டவணை 5. வடிவமைப்பு Example இடைமுக சமிக்ஞைகள்

துறைமுக பெயர் திசை அகலம் (பிட்கள்) விளக்கம்
mgmt_clk உள்ளீடு 1 கணினி கடிகார உள்ளீடு. கடிகார அதிர்வெண் 100 மெகா ஹெர்ட்ஸ் இருக்க வேண்டும்.
pll_ref_clk /pll_ref_clk[1:0] (2) உள்ளீடு 2-ஜன டிரான்ஸ்ஸீவர் குறிப்பு கடிகாரம். RX CDR PLL ஐ இயக்குகிறது.
துறைமுக பெயர் திசை அகலம் (பிட்கள்) விளக்கம்
pll_ref_clk[1] நீங்கள் இயக்கினால் மட்டுமே கிடைக்கும் பயன்படுத்தாமல் பாதுகாக்கவும்
குறிப்பு: PAM4 க்கான டிரான்ஸ்ஸீவர் சேனல்கள் E-tile PAM4 பயன்முறையில் உள்ள அளவுரு IP மாறுபாடுகள்.
rx_pin உள்ளீடு பாதைகளின் எண்ணிக்கை ரிசீவர் SERDES தரவு பின்.
tx_pin வெளியீடு பாதைகளின் எண்ணிக்கை SERDES தரவு பின்னை அனுப்பவும்.
rx_pin_n உள்ளீடு பாதைகளின் எண்ணிக்கை ரிசீவர் SERDES தரவு பின்.
இந்த சமிக்ஞை E-tile PAM4 பயன்முறை சாதன மாறுபாடுகளில் மட்டுமே கிடைக்கும்.
tx_pin_n வெளியீடு பாதைகளின் எண்ணிக்கை SERDES தரவு பின்னை அனுப்பவும்.
இந்த சமிக்ஞை E-tile PAM4 பயன்முறை சாதன மாறுபாடுகளில் மட்டுமே கிடைக்கும்.
mac_clk_pll_ref உள்ளீடு 1 இந்த சிக்னல் ஒரு PLL ஆல் இயக்கப்பட வேண்டும் மேலும் pll_ref_clk ஐ இயக்கும் அதே கடிகார மூலத்தைப் பயன்படுத்த வேண்டும்.
இந்த சமிக்ஞை E-tile PAM4 பயன்முறை சாதன மாறுபாடுகளில் மட்டுமே கிடைக்கும்.
usr_pb_reset_n உள்ளீடு 1 கணினி மீட்டமைப்பு.

தொடர்புடைய தகவல்
இடைமுக சமிக்ஞைகள்
2.3 பதிவு வரைபடம்

குறிப்பு:

  • வடிவமைப்பு முன்னாள்ample பதிவு முகவரி 0x20** என்று தொடங்கும் போது Interlaken IP கோர் பதிவு முகவரி 0x10** இல் தொடங்குகிறது.
  • அணுகல் குறியீடு: RO—படிக்க மட்டும், மற்றும் RW—படிக்க/எழுது.
  • சிஸ்டம் கன்சோல் வடிவமைப்பை முன்னாள் படிக்கிறதுample பதிவுசெய்து, திரையில் சோதனை நிலையைப் புகாரளிக்கிறது.

அட்டவணை 6. வடிவமைப்பு ExampLe Register Map for Interlaken Design Example

ஆஃப்செட் பெயர் அணுகல் விளக்கம்
8'h00 ஒதுக்கப்பட்டது
8'h01 ஒதுக்கப்பட்டது
8'h02 கணினி PLL மீட்டமைப்பு RO பின்வரும் பிட்கள் கணினி PLL மீட்டமைப்பு கோரிக்கை மற்றும் மதிப்பை செயல்படுத்துவதைக் குறிக்கிறது:
• பிட் [0] – sys_pll_rst_req
• பிட் [1] – sys_pll_rst_en
8'h03 RX லேன் சீரமைக்கப்பட்டது RO RX லேன் சீரமைப்பைக் குறிக்கிறது.
8'h04 வார்த்தை பூட்டப்பட்டது RO [NUM_LANES–1:0] – வார்த்தை (தொகுதி) எல்லைகள் அடையாளம்.

(2) PAM4 அளவுருவுக்காகப் பயன்படுத்தப்படாத டிரான்ஸ்ஸீவர் சேனல்களைப் பாதுகாத்தலை இயக்கும் போது, ​​பயன்படுத்தப்படாத PAM4 ஸ்லேவ் சேனலைப் பாதுகாக்க கூடுதல் குறிப்பு கடிகார போர்ட் சேர்க்கப்படும்.

ஆஃப்செட் பெயர் அணுகல் விளக்கம்
8'h05 ஒத்திசைவு பூட்டப்பட்டது RO [NUM_LANES–1:0] – Metaframe ஒத்திசைவு.
8'h06 – 8'h09 CRC32 பிழை எண்ணிக்கை RO CRC32 பிழை எண்ணிக்கையைக் குறிக்கிறது.
8'h0A CRC24 பிழை எண்ணிக்கை RO CRC24 பிழை எண்ணிக்கையைக் குறிக்கிறது.
8'h0B வழிதல்/அண்டர்ஃப்ளோ சிக்னல் RO பின்வரும் பிட்கள் குறிப்பிடுகின்றன:
• பிட் [3] – TX அண்டர்ஃப்ளோ சிக்னல்
• பிட் [2] – TX ஓவர்ஃப்ளோ சிக்னல்
• பிட் [1] – RX ஓவர்ஃப்ளோ சிக்னல்
8'h0C SOP எண்ணிக்கை RO SOP இன் எண்ணிக்கையைக் குறிக்கிறது.
8'h0D EOP எண்ணிக்கை RO EOP இன் எண்ணிக்கையைக் குறிக்கிறது
8'h0E பிழை எண்ணிக்கை RO பின்வரும் பிழைகளின் எண்ணிக்கையைக் குறிக்கிறது:
• பாதை சீரமைப்பு இழப்பு
• சட்டவிரோத கட்டுப்பாட்டு வார்த்தை
• சட்டத்திற்குப் புறம்பான ஃப்ரேமிங் முறை
• SOP அல்லது EOP காட்டி விடுபட்டுள்ளது
8'h0F send_data_mm_clk RW ஜெனரேட்டர் சிக்னலை இயக்க 1 முதல் பிட் [0] வரை எழுதவும்.
8'h10 சரிபார்ப்பு பிழை சரிபார்ப்பு பிழையைக் குறிக்கிறது. (SOP தரவு பிழை, சேனல் எண் பிழை மற்றும் PLD தரவு பிழை)
8'h11 கணினி PLL பூட்டு RO பிட் [0] என்பது PLL பூட்டு குறிப்பை குறிக்கிறது.
8'h14 TX SOP எண்ணிக்கை RO பாக்கெட் ஜெனரேட்டரால் உருவாக்கப்பட்ட SOP இன் எண்ணிக்கையைக் குறிக்கிறது.
8'h15 TX EOP எண்ணிக்கை RO பாக்கெட் ஜெனரேட்டரால் உருவாக்கப்பட்ட EOP இன் எண்ணிக்கையைக் குறிக்கிறது.
8'h16 தொடர்ச்சியான பாக்கெட் RW தொடர்ச்சியான பாக்கெட்டை இயக்க 1 முதல் பிட் [0] வரை எழுதவும்.
8'h39 ECC பிழை எண்ணிக்கை RO ECC பிழைகளின் எண்ணிக்கையைக் குறிக்கிறது.
8'h40 ECC பிழை எண்ணிக்கை சரி செய்யப்பட்டது RO திருத்தப்பட்ட ECC பிழைகளின் எண்ணிக்கையைக் குறிக்கிறது.

அட்டவணை 7. வடிவமைப்பு ExampLe Register Map for Interlaken Look-side Design Example
நீங்கள் வடிவமைப்பை உருவாக்கும் போது இந்த பதிவு வரைபடத்தைப் பயன்படுத்தவும்ample உடன் இண்டர்லேக்கன் லுக்-அசைட் பயன்முறை அளவுருவை இயக்கு இயக்கப்பட்டது.

ஆஃப்செட் பெயர் அணுகல் விளக்கம்
8'h00 ஒதுக்கப்பட்டது
8'h01 எதிர் மீட்டமைப்பு RO TX மற்றும் RX கவுண்டர் சமமான பிட்டை அழிக்க 1 முதல் பிட் [0] வரை எழுதவும்.
8'h02 கணினி PLL மீட்டமைப்பு RO பின்வரும் பிட்கள் கணினி PLL மீட்டமைப்பு கோரிக்கை மற்றும் மதிப்பை செயல்படுத்துவதைக் குறிக்கிறது:
• பிட் [0] – sys_pll_rst_req
• பிட் [1] – sys_pll_rst_en
8'h03 RX லேன் சீரமைக்கப்பட்டது RO RX லேன் சீரமைப்பைக் குறிக்கிறது.
8'h04 வார்த்தை பூட்டப்பட்டது RO [NUM_LANES–1:0] – வார்த்தை (தொகுதி) எல்லைகள் அடையாளம்.
8'h05 ஒத்திசைவு பூட்டப்பட்டது RO [NUM_LANES–1:0] – Metaframe ஒத்திசைவு.
8'h06 – 8'h09 CRC32 பிழை எண்ணிக்கை RO CRC32 பிழை எண்ணிக்கையைக் குறிக்கிறது.
8'h0A CRC24 பிழை எண்ணிக்கை RO CRC24 பிழை எண்ணிக்கையைக் குறிக்கிறது.
ஆஃப்செட் பெயர் அணுகல் விளக்கம்
8'h0B ஒதுக்கப்பட்டது
8'h0C SOP எண்ணிக்கை RO SOP இன் எண்ணிக்கையைக் குறிக்கிறது.
8'h0D EOP எண்ணிக்கை RO EOP இன் எண்ணிக்கையைக் குறிக்கிறது
8'h0E பிழை எண்ணிக்கை RO பின்வரும் பிழைகளின் எண்ணிக்கையைக் குறிக்கிறது:
• பாதை சீரமைப்பு இழப்பு
• சட்டவிரோத கட்டுப்பாட்டு வார்த்தை
• சட்டத்திற்குப் புறம்பான ஃப்ரேமிங் முறை
• SOP அல்லது EOP காட்டி விடுபட்டுள்ளது
8'h0F send_data_mm_clk RW ஜெனரேட்டர் சிக்னலை இயக்க 1 முதல் பிட் [0] வரை எழுதவும்.
8'h10 சரிபார்ப்பு பிழை RO சரிபார்ப்பு பிழையைக் குறிக்கிறது. (SOP தரவு பிழை, சேனல் எண் பிழை மற்றும் PLD தரவு பிழை)
8'h11 கணினி PLL பூட்டு RO பிட் [0] என்பது PLL பூட்டு குறிப்பை குறிக்கிறது.
8'h13 தாமத எண்ணிக்கை RO தாமதத்தின் எண்ணிக்கையைக் குறிக்கிறது.
8'h14 TX SOP எண்ணிக்கை RO பாக்கெட் ஜெனரேட்டரால் உருவாக்கப்பட்ட SOP இன் எண்ணிக்கையைக் குறிக்கிறது.
8'h15 TX EOP எண்ணிக்கை RO பாக்கெட் ஜெனரேட்டரால் உருவாக்கப்பட்ட EOP இன் எண்ணிக்கையைக் குறிக்கிறது.
8'h16 தொடர்ச்சியான பாக்கெட் RO தொடர்ச்சியான பாக்கெட்டை இயக்க 1 முதல் பிட் [0] வரை எழுதவும்.
8'h17 TX மற்றும் RX கவுண்டர் சமம் RW TX மற்றும் RX கவுண்டர் சமமாக இருப்பதைக் குறிக்கிறது.
8'h23 தாமதத்தை இயக்கு WO தாமத அளவீட்டை செயல்படுத்த 1 முதல் பிட் [0] வரை எழுதவும்.
8'h24 தாமதம் தயார் RO தாமத அளவீடு தயாராக இருப்பதைக் குறிக்கிறது.

Interlaken (2வது தலைமுறை) Intel Agilex FPGA IP வடிவமைப்பு Example பயனர் வழிகாட்டி காப்பகங்கள்

இந்த பயனர் வழிகாட்டியின் சமீபத்திய மற்றும் முந்தைய பதிப்புகளுக்கு, பார்க்கவும் இன்டர்லேக்கன் (2வது தலைமுறை) Intel Agilex FPGA IP வடிவமைப்பு Example பயனர் வழிகாட்டி HTML பதிப்பு. பதிப்பைத் தேர்ந்தெடுத்து பதிவிறக்கு என்பதைக் கிளிக் செய்யவும். IP அல்லது மென்பொருள் பதிப்பு பட்டியலிடப்படவில்லை என்றால், முந்தைய IP அல்லது மென்பொருள் பதிப்பிற்கான பயனர் வழிகாட்டி பொருந்தும்.
IP பதிப்புகள் v19.1 வரை உள்ள Intel Quartus Prime Design Suite மென்பொருள் பதிப்புகளைப் போலவே இருக்கும். Intel Quartus Prime Design Suite மென்பொருள் பதிப்பு 19.2 அல்லது அதற்குப் பிறகு, IP கோர்கள் புதிய IP பதிப்புத் திட்டத்தைக் கொண்டுள்ளன.

இன்டர்லேக்கன் (2வது தலைமுறை) இன்டெல் அஜிலெக்ஸ் எஃப்பிஜிஏ ஐபி டிசைனுக்கான ஆவண திருத்த வரலாறுample பயனர் வழிகாட்டி

ஆவணப் பதிப்பு இன்டெல் குவார்டஸ் பிரைம் பதிப்பு ஐபி பதிப்பு மாற்றங்கள்
2022.08.03 21.3 20.0.1 Intel Agilex F-Series Transceiver-SoC டெவலப்மெண்ட் கிட்டுக்கான OPN சாதனம் சரி செய்யப்பட்டது.
2021.10.04 21.3 20.0.1 • QuestaSim சிமுலேட்டருக்கான ஆதரவு சேர்க்கப்பட்டது.
• NCSim சிமுலேட்டருக்கான ஆதரவு அகற்றப்பட்டது.
2021.02.24 20.4 20.0.1 • பிரிவில் PAM4 க்கான பயன்படுத்தப்படாத டிரான்ஸ்ஸீவர் சேனலைப் பாதுகாப்பது பற்றிய தகவல் சேர்க்கப்பட்டது: வன்பொருள் வடிவமைப்பு Example கூறுகள்.
• பிரிவில் pll_ref_clk[1] சமிக்ஞை விளக்கம் சேர்க்கப்பட்டது: இடைமுக சமிக்ஞைகள்.
2020.12.14 20.4 20.0.0 • புதுப்பிக்கப்பட்ட எஸ்ampஇன்டர்லேக்கன் பயன்முறைக்கான லெ ஹார்டுவேர் சோதனை வெளியீடு மற்றும் இன்டர்லேக்கன் லுக்-அசைட் பயன்முறையில் ஹார்டுவேர் டிசைன் எக்ஸ் சோதனைampலெ.
• இன்டர்லேக்கன் லுக்-அசைட் டிசைனுக்கான புதுப்பிக்கப்பட்ட பதிவு வரைபடம்ample பிரிவில் பதிவு வரைபடம்.
• ஹார்டுவேர் டிசைன் எக்ஸ் சோதனை பிரிவில் வெற்றிகரமான வன்பொருள் சோதனை ஓட்டத்திற்கான தேர்ச்சி அளவுகோல் சேர்க்கப்பட்டதுampலெ.
2020.10.16 20.2 19.3.0 ஹார்டுவேர் டிசைன் Ex ஐ சோதனை செய்வதில் RX பக்கத்தில் ஆரம்ப தழுவல் அளவுத்திருத்தத்தை இயக்க சரியான கட்டளைample பிரிவு.
2020.06.22 20.2 19.3.0 • வடிவமைப்பு முன்னாள்ample இன்டர்லேக்கன் லுக்-அசைட் பயன்முறையில் கிடைக்கிறது.
• முன்னாள் வடிவமைப்பின் வன்பொருள் சோதனைampஇன்டெல் அஜிலெக்ஸ் சாதன மாறுபாடுகளுக்கு le கிடைக்கிறது.
• சேர்க்கப்பட்ட படம்: இன்டர்லேக்கனுக்கான உயர்-நிலை தொகுதி வரைபடம் (2வது தலைமுறை) வடிவமைப்பு முன்னாள்ampலெ.
• பின்வரும் பிரிவுகள் புதுப்பிக்கப்பட்டன:
- வன்பொருள் மற்றும் மென்பொருள் தேவைகள்
- அடைவு அமைப்பு
• இன்டர்லேக்கன் லுக்-அசைட் தொடர்பான புதுப்பிப்பைச் சேர்க்க பின்வரும் புள்ளிவிவரங்கள் மாற்றப்பட்டுள்ளன:
– படம்: இன்டர்லேக்கன் (2வது தலைமுறை) வன்பொருள் வடிவமைப்பு Example உயர்
E-tile NRZ பயன்முறை மாறுபாடுகளுக்கான லெவல் பிளாக் வரைபடம்
– படம்: இன்டர்லேக்கன் (2வது தலைமுறை) வன்பொருள் வடிவமைப்பு Exampஈ-டைல் PAM4 பயன்முறை மாறுபாடுகளுக்கான உயர் நிலை தொகுதி வரைபடம்
• புதுப்பிக்கப்பட்ட படம்: IP அளவுரு எடிட்டர்.
• கடிகாரக் கட்டுப்பாட்டு பயன்பாட்டில் உள்ள அதிர்வெண் அமைப்புகளைப் பற்றிய தகவல் சேர்க்கப்பட்டது வடிவமைப்பு Ex ஐ தொகுத்தல் மற்றும் கட்டமைத்தல்ampஹார்டுவேரில் le.
ஆவணப் பதிப்பு இன்டெல் குவார்டஸ் பிரைம் பதிப்பு ஐபி பதிப்பு மாற்றங்கள்

• பின்வரும் பிரிவுகளில் இன்டர்லேக்கன் லுக்கிற்கான சோதனை ஓட்ட வெளியீடுகள் சேர்க்கப்பட்டன:
- டிசைனை உருவகப்படுத்துதல் Example டெஸ்ட்பெஞ்ச்
- ஹார்டுவேர் டிசைனை சோதித்தல் Example
• இன்டர்ஃபேஸ் சிக்னல்கள் பிரிவில் பின்வரும் புதிய சிக்னல்கள் சேர்க்கப்பட்டன:
– mgmt_clk
– rx_pin_n
– tx_pin_n
– mac_clk_pll_ref
• இன்டர்லேக்கன் லுக்-அசைட் டிசைனுக்கான பதிவு வரைபடம் சேர்க்கப்பட்டதுampபிரிவில் le: பதிவு வரைபடம்.

2019.09.30 19.3 19.2.1

clk100 அகற்றப்பட்டது. mgmt_clk பின்வருவனவற்றில் IO PLLக்கான குறிப்பு கடிகாரமாக செயல்படுகிறது:
• படம்: இன்டர்லேக்கன் (2வது தலைமுறை) வன்பொருள் வடிவமைப்பு ExampE-டைல் NRZ பயன்முறை மாறுபாடுகளுக்கான உயர் நிலை தொகுதி வரைபடம்.
• படம்: இன்டர்லேக்கன் (2வது தலைமுறை) வன்பொருள் வடிவமைப்பு ExampE-டைல் PAM4 பயன்முறை மாறுபாடுகளுக்கான உயர் நிலை தொகுதி வரைபடம்.

2019.07.01 19.2 19.2 ஆரம்ப வெளியீடு.

இன்டெல் கார்ப்பரேஷன். அனைத்து உரிமைகளும் பாதுகாக்கப்பட்டவை. இன்டெல், இன்டெல் லோகோ மற்றும் பிற இன்டெல் குறிகள் இன்டெல் கார்ப்பரேஷன் அல்லது அதன் துணை நிறுவனங்களின் வர்த்தக முத்திரைகள். இன்டெல் அதன் FPGA மற்றும் செமிகண்டக்டர் தயாரிப்புகளின் செயல்திறன் இன்டெல்லின் நிலையான உத்தரவாதத்தின்படி தற்போதைய விவரக்குறிப்புகளுக்கு உத்தரவாதம் அளிக்கிறது, ஆனால் எந்த நேரத்திலும் அறிவிப்பு இல்லாமல் எந்த தயாரிப்புகள் மற்றும் சேவைகளில் மாற்றங்களைச் செய்வதற்கான உரிமையை கொண்டுள்ளது. Intel எழுத்துப்பூர்வமாக ஒப்புக்கொண்டதைத் தவிர, இங்கு விவரிக்கப்பட்டுள்ள எந்தவொரு தகவல், தயாரிப்பு அல்லது சேவையின் பயன்பாடு அல்லது பயன்பாட்டிலிருந்து எழும் எந்தப் பொறுப்பு அல்லது பொறுப்பையும் இன்டெல் ஏற்காது. Intel வாடிக்கையாளர்கள் எந்தவொரு வெளியிடப்பட்ட தகவலையும் நம்புவதற்கு முன் மற்றும் தயாரிப்புகள் அல்லது சேவைகளுக்கான ஆர்டர்களை வழங்குவதற்கு முன்பு சாதன விவரக்குறிப்புகளின் சமீபத்திய பதிப்பைப் பெற அறிவுறுத்தப்படுகிறார்கள்.
*பிற பெயர்கள் மற்றும் பிராண்டுகள் மற்றவர்களின் சொத்தாக உரிமை கோரப்படலாம்.
ஐஎஸ்ஓ
9001:2015
பதிவு செய்யப்பட்டது
Interlaken (2வது தலைமுறை) Intel® Agilex™ FPGA IP Design Example பயனர் வழிகாட்டி

இன்டெல் லோகோ

intel Interlaken 2வது தலைமுறை Agilex FPGA IP வடிவமைப்பு Example - ICON 1 ஆன்லைன் பதிப்பு
intel Interlaken 2வது தலைமுறை Agilex FPGA IP வடிவமைப்பு Example - ICON 2 கருத்தை அனுப்பவும்
ஐடி: 683800
UG-20239
பதிப்பு: 2022.08.03

ஆவணங்கள் / ஆதாரங்கள்

intel Interlaken (2வது தலைமுறை) Agilex FPGA IP வடிவமைப்பு Example [pdf] பயனர் வழிகாட்டி
இன்டர்லேக்கன் 2வது தலைமுறை Agilex FPGA IP வடிவமைப்பு Example, Interlaken, 2nd Generation Agilex FPGA IP Design Example, Agilex FPGA IP வடிவமைப்பு Example, IP வடிவமைப்பு Example

குறிப்புகள்

கருத்து தெரிவிக்கவும்

உங்கள் மின்னஞ்சல் முகவரி வெளியிடப்படாது. தேவையான புலங்கள் குறிக்கப்பட்டுள்ளன *