Interlaken (ọgbọ nke abụọ) Intel ®
Agilex™ FPGA IP Design Example
Ntuziaka onye ọrụ
Ntuziaka mmalite ngwa ngwa
Interlaken (2nd Generation) FPGA IP core na-enye testbench simulation na ihe nrụpụta ngwaike ex.ample nke na-akwado mkpokọta na nyocha ngwaike. Mgbe ị na-emepụta imewe example, paramita nchịkọta akụkọ na-akpaghị aka na-emepụta filedị mkpa iji megharịa, chịkọta, na nwalee imewe na ngwaike. Imewe example dịkwa maka atụmatụ Interlaken Look-side.
The testbench na imewe example na-akwado ọnọdụ NRZ na PAM4 maka ngwaọrụ E-tile. Interlaken (2nd Generation) FPGA IP isi na-ewepụta imewe examples maka nchikota niile akwadoro nke ọnụọgụ ụzọ na ọnụego data.
Ọgụgụ 1. Nzọụkwụ mmepe maka imewe Example
Interlaken (2nd Generation) IP isi imewe example na-akwado atụmatụ ndị a:
- Ụdị TX dị n'ime ruo RX serial loopback mode
- Na-ewepụta ngwugwu nha nha na-akpaghị aka
- Ikike ịlele ngwugwu bụ isi
- Ikike iji Sistemu Console iji tọgharịa imewe maka ebumnuche nnwale ọzọ
- Mgbanwe PMA
Onyonyo 2. Eserese ngọngọ dị elu maka Interlaken (2nd Generation) Kere Example
Ozi metụtara
- Interlaken (ọgbọ nke abụọ) Ntuziaka onye ọrụ IP FPGA
- Interlaken (ọgbọ nke abụọ) Intel FPGA IP ndetu mwepụta
1.1. Achọrọ ngwaike na ngwanrọ
Iji nwalee exampiji chepụta, jiri ngwaike na ngwanrọ ndị a:
- Ngwa ngwanrọ Intel® Prime Pro Edition 21.3
- Sistemụ njikwa
- Ndị simulator akwadoro:
- Siemens * EDA ModelSim * SE ma ọ bụ QuestaSim *
- Synopsys* VCS*
- Cadence* Xcelium* - Intel Agilex® Quartus™ F-Series Transceiver-SoC Development Kit (AGFB014R24A2E2V)
Ozi metụtara
Intel Agilex F-Series Transceiver-SoC Development Kit Guide User
1.2. Ọdịdị ndekọ
Interlaken (2nd Generation) IP isi imewe example file akwụkwọ ndekọ aha nwere ihe ndị a emepụtara files maka imewe example.
Ọgụgụ 3. Nhazi ndekọ aha nke emepụtara Interlaken (Ọgbọ nke abụọ) Ọpụample Design
Nhazi ngwaike, ịme anwansị, na nnwale files dị naample_installation_dir>/uflex_ilk_0_example_design.
Tebụl 1. Interlaken (2nd Generation) IP Core Hardware Design Example File Nkọwa
Ndị a files nọ naample_installation_dir>/uflex_ilk_0_example_design/ example_design/quartus ndekọ.
File Aha | Nkọwa |
example_design.qpf | Intel Quartus Prime oru ngo file. |
example_design.qsf | Ntọala ọrụ Intel Quartus Prime file |
example_design.sdc jtag_timing_template.sdc | Synopsys imewe mmachi file. Ị nwere ike idetuo ma gbanwee maka imewe nke gị. |
sysconsole_testbench.tcl | Isi file maka ịnweta Sistemu Console |
Tebụl 2. Interlaken (2nd Generation) IP Core Testbench File Nkọwa
Nke a file dị n'imeample_installation_dir>/uflex_ilk_0_example_design/ example_design/rtl ndekọ.
File Aha | Nkọwa |
top_tb.sv | testbench dị elu file. |
Tebụl 3. nterlaken (2nd Generation) IP Core Testbench Scripts
Ndị a files nọ naample_installation_dir>/uflex_ilk_0_example_design/ example_design/ testbench ndekọ.
File Aha | Nkọwa |
vcstest.sh | Edemede VCS iji mee testbench. |
vlog_pro.do | Edemede ModelSim SE ma ọ bụ QuestaSim iji mee testbench. |
xcelium.sh | Edemede Xcelium iji mee testbench. |
1.3. Nhazi ngwaike Exampna akụrụngwa
The example imewe ejikọta usoro na PLL ntụaka clocks na chọrọ imewe components. The example imewe configures IP isi na esịtidem loopback mode na site na ngwugwu na IP isi TX onye ọrụ data nnyefe interface. Isi IP na-eziga ngwugwu ndị a na ụzọ loopback dị n'ime site na transceiver.
Mgbe onye nata IP isi natachara ngwugwu ahụ n'ụzọ loopback, ọ na-ahazi ngwugwu Interlaken ma na-ebufe ha na interface mbufe data onye ọrụ RX. The example imewe elele na ngwugwu natara na bufere egwuregwu.
Akụrụngwa example imewe na-agụnye mpụga PLLs. Ị nwere ike nyochaa ederede doro anya files na view sampkoodu nke na-arụ otu ụzọ enwere ike iji jikọọ PLLs mpụga na Interlaken (2nd Generation) FPGA IP.
Interlaken (2nd Generation) ngwaike imewe example gụnyere ihe ndị a:
- Interlaken (ọgbọ nke abụọ) FPGA IP
- Ngwunye Generator na ihe nlele ngwugwu
- JTAG njikwa na-ekwurịta okwu na System Console. Ị na-ekwurịta okwu na mgbagha onye ahịa site na Sistemu Console.
Ọgụgụ 4. Interlaken (2nd Generation) Akụrụngwa Kere Example Eserese ngọngọ High Ọkwa maka E-tile NRZ ụdịdị iche iche
Interlaken (2nd Generation) ngwaike imewe exampnke ahụ lekwasịrị anya ụdịdị ụdị E-tile PAM4 chọrọ mgbakwunye mac_clkin elekere nke IO PLL na-ebute. PLL a ga-ejirịrị otu elekere ntụaka na-ebugharị pll_ref_clk.
Ọgụgụ 5. Interlaken (2nd Generation) Akụrụngwa Kere Example High Ọkwa
Eserese ngọngọ maka ụdịdị PAM4 E-tile
Maka mgbanwe ụdị E-tile PAM4, mgbe ị na-eme ka ọwa transceiver Chekwaa ejighi ya maka paramita PAM4, a na-agbakwunye ọdụ ụgbọ mmiri elekere (pll_ref_clk [1]). A ga-ebugharị ọdụ ụgbọ mmiri a n'otu oge ka akọwara ya na nchịkọta nhọrọ paramita IP (Ugboro elekere maka ọwa echekwara). Chekwa ọwa transceiver ejighi ya maka PAM4 bụ nhọrọ. A na-ahụ pin na ihe mgbochi ndị metụtara ya na elekere a na QSF mgbe ịhọrọ Intel Stratix® 10 ma ọ bụ ngwa mmepe Intel Agilex maka ọgbọ imewe.
Maka imewe exampna simulation, testbench na-akọwakarị otu ugboro maka pll_ref_clk[0] na pll_ref_clk[1].
Ozi metụtara
Intel Agilex F-Series Transceiver-SoC Development Kit Guide User
1.4. Ịmepụta Nhazi
Ọgụgụ 6. Usoro
Soro usoro ndị a ka ịmepụta ngwaike example design na testbench:
- Na ngwanrọ Intel Quartus Prime Pro Edition, pịa File ➤ Ọkachamara Project ọhụrụ iji mepụta ọrụ Intel Quartus Prime ọhụrụ, ma ọ bụ pịa File ➤ Mepee Project ka imepe ọrụ Intel Quartus Prime dị ugbu a. Ọkachamara na-akpali gị ezipụta ngwaọrụ.
- Ezipụta ezinụlọ ngwaọrụ Agilex wee họrọ ngwaọrụ maka imewe gị.
- Na katalọgụ IP, chọta ma pịa Interlaken (2nd Generation) Intel FPGA IP ugboro abụọ. Window IP dị iche iche ga-egosi.
- Ezipụta aha ọkwa dị elu maka IP omenala gị iche. Onye ndezi paramita na-echekwa ntọala IP dị iche na a file aha ya .ip.
- Pịa OK. Ihe ndezi paramita na-egosi.
Nyocha 7. Ọpụampma chepụta Tab na Interlaken (2nd Generation) Intel FPGA IP Parameter Editor - Na taabụ IP, ezipụta paramita maka mgbanwe isi IP gị.
- Na PMA Adaptation tab, ezipụta paramita mgbanwe PMA ma ọ bụrụ na ị na-eme atụmatụ iji mmegharị PMA maka ọdịiche ngwaọrụ E-tile gị.
Nzọụkwụ a bụ nhọrọ:
• Họrọ Kwado mmeghari ibu dị nro nhọrọ IP.
Mara: Ị ga-emerịrị ka nhọrọ Kwado Native PHY Debug Master Endpoint (NPDME) nhọrọ na taabụ IP mgbe agbanyere ngbanwe PMA.
• Họrọ ntọala ngbanwe PMA maka ngbanwe PMA Họrọ oke.
• Pịa Preload Mgbanwe PMA iji buo paramita mgbanwe nke mbụ na nke na-aga n'ihu.
• Kọwaa ọnụ ọgụgụ nke nhazi PMA iji kwado mgbe ọtụtụ nhazi PMA na-enyere aka site na iji Ọnụ ọgụgụ nke nhazi nhazi PMA.
• Họrọ nhazi PMA nke ị ga-ebu ma ọ bụ chekwaa site na iji Họrọ PMA nhazi ka ibu ma ọ bụ chekwaa.
• Pịa Nbudata mmegharị site na nhazi PMA ahọpụtara iji buo ntọala nhazi PMA ahọpụtara.
Maka ozi ndị ọzọ gbasara parampat ngbanwe PMA, rụtụ aka na ntuziaka onye ọrụ E-tile Transceiver PHY. - Na ExampLe Design tab, họrọ nhọrọ Simulation iji mepụta testbench, wee họrọ nhọrọ Synthesis iji mepụta ngwaike ex.ampimewe.
Mara: Ị ga-ahọrọ opekata mpe otu n'ime nhọrọ Simulation ma ọ bụ Synthesis na-emepụta Example Design Files. - Maka Ụdị HDL emepụtara, naanị Verilog dị.
- Maka ngwa mmepe Target họrọ nhọrọ kwesịrị ekwesị.
Mara: Nhọrọ Intel Agilex F-Series Transceiver SoC Development Kit dị naanị mgbe ọrụ gị na-akọwa aha ngwaọrụ Intel Agilex malite na AGFA012 ma ọ bụ AGFA014. Mgbe ịhọrọ nhọrọ ngwa ngwa mmepe, a na-edobe ọrụ ntụtụ dịka nọmba akụkụ ngwaọrụ Intel Agilex Development Kit AGFB014R24A2E2V ma nwee ike ịdị iche na ngwaọrụ ahọpụtara. Ọ bụrụ na ị bu n'obi ịnwale imewe na ngwaike na PCB dị iche, họrọ Ọ dịghị ihe mmepe nhọrọ wee mee pin ọrụ kwesịrị ekwesị na .qsf file. - Pịa n'ịwa Example Design. Họrọ Example Imepụta windo ndekọ na-egosi.
- Ọ bụrụ na ị chọrọ ịgbanwe imewe exampụzọ ndekọ aha ma ọ bụ aha sitere na ndabara egosiri (uflex_ilk_0_example_design), chọgharịa n'ụzọ ọhụrụ wee pịnye ihe ọhụrụ ahụ example ndekọ aha.
- Pịa OK.
Ozi metụtara
- Intel Agilex F-Series Transceiver-SoC Development Kit Guide User
- Ntuziaka onye ọrụ E-tile Transceiver PHY
1.5. Ịmepụta atụmatụ Exampna Testbench
Rụtụ aka na Interlaken (ọgbọ nke abụọ) Nhazi ngwaike Example High Level Block for E-tile NRZ Mode Variance and Interlaken (2nd Generation) Hardware Design Ex.ample High Level Block for E-tile PAM4 Mode Variations igbochi eserese nke simulation testbench.
Ọgụgụ 8. Usoro
Soro usoro ndị a ka ịmee testbench:
- Na ngwa ngwa iwu, gbanwee gaa na ndekọ ndekọ simulation testbench. Akwụkwọ ndekọ aha bụample_installation_dir>/ example_design/ testbench maka ngwaọrụ Intel Agilex.
- Gbaa script simulation maka simulator akwadoro nke nhọrọ gị. Edemede a na-achịkọta ma na-agba testbench na simulator. Edemede gị kwesịrị ịlele na ọnụ ọgụgụ SOP na EOP dakọtara mgbe ịmechara simulation. Rụtụ aka na tebụl Nzọụkwụ iji mee Simulation.
Isiokwu 4. Nzọụkwụ na-agba ọsọ SimulationSimulator Ntuziaka ModelSim SE ma ọ bụ QuestaSim N'ahịrị iwu, pịnye -do vlog_pro.do. Ọ bụrụ na-amasị gị ịme simulate na-ebuliteghị ModelSim GUI, pịnye vsim -c -do vlog_pro.do VCS N'ahịrị iwu, pịnye sh vcstest.sh Xcelium N'ahịrị iwu, pịnye sh xcelium.sh - Nyochaa nsonaazụ ya. Simulation na-aga nke ọma na-eziga ma nata ngwugwu, ma gosipụta “Nnwale gafere”.
The testbench maka imewe example rụchaa ọrụ ndị a:
- Na-ebute Interlaken (ọgbọ nke abụọ) Intel FPGA IP.
- Na-ebipụta ọkwa PHY.
- Na-enyocha mmekọrịta metaframe (SYNC_LOCK) na oke okwu (mgbochi) (WORD_LOCK).
- Na-eche ka akpọchie ma kwekọọ n'ụzọ ọ bụla.
- Na-amalite izisa ngwugwu.
- Nyochaa ọnụ ọgụgụ ngwugwu:
- Njehie CRC24
- SOPs
- EOPs
Ndị na-esonụ sampIhe nrụpụta na-egosi nnwale ịme anwansị na-aga nke ọma na ọnọdụ Interlaken:
*******************************
Ozi: Na-eche ka ejikọta ụzọ.
Ụzọ niile nke nnata na-ahazi ma dị njikere ịnata okporo ụzọ.
*********************************** *
*********************************** *
Ozi: Malite izisa ngwugwu
*********************************** *
*********************************** *
Ozi: Kwụsị ibunye ngwugwu
*********************************** *
*********************************** *
Ozi: Nyochaa ọnụ ọgụgụ ngwugwu
*********************************** *
A kọrọ njehie CRC 24: 0
Ebufere SOPs: 100
EOP bufere: 100
SOP natara: 100
EOP natara: 100
Ọnụ ọgụgụ njehie ECC: 0
*********************************** *
Ozi: Nnwale agafeela
*********************************** *
Mara: Interlaken imewe example simulation testbench na-eziga ngwugwu 100 wee nata 100 ngwugwu.
Ndị na-esonụ sampIhe nrụpụta na-egosi nnwale ịme anwansị na-aga nke ọma na ọnọdụ nlele-akụkụ Interlaken:
Lelee TX na RX Counter hà nhata ma ọ bụ na ha agaghị.
—————————————————————-
READ_MM: adreesị 4000014 = 00000001.
—————————————————————-
Wepu Counter nha nha nhata.
—————————————————————-
WRITE_MM: adreesị 4000001 na-enweta 00000001.
WRITE_MM: adreesị 4000001 na-enweta 00000000.
—————————————————————-
RX_SOP COUNTER.
—————————————————————-
READ_MM: adreesị 400000c = 0000006a.
—————————————————————-
RX_EOP COUNTER.
READ_MM: adreesị 400000d = 0000006a.
—————————————————————-
READ_MM: adreesị 4000010 = 00000000.
—————————————————————-
Gosipụta akụkọ ikpeazụ.
—————————————————————-
0 Achọpụtara mperi
0 CRC24 kọrọ njehie
106 SOPs ebufere
Ebufere 106 EOP
106 SOP natara
106 EOP natara
—————————————————————-
Mechaa ịme anwansị
—————————————————————-
Nnwale gafere
—————————————————————-
Mara: Ọnụọgụ nke ngwugwu (SOPs na EOPs) dịgasị iche n'ụzọ ọ bụla na Interlaken Lookside design ex.ample simulation sample mmepụta.
Ozi metụtara
Nhazi ngwaike ExampIhe ndị dị na ibe 6
1.6. Ịchịkọta na Hazie Nhazi Exampna Hardware
Ọgụgụ 9. Usoro
Iji chịkọta ma mee nnwale ngosi na ngwaike exampka imewe, soro usoro ndị a:
- Gbaa mbọ hụ na ngwaike example imewe ọgbọ zuru ezu.
- Na ngwanrọ Intel Quartus Prime Pro Edition, mepee ọrụ Intel Quartus Primeample_installation_dir>/ example_design/quartus/ example_design.qpf>.
- Na nhazi menu, pịa Malite Nchịkọta.
- Mgbe nchịkọta nke ọma gasịrị, .sof file dị na ndekọ aha gị akọwapụtara.
Soro usoro ndị a ka mmemme ngwaike example imewe na Intel Agilex ngwaọrụ: - Jikọọ Intel Agilex F-Series Transceiver-SoC Development Kit na kọmputa onye ọbịa.
b. Mepee ngwa njikwa elekere, nke bụ akụkụ nke ngwa mmepe, ma tọọ ugboro ọhụrụ maka imewe ex.ample. N'okpuru bụ ntọala ugboro ugboro na ngwa njikwa elekere:
• Si5338 (U37), CLK1- 100 MHz
• Si5338 (U36), CLK2- 153.6 MHz
• Si549 (Y2), OUT- Tọọ uru nke pll_ref_clk (1) maka imewe gị.
c. Na Ngwaọrụ menu, pịa Programmer.
d. Na Programmer, pịa Hardware Mbido.
e. Họrọ ngwaọrụ mmemme.
f. Họrọ ma tinye Intel Agilex F-Series Transceiver-SoC Development Kit nke oge Intel Quartus Prime nwere ike jikọọ na ya.
g. Gbaa mbọ hụ na edobere ọnọdụ na JTAG.
h. Họrọ ngwaọrụ Intel Agilex wee pịa Tinye Ngwaọrụ. Onye mmemme na-egosiputa eserese mgbochi nke njikọ dị n'etiti ngwaọrụ dị na bọọdụ gị.
i. N'ahịrị na .sof gị, lelee igbe maka .sof.
j. Lelee igbe dị na kọlụm Mmemme/Hazie.
k. Pịa Malite.
Ozi metụtara
- Ngwa ngwa Intel FPGA na-eme mmemme na ibe 0
- Iji Sistemụ Console nyochaa na imegharị atụmatụ
- Intel Agilex F-Series Transceiver-SoC Development Kit Guide User
1.7. Nnwale ihe nrụpụta ngwaike Example
Mgbe ị chịkọtara Interlaken (2nd Generation) Intel FPGA IP core design exampma hazie ngwaọrụ gị, ị nwere ike iji Sistemu Console iji hazie IP core yana ndebanye aha Native PHY IP agbakwunyere ya.
Soro usoro ndị a ka ibulite Sistemụ Console wee nwalee nhazi ngwaike exampLe:
- Na sọftụwia Intel Quartus Prime Pro Edition, na menu Ngwaọrụ, pịa Ngwaọrụ Debugging System ➤ System Console.
- Gbanwee naample_installation_dir>example_design/ hwest ndekọ.
- Imepe njikọ na JTAG nna ukwu, pịnye iwu a: isi iyi sysconsole_testbench.tcl
- Ị nwere ike gbanye mode serial loopback nke dị n'ime site na iji ụdị ihe aample iwu:
a. stat: Na-ebipụta ozi ọnọdụ izugbe.
b. sys_reset: Tọgharịa sistemụ ahụ.
c. loop_on: Na-agbanye n'ime serial loopback.
d. ọsọ_example_design: Na-agba ọsọ imewe example.
Mara: Ị ga-agbarịrị loop_on iwu tupu run_example_design iwu.
The run_example_design na-eme iwu ndị a n'usoro:
sys_reset->stat->gen_on->stat->gen_off.
Cheta na: Mgbe ị họrọ Kwado mmezi ibu dị nro nhọrọ IP, run_example_design iwu na-arụ nhazi nhazi mbụ n'akụkụ RX site n'ịgba ọsọ ọsọ_load_PMA_configuration. - Ị nwere ike gbanyụọ mode serial loopback nke dị n'ime site na iji ụdị ihe aample iwu:
a. loop_off: Gbanyụọ loopback nke ime. - Ị nwere ike hazie IP core na ndị a agbakwunyere imewe example iwu:
a. gen_on: Na-akwado generator ngwugwu.
b. gen_off: Gbanyụọ generator ngwugwu.
c. run_test_loop: Na-agba ule maka oge maka E-tile NRZ na PAM4 iche.
d. clear_err: Na-ekpochapụ mpe mpe mpe mpe mpe mpe akwa.
e. set_test_mode : Na-edozi ule ka ọ na-agba ọsọ na ọnọdụ akọwapụtara.
f. get_test_mode: Na-ebipụta ụdị ule dị ugbu a.
g. set_burst_size : Tọọ nha gbawara na bytes.
h. get_burst_size: Na-ebipụta ozi nha nha.
Nnwale na-aga nke ọma na-ebipụta ozi HW_TEST:PASS. N'okpuru bụ njirisi ngafe maka ọsọ ule:
- Enweghị mperi maka CRC32, CRC24 na checker.
- SOPs na EOP ndị a na-ebufe kwesịrị dakọtara na ndị natara.
Ndị na-esonụ sampmmepụta ihe na-egosi ọsọ ule na-aga nke ọma na ọnọdụ Interlaken:
Ozi: Ozi: Kwụsị imepụta ngwugwu
==== AKWỤKWỌ ỤLỌ ====
TX KHz: 402813
RX KHz: 402813
Mkpọchi ugboro ugboro: 0x0000ff
TX PLL mkpọchi: 0x000001
Nhazi: 0x00c10f
Rx LOA: 0x000000
Tx LOA: 0x000000
mkpọchi okwu: 0x0000ff
mkpọchi mmekọrịta: 0x0000ff
Njehie CRC32: 0
Njehie CRC24: 0
Njehie nyocha: 0
FIFO err flags: 0x000000
Ebufere SOPs: 1087913770
EOP bufere: 1087913770
Ndị SOP natara: 1087913770
EOP natara: 1087913770
ECC emeziri: 0
Njehie ECC: 0
161 agafeela kemgbe ike
HW_TEST : gafere
Nnwale na-aga nke ọma na-ebipụta HW_TEST: ozi PASS. N'okpuru bụ njirisi ngafe maka ọsọ ule:
- Enweghị mperi maka CRC32, CRC24 na checker.
- SOPs na EOP ndị a na-ebufe kwesịrị dakọtara na ndị natara.
Ndị na-esonụ sampmmepụta ihe na-egosi ọsọ ule na-aga nke ọma na ọnọdụ Interlaken Lookside:
Ozi: Ozi: Kwụsị imepụta ngwugwu
==== AKWỤKWỌ ỤLỌ ====
TX KHz: 402813
RX KHz: 402812
Mkpọchi ugboro ugboro: 0x000ff
TX PLL mkpọchi: 0x000001
Nhazi: 0x00c10f
Rx LOA: 0x000000
Tx LOA: 0x000000
mkpọchi okwu: 0x000fff
mkpọchi mmekọrịta: 0x000ff
Njehie CRC32: 0
Njehie CRC24: 0
Njehie nyocha: 0
Ebufere SOPs: 461
EOP bufere: 461
Ndị SOP natara: 461
EOP natara: 461
171 agafeela kemgbe ike
HW_TEST : gafere
Imepụta Example Nkọwa
Imewe example na-egosiputa arụmọrụ nke Interlaken IP isi.
Ozi metụtara
Interlaken (ọgbọ nke abụọ) Ntuziaka onye ọrụ IP FPGA
2.1. Imepụta Example Omume
Iji nwalee imewe na ngwaike, pịnye iwu ndị a na Sistemụ Console ::
- Isi mmalite ntọala file:
% isi iyiample>uflex_ilk_0_example_design/example_design/hwtest/sysconsole_testbench.tcl - Gbaa ule:
% run_example_design - Interlaken (2nd Generation) ngwaike imewe example mezue usoro ndị a:
a. Tụgharịa Interlaken (ọgbọ nke abụọ) IP.
b. Na-ahazi Interlaken (ọgbọ nke abụọ) IP na ọnọdụ loopback dị n'ime.
c. Na-eziga iyi nke ngwugwu Interlaken nwere data akọwapụtagoro n'ime ụgwọ a na-akwụ ya na ntinye data onye ọrụ TX nke isi IP.
d. Na-enyocha ngwungwu anatara wee kọọ ọkwa. Ihe nlele ngwugwu gụnyere na ngwaike imewe example na-enye ikike ịlele ngwugwu ndị a:
• Na-enyocha na usoro ngwugwu ebufere bụ eziokwu.
• Na-enyocha na data enwetara dabara n'ụkpụrụ a na-atụ anya ya site n'ịhụ na mmalite nke ngwugwu (SOP) na njedebe nke ngwugwu (EOP) kwadoro ka a na-ebufe ma nata data.
2.2. Ihe nrịbama ihu
Tebụl 5. Imepụta Exampna akara ngosi interface
Aha Port | Ntuziaka | Obosara (Bits) | Nkọwa |
mgmt_clk | Ntinye | 1 | Ntinye elekere sistemụ. Ugboro elekere ga-abụrịrị 100 MHz. |
pll_ref_clk /pll_ref_clk[1:0] (2) | Ntinye | 2-Jan | Elekere ntụgharị ntụgharị. Na-anya RX CDR PLL. |
Aha Port | Ntuziaka | Obosara (Bits) | Nkọwa |
pll_ref_clk[1] dị naanị mgbe ị nyeere Chekwaa ejighi ya Mara: ọwa transceiver maka PAM4 oke na E-tile PAM4 ụdịdị IP dị iche iche. |
|||
rx_pin | Ntinye | Ọnụọgụ nke ụzọ | PIN nnata SErdES. |
tx_pin | Mpụta | Ọnụọgụ nke ụzọ | Nyefee PIN data SErdES. |
rx_pin_n | Ntinye | Ọnụọgụ nke ụzọ | PIN nnata SErdES. Mgbama a dị naanị na ụdịdị ngwaọrụ E-tile PAM4. |
tx_pin_n | Mpụta | Ọnụọgụ nke ụzọ | Nyefee PIN data SErdES. Mgbama a dị naanị na ụdịdị ngwaọrụ E-tile PAM4. |
mac_clk_pll_ref | Ntinye | 1 | PLL ga-eburịrị mgbaama a ma ga-ejikwa otu isi mmalite elekere na-ebugharị pll_ref_clk. Mgbama a dị naanị na ụdịdị ngwaọrụ E-tile PAM4. |
usr_pb_reset_n | Ntinye | 1 | Ntọgharị usoro. |
Ozi metụtara
Ihe nrịbama ihu
2.3. Deba aha map
Mara:
- Imepụta Example Register Adreesị na-amalite na 0x20** mgbe interlaken IP isi aha adreesị na-amalite na 0x10**.
- Koodu nnweta: RO—Nanị na-agụ, yana RW—Gụọ/Dee.
- Sistemụ njikwa na-agụ imewe example aha na-akọ ule ọnọdụ na ihuenyo.
Tebụl 6. Imepụta Example Deba aha Map maka Interlaken Design Example
Akwụsịghị | Aha | Nweta | Nkọwa |
8'h00 | Echekwara | ||
8'h01 | Echekwara | ||
8'h02 | Ntọgharị PLL Sistemu | RO | bits ndị a na-egosi arịrịọ nrụpụta PLL sistemu wee mee ka uru dị: • Bit [0] - sys_pll_rst_req • Bit [1] - sys_pll_rst_en |
8'h03 | Ahịrị RX kwadoro | RO | Na-egosi nhazi n'ụzọ RX. |
8'h04 | akpọchiri okwu | RO | [NUM_LANES–1:0] – Okwu (mgbochi) njirimara oke. |
(2) Mgbe ị nyere aka Chekwaa ọwa transceiver na-ejighị ya maka paramita PAM4, a na-agbakwunye ọdụ ụgbọ mmiri elekere iji chekwaa ọwa ohu PAM4 na-ejighị ya.
Akwụsịghị | Aha | Nweta | Nkọwa |
8'h05 | Mmekọrịta akpọchiri | RO | [NUM_LANES–1:0] – Metaframe gakọrịta. |
8 'h06 - 8'h09 | Ọnụọgụ njehie CRC32 | RO | Na-egosi ọnụọgụ njehie CRC32. |
8'h0A | Ọnụọgụ njehie CRC24 | RO | Na-egosi ọnụọgụ njehie CRC24. |
8'h0B | Ngosipụta oke/Ngosipụta n'okpuru | RO | Ihe ndị na-esonụ na-egosi: • Bit [3] - TX mgbama n'okpuru • Bit [2] - TX mgbama njupụta • Bit [1] - mgbama njupụta RX |
8'h0C | Ọnụọgụ SOP | RO | Na-egosi ọnụọgụ SOP. |
8h0d | Ọnụọgụ EOP | RO | Na-egosi ọnụ ọgụgụ nke EOP |
8'h0E | Ọnụọgụ mperi | RO | Na-egosi ọnụọgụ mperi ndị a: • Ọnwụ nke nhazi okporo ụzọ • Okwu njikwa iwu na-akwadoghị • Usoro nhazi nke iwu na-akwadoghị • Ihe ngosi SOP ma ọ bụ EOP na-efu |
8'h0F | zipu_data_mm_clk | RW | Dee 1 na bit [0] iji mee ka mgbama generator nwee ike. |
8'h10 | Njehie nyocha | Na-egosi mperi nyocha. (Njehie data SOP, njehie nọmba ọwa, yana njehie data PLD) | |
8'h11 | Mkpọchi PLL Sistemu | RO | Bit [0] na-egosi egosi mkpọchi PLL. |
8'h14 | Ọnụọgụ TX SOP | RO | Na-egosi ọnụọgụ SOP nke ihe na-emepụta ngwugwu mebere. |
8'h15 | Ọnụ ego TX EOP | RO | Na-egosi ọnụọgụ nke EOP nke ihe na-emepụta ngwugwu mepụtara. |
8'h16 | ngwugwu na-aga n'ihu | RW | Dee 1 na bit [0] iji mee ka ngwugwu ahụ na-aga n'ihu. |
8'h39 | Ọnụọgụ njehie ECC | RO | Na-egosi ọnụọgụ mperi ECC. |
8'h40 | ECC deziri ọnụọgụ njehie | RO | Na-egosi ọnụọgụ mperi ECC emeziri. |
Tebụl 7. Imepụta Example Deba aha Map maka Interlaken anya-akụkụ imewe Example
Jiri map ndekọ aha a mgbe ị na-emepụta ihe ngosi exampGbanwuo paramita ọnọdụ anya-akụkụ Interlaken.
Akwụsịghị | Aha | Nweta | Nkọwa |
8'h00 | Echekwara | ||
8'h01 | Ntọgharị counter | RO | Dee 1 ka ọ bụrụ bit [0] iji kpochapụ TX na RX counter hà nhata. |
8'h02 | Ntọgharị PLL Sistemu | RO | bits ndị a na-egosi arịrịọ nrụpụta PLL sistemu wee mee ka uru dị: • Bit [0] - sys_pll_rst_req • Bit [1] - sys_pll_rst_en |
8'h03 | Ahịrị RX kwadoro | RO | Na-egosi nhazi n'ụzọ RX. |
8'h04 | akpọchiri okwu | RO | [NUM_LANES–1:0] – Okwu (mgbochi) njirimara oke. |
8'h05 | Mmekọrịta akpọchiri | RO | [NUM_LANES–1:0] – Metaframe gakọrịta. |
8 'h06 - 8'h09 | Ọnụọgụ njehie CRC32 | RO | Na-egosi ọnụọgụ njehie CRC32. |
8'h0A | Ọnụọgụ njehie CRC24 | RO | Na-egosi ọnụọgụ njehie CRC24. |
Akwụsịghị | Aha | Nweta | Nkọwa |
8'h0B | Echekwara | ||
8'h0C | Ọnụọgụ SOP | RO | Na-egosi ọnụọgụ SOP. |
8h0d | Ọnụọgụ EOP | RO | Na-egosi ọnụ ọgụgụ nke EOP |
8'h0E | Ọnụọgụ mperi | RO | Na-egosi ọnụọgụ mperi ndị a: • Ọnwụ nke nhazi okporo ụzọ • Okwu njikwa iwu na-akwadoghị • Usoro nhazi nke iwu na-akwadoghị • Ihe ngosi SOP ma ọ bụ EOP na-efu |
8'h0F | zipu_data_mm_clk | RW | Dee 1 na bit [0] iji mee ka mgbama generator nwee ike. |
8'h10 | Njehie nyocha | RO | Na-egosi mperi nyocha. (Njehie data SOP, njehie nọmba ọwa, yana njehie data PLD) |
8'h11 | Mkpọchi PLL Sistemu | RO | Bit [0] na-egosi egosi mkpọchi PLL. |
8'h13 | Ọnụọgụ latency | RO | Na-egosi ọnụ ọgụgụ nke latency. |
8'h14 | Ọnụọgụ TX SOP | RO | Na-egosi ọnụọgụ SOP nke ihe na-emepụta ngwugwu mebere. |
8'h15 | Ọnụ ego TX EOP | RO | Na-egosi ọnụọgụ nke EOP nke ihe na-emepụta ngwugwu mepụtara. |
8'h16 | ngwugwu na-aga n'ihu | RO | Dee 1 na bit [0] iji mee ka ngwugwu ahụ na-aga n'ihu. |
8'h17 | TX na RX counter hà nhata | RW | Na-egosi TX na counter RX hà nhata. |
8'h23 | Kwado latency | WO | Dee 1 ka ọ̀tụ̀tụ̀ [0] iji mee ka ọ̀tụ̀tụ̀ latency nwee ike. |
8'h24 | adịla njikere | RO | Na-egosi njiri latency adịla njikere. |
Interlaken (ọgbọ nke abụọ) Intel Agilex FPGA IP Design ExampEbe nchekwa ihe ntuziaka onye ọrụ
Maka ụdị ọhụrụ na nke gara aga nke ntuziaka onye ọrụ a, rụtụ aka na Interlaken (2nd Ọgbọ) Intel Agilex FPGA IP Design Example ntuziaka onye ọrụ Ụdị HTML. Họrọ ụdị na pịa Download. Ọ bụrụ na edepụtaghị ụdị IP ma ọ bụ sọftụwia, ntuziaka onye ọrụ maka ụdị IP gara aga ma ọ bụ ụdị ngwanrọ na-emetụta.
Ụdị IP bụ otu ụdị sọftụwia Intel Quartus Prime Design Suite ruo v19.1. Site na ụdị sọftụwia Intel Quartus Prime Design Suite 19.2 ma ọ bụ karịa, IP cores nwere atụmatụ mbipụta IP ọhụrụ.
Akụkọ ndozigharị akwụkwọ maka Interlaken (ọgbọ nke abụọ) Intel Agilex FPGA IP Design Example ntuziaka onye ọrụ
Ụdị akwụkwọ | Intel Quartus Prime Version | Ụdị IP | Mgbanwe |
2022.08.03 | 21.3 | 20.0.1 | Emeziri ngwaọrụ OPN maka Intel Agilex F-Series Transceiver-SoC Development Kit. |
2021.10.04 | 21.3 | 20.0.1 | • nkwado agbakwunyere maka simulator QuestaSim. • nkwado ewepụrụ maka simulator NCsim. |
2021.02.24 | 20.4 | 20.0.1 | • agbakwunyere ozi gbasara ichekwa ọwa transceiver ejighi ya maka PAM4 na ngalaba: Ihe Nhazi Ngwaike Ex.ampna akụrụngwa. • Etinyere nkọwa mgbaàmà pll_ref_clk[1] na ngalaba: Mgbama ihu. |
2020.12.14 | 20.4 | 20.0.0 | • emelitere sampMmepụta ule ngwaike maka ọnọdụ Interlaken na ọnọdụ Interlaken n'akụkụ akụkụ na-anwale ihe nrụpụta ngwaike Ex.ample. • Maapụ ndebanye aha emelitere maka imewe anya-akụkụ Interlaken example na ngalaba Deba aha Map. • Agbakwunyere njirisi ngafe maka nnwale ngwaike na-aga nke ọma na ngalaba Nleba ihe nrụpụta ngwaike Example. |
2020.10.16 | 20.2 | 19.3.0 | Iwu emeziri iji mee mgbanwe mgbanwe izizi n'akụkụ RX na Nnwale ihe nrụpụta ngwaike Example ngalaba. |
2020.06.22 | 20.2 | 19.3.0 | • Nhazi example dị maka Interlaken Look-side mode. • Nnwale ngwaike nke imewe example dị maka Intel Agilex ngwaọrụ iche. • Ihe atụ agbakwunyere: Eserese ngọngọ dị elu maka Interlaken (ọgbọ nke abụọ) Nhazi Example. • Emelitere ngalaba ndị a: – Ngwaike na ngwanrọ chọrọ – Akwụkwọ ndekọ aha • Haziri ọnụ ọgụgụ ndị a ka ịgụnye mmelite metụtara anya-akụkụ Interlaken: – Ihe onyonyo: Interlaken (ọgbọ nke abụọ) Nhazi ngwaike Example High Eserese ngọngọ Ọkwa maka ụdịdị dị iche iche nke E-tile NRZ – Ihe onyonyo: Interlaken (ọgbọ nke abụọ) Nhazi ngwaike Example Eserese Block High Ọkwa maka E-tile PAM4 ụdịdị dị iche iche • Ihe osise emelitere: IP Parameter Editor. • agbakwunyere ozi gbasara ntọala ugboro ole dị na ngwa njikwa elekere na ngalaba Na-achịkọta na ịhazi Design Exampna Hardware. |
Ụdị akwụkwọ | Intel Quartus Prime Version | Ụdị IP | Mgbanwe |
• Mgbakwunye ọsọ ule agbakwunyere maka Interlaken Look n'akụkụ na ngalaba ndị a: |
|||
2019.09.30 | 19.3 | 19.2.1 |
Ewepụrụ clk100. The mgmt_clk na-eje ozi dị ka elekere ntụaka na IO PLL na ndị a: |
2019.07.01 | 19.2 | 19.2 | Ntọhapụ mbụ. |
Ụlọ ọrụ Intel. Ikike niile echekwabara. Intel, akara Intel, na akara Intel ndị ọzọ bụ ụghalaahịa nke Intel Corporation ma ọ bụ ndị enyemaka ya. Intel nyere ikike ịrụ ọrụ nke FPGA na ngwaahịa semiconductor na nkọwapụta ugbu a dịka akwụkwọ ikike ọkọlọtọ Intel siri dị, mana nwere ikike ịme mgbanwe na ngwaahịa na ọrụ ọ bụla n'oge ọ bụla na-enweghị ọkwa. Intel anaghị ewere ọrụ ọ bụla ma ọ bụ ụgwọ sitere na ngwa ma ọ bụ iji ozi ọ bụla, ngwaahịa ma ọ bụ ọrụ akọwara n'ime ebe a belụsọ dị ka Intel kwetara na ederede. A dụrụ ndị ahịa Intel ọdụ ka ha nweta ụdị nkọwa ngwaọrụ kachasị ọhụrụ tupu ha adabere na ozi ọ bụla ebipụtara yana tupu ịnye iwu maka ngwaahịa ma ọ bụ ọrụ.
* Enwere ike ịzọrọ aha na akara ndị ọzọ dị ka ihe onwunwe nke ndị ọzọ.
ISO
9001:2015
Edebara aha
Interlaken (ọgbọ nke abụọ) Intel® Agilex™ FPGA IP Design Example ntuziaka onye ọrụ
Version nke Ntanetị
Zipu nzaghachi
Nọmba ederede: 683800
UG-20239
Ụdị: 2022.08.03
Akwụkwọ / akụrụngwa
![]() |
intel Interlaken (Ọgbọ nke abụọ) Agilex FPGA IP Design Example [pdf] Ntuziaka onye ọrụ Interlaken 2nd Generation Agilex FPGA IP Design Example, Interlaken, Ọgbọ nke abụọ Agilex FPGA IP Design Example, Agilex FPGA IP Design Example, IP Design Example |