Intel-logo

UG-20219 خارجي ميموري انٽرفيس Intel Agilex FPGA IP ڊيزائن Example

UG-20219-External-Memory-Interfaces-Intel-Agilex-FPGA-IP-Design-Exampلي-پراڊڪٽ خارجي ميموري انٽرفيس بابت Intel® Agilexâ ¢ FPGA IP

معلومات جاري ڪريو

IP ورزن ساڳيا آهن جيئن Intel® Quartus® Prime Design Suite سافٽ ويئر ورجن v19.1 تائين. Intel Quartus Prime Design Suite سافٽ ويئر ورزن 19.2 يا بعد ۾، IP cores وٽ نئين IP ورزننگ اسڪيم آهي. IP ورزننگ اسڪيم (XYZ) نمبر هڪ سافٽ ويئر ورزن کان ٻئي ۾ تبديل ڪري ٿو. تبديلي ۾:

  • X اشارو ڪري ٿو IP جي وڏي نظرثاني. جيڪڏهن توهان پنهنجي Intel Quartus Prime سافٽ ويئر کي اپڊيٽ ڪيو ٿا، توهان کي IP کي ٻيهر ٺاهڻ گهرجي.
  • Y اشارو ڪري ٿو IP ۾ نيون خاصيتون شامل آهن. انهن نئين خاصيتن کي شامل ڪرڻ لاءِ پنهنجو IP ٻيهر ٺاهيو.
  • Z اشارو ڪري ٿو IP ۾ معمولي تبديليون شامل آهن. انهن تبديلين کي شامل ڪرڻ لاءِ پنهنجو IP ٻيهر ٺاهيو.
    شيءِ وصف
    IP نسخو 2.4.2
    Intel Quartus Prime 21.2
    ڇڏڻ جي تاريخ 2021.06.21

ڊيزائن ExampLe Quick Start Guide for External Memory Interfaces Intel Agilex™ FPGA IP

هڪ خودڪار ڊيزائن exampلي فلو Intel Agilex ™ خارجي ياداشت جي انٽرفيس لاءِ دستياب آهي. ٺاھيو ExampLe Designs بٽڻ تي Example Designs ٽئب توهان کي اجازت ڏئي ٿو ته وضاحت ۽ ٺاھڻ جي جوڙجڪ ۽ تخليق ڊيزائن example file سيٽ جيڪي توهان پنهنجي EMIF IP جي تصديق ڪرڻ لاء استعمال ڪري سگهو ٿا. توھان ٺاھي سگھوٿا ھڪڙو ٺاھيو مثالample جيڪو انٽيل FPGA ڊولپمينٽ کٽ سان ملندو آهي، يا ڪنهن به EMIF IP لاءِ جيڪو توهان ٺاهيندا آهيو. توھان استعمال ڪري سگھو ٿا ڊيزائن exampتوهان جي تشخيص جي مدد ڪرڻ لاء، يا توهان جي پنهنجي سسٽم لاء شروعاتي نقطي جي طور تي.

جنرل ڊيزائن Exampڪم فلوزUG-20219-External-Memory-Interfaces-Intel-Agilex-FPGA-IP-Design-Example-fig-1

EMIF پروجيڪٽ ٺاهڻ

هن لاءِ انٽيل ڪوارٽس پرائم سافٽ ويئر ورزن 17.1 ۽ بعد ۾، توهان کي EMIF IP ۽ ڊيزائن ٺاهڻ کان پهريان هڪ Intel Quartus Prime پروجيڪٽ ٺاهڻ گهرجي.ampلي.

  1. Intel Quartus Prime سافٽ ويئر لانچ ڪريو ۽ چونڊيو File ➤ نئون پروجيڪٽ مددگار. ڪلڪ اڳيون. ڊيزائن ExampLe Quick Start Guide for External Memory Interfaces Intel Agilex™ FPGA IP
  2. ڊاريڪٽري بيان ڪريو ( )، Intel Quartus Prime پروجيڪٽ جو نالو ( )، ۽ هڪ اعليٰ سطحي ڊيزائن واري اداري جو نالو ( ) جيڪو توهان ٺاهڻ چاهيو ٿا. ڪلڪ اڳيون.UG-20219-External-Memory-Interfaces-Intel-Agilex-FPGA-IP-Design-Example-fig-3
  3. پڪ ڪريو ته خالي منصوبو چونڊيو ويو آهي. ڪلڪ ڪريو اڳيون ٻه ڀيرا.UG-20219-External-Memory-Interfaces-Intel-Agilex-FPGA-IP-Design-Example-fig-4
  4. خاندان جي تحت، چونڊيو Intel Agilex.
  5. نالو فلٽر جي تحت، ٽائيپ ڪريو ڊوائيس جو حصو نمبر.
  6. دستياب ڊوائيسز جي تحت، مناسب ڊوائيس چونڊيو.UG-20219-External-Memory-Interfaces-Intel-Agilex-FPGA-IP-Design-Example-fig-5
  7. ڪلڪ ڪريو ختم.

EMIF IP ٺاهي ۽ ترتيب ڏيڻ

هيٺ ڏنل قدم بيان ڪري ٿو ته ڪيئن EMIF IP ٺاهي ۽ ترتيب ڏيڻ. هي رستو ٺاهي ٿو DDR4 انٽرفيس، پر مرحلا ٻين پروٽوڪول لاءِ ساڳيا آهن. (اهي قدم IP Catalog (اسٽينڊ اڪيلو) وهڪري جي پيروي ڪندا آهن؛ جيڪڏهن توهان ان جي بدران پليٽ فارم ڊيزائنر (سسٽم) وهڪري کي استعمال ڪرڻ چونڊيو ٿا، مرحلا ساڳيا آهن.)

  1. IP Catalog ونڊو ۾، چونڊيو External Memory Interfaces Intel Agilex FPGA IP. (جيڪڏهن IP Catalog ونڊو نظر نه اچي، چونڊيو View ➤ IP فهرست.)UG-20219-External-Memory-Interfaces-Intel-Agilex-FPGA-IP-Design-Example-fig-6
  2. IP Parameter Editor ۾، EMIF IP لاءِ هڪ اداري جو نالو مهيا ڪريو (جيڪو نالو توهان هتي مهيا ڪندا آهيو اهو ٿي ويندو. file IP لاء نالو) ۽ ڊاريڪٽري جي وضاحت ڪريو. ڪلڪ ڪريو ٺاھيو.UG-20219-External-Memory-Interfaces-Intel-Agilex-FPGA-IP-Design-Example-fig-7
  3. پيراميٽر ايڊيٽر وٽ ڪيترائي ٽيب آھن جتي توھان کي لازمي طور تي پيراميٽر ترتيب ڏيڻ گھرجي پنھنجي EMIF عمل درآمد کي ظاهر ڪرڻ لاءِ.

Intel Agilex EMIF Parameter Editor Guidelines
هي موضوع انٽيل Agilex EMIF IP پيٽرول ايڊيٽر ۾ ٽيب کي پيٽرولائز ڪرڻ لاءِ اعليٰ سطحي هدايت فراهم ڪري ٿو.

ٽيبل 1. EMIF پيرا ميٽر ايڊيٽر ھدايتون

پراميٽر ايڊيٽر ٽئب ھدايتون
جنرل پڪ ڪريو ته هيٺ ڏنل پيٽرولر صحيح طور تي داخل ڪيا ويا آهن:

• ڊوائيس لاء اسپيڊ گريڊ.

• ميموري ڪلاڪ فريڪوئنسي.

• پي ايل ايل ريفرنس ڪلاڪ فریکوئنسي.

ياداشت • پنھنجي ميموري ڊيوائس لاءِ ڊيٽا شيٽ ڏانھن رجوع ڪريو پيراميٽر داخل ڪرڻ لاءِ ياداشت ٽيب.

• توهان کي ALERT# پن لاءِ هڪ مخصوص هنڌ پڻ داخل ڪرڻ گهرجي. (صرف DDR4 ميموري پروٽوڪول تي لاڳو ٿئي ٿو.)

ميم I/O • شروعاتي پروجيڪٽ جي تحقيقات لاء، توھان استعمال ڪري سگھو ٿا ڊفالٽ سيٽنگون

ميم I/O ٽيب.

• ترقي يافته ڊيزائن جي تصديق لاءِ، توهان کي لازمي طور تي ختم ڪرڻ واري سيٽنگن کي حاصل ڪرڻ لاءِ بورڊ جي تخليق کي انجام ڏيڻ گهرجي.

ايف پي جي اي آءِ/آءِ • شروعاتي پروجيڪٽ جي تحقيقات لاء، توھان استعمال ڪري سگھو ٿا ڊفالٽ سيٽنگون

ايف پي جي اي آءِ/آءِ ٽيب.

• ترقي يافته ڊيزائن جي تصديق لاءِ، توهان کي مناسب I/O معيار چونڊڻ لاءِ لاڳاپيل IBIS ماڊلز سان بورڊ سموليشن انجام ڏيڻ گهرجي.

ميم ٽائيمنگ • شروعاتي پروجيڪٽ جي تحقيقات لاء، توھان استعمال ڪري سگھو ٿا ڊفالٽ سيٽنگون

ميم ٽائيمنگ ٽيب.

• ترقي يافته ڊيزائن جي تصديق لاءِ، توهان کي پنهنجي ميموري ڊيوائس جي ڊيٽا شيٽ مطابق پيرا ميٽر داخل ڪرڻ گهرجي.

ڪنٽرولر توهان جي ميموري ڪنٽرولر لاء گهربل ترتيب ۽ رويي جي مطابق ڪنٽرولر پيٽرولر مقرر ڪريو.
تشخيص توھان استعمال ڪري سگھوٿا پيرا ميٽرز تي تشخيص توهان جي ميموري انٽرفيس کي جانچڻ ۽ ڊيبگ ڪرڻ ۾ مدد لاءِ ٽيب.
Exampلي ڊيزائن جي Exampلي ڊيزائن ٽيب توهان کي ڊزائين ٺاهڻ جي اجازت ڏئي ٿي examples synthesis ۽ تخليق لاء. ٺاهيل ڊيزائن Example هڪ مڪمل EMIF سسٽم آهي جنهن ۾ EMIF IP ۽ هڪ ڊرائيور شامل آهي جيڪو ميموري انٽرفيس کي درست ڪرڻ لاءِ بي ترتيب ٽرئفڪ ٺاهي ٿو.

انفرادي پيٽرولر تي تفصيلي ڄاڻ لاء، توهان جي ميموري پروٽوڪول لاء مناسب باب جو حوالو ڏيو خارجي ميموري انٽرفيسس Intel Agilex FPGA IP يوزر گائيڊ.

ٺاھڻ جي قابل EMIF ڊيزائن Example

Intel Agilex ڊولپمينٽ کٽ لاءِ، اھو ڪافي آھي ڇڏڻ لاءِ اڪثر Intel Agilex EMIF IP سيٽنگون انھن جي ڊفالٽ قدرن تي. ٺاھڻ جي قابل ٺاھڻ واري ڊيزائن exampپوء، انهن قدمن تي عمل ڪريو:

  1. تي Example Designs ٽئب، پڪ ڪريو ته Synthesis باڪس چيڪ ٿيل آهي.
    • جيڪڏهن توهان واحد انٽرفيس تي عمل ڪري رهيا آهيو exampلي ڊيزائن، EMIF IP کي ترتيب ڏيو ۽ ڪلڪ ڪريو File➤ موجوده سيٽنگ کي محفوظ ڪرڻ لاءِ محفوظ ڪريو صارف جي IP تبديلي ۾ file ( .ip).UG-20219-External-Memory-Interfaces-Intel-Agilex-FPGA-IP-Design-Example-fig-13
      • جيڪڏهن توهان هڪ اڳوڻي عمل تي عمل ڪري رهيا آهيوampڪيترن ئي انٽرفيس سان ڊيزائن، وضاحت ڪريو IPs جو تعداد انٽرفيس جي گهربل تعداد تائين. توهان ڏسي سگهو ٿا EMIF ID جو ڪل تعداد ساڳيو IPs جي چونڊيل نمبر وانگر. هر انٽرفيس کي ترتيب ڏيڻ لاءِ انهن قدمن تي عمل ڪريو:
    •  Calibration IP سان انٽرفيس جو ڪنيڪشن بيان ڪرڻ لاءِ Cal-IP چونڊيو.
    • EMIF IP کي ترتيب ڏيو سڀني پيرا ميٽر ايڊيٽر ٽئب ۾ مطابق.
    • واپس وڃو Exampلي ڊيزائن ٽيب ۽ ڪلڪ ڪريو ڪيپچر تي مطلوب EMIF ID تي.
    • سڀني EMIF ID لاءِ قدم a کان c تائين ورجايو.
    • توھان ڪلڪ ڪري سگھو ٿا صاف بٽڻ کي هٽائڻ لاءِ پڪڙيل پيرا ميٽرن کي ۽ ورجايو قدم a کان c کي تبديل ڪرڻ لاءِ EMIF IP ۾.
    • ڪلڪ ڪريو File➤ موجوده سيٽنگ کي محفوظ ڪرڻ لاءِ محفوظ ڪريو صارف جي IP تبديلي ۾ file ( .ip).UG-20219-External-Memory-Interfaces-Intel-Agilex-FPGA-IP-Design-Example-fig-9
  2. ڪلڪ Generate Exampونڊو جي مٿئين ساڄي ڪنڊ ۾ ڊيزائن.UG-20219-External-Memory-Interfaces-Intel-Agilex-FPGA-IP-Design-Example-fig-10
  3. EMIF ڊيزائن لاءِ ڊاريڪٽري بيان ڪريو example ۽ ڪلڪ ڪريو OK. EMIF ڊيزائن جو ڪامياب نسل اڳample هيٺيون ٺاهي ٿو fileqii ڊاريڪٽري جي تحت مقرر ڪريو.UG-20219-External-Memory-Interfaces-Intel-Agilex-FPGA-IP-Design-Example-fig-11
  4. ڪلڪ ڪريو File ➤ ٻاهر نڪرڻ لاءِ IP Parameter Editor Pro ونڊو. سسٽم جو اشارو ڏئي ٿو، تازيون تبديليون پيدا نه ڪيون ويون آهن. ھاڻي ٺاھيو؟ ايندڙ وهڪري سان جاري رکڻ لاءِ نه تي ڪلڪ ڪريو.
  5. اڳوڻي کولڻ لاءampلي ڊيزائن، ڪلڪ ڪريو File ➤ پروجيڪٽ کوليو، ۽ ڏانهن وڃو /ample_name>/qii/ed_synth.qpf ۽ ڪلڪ ڪريو اوپن.
    نوٽ: ڊيزائن کي گڏ ڪرڻ ۽ پروگرام ڪرڻ بابت معلومات لاءِ exampلي، حوالي ڪريو
    Intel Agilex EMIF Design Ex. کي گڏ ڪرڻ ۽ پروگرام ڪرڻampلي.

شڪل 4. ٺاهيل سنٿيسائيبل ڊيزائن Example File ساخت

UG-20219-External-Memory-Interfaces-Intel-Agilex-FPGA-IP-Design-Example-fig-12

ٻن يا وڌيڪ خارجي ميموري انٽرفيس سان سسٽم جي تعمير بابت معلومات لاءِ، ٺاھڻ جو حوالو ڏيو ڊيزائن Exampهڪ کان وڌيڪ EMIF انٽرفيس سان گڏ، ٻاهرين ميموري انٽرفيس ۾ Intel Agilex FPGA IP يوزر گائيڊ. گھڻن انٽرفيس کي ڊيبگ ڪرڻ بابت معلومات لاءِ، External Memory Interfaces Intel Agilex FPGA IP يوزر گائيڊ ۾، Existing Design ۾ EMIF Toolkit کي فعال ڪرڻ جو حوالو ڏيو.

نوٽ: جيڪڏهن توهان Simulation يا Synthesis چيڪ باڪس نه چونڊيو، منزل ڊاريڪٽري صرف پليٽ فارم ڊيزائنر ڊيزائن تي مشتمل آهي files، جيڪي سڌو سنئون Intel Quartus Prime سافٽ ويئر پاران مرتب نه ڪيا ويا آهن، پر جيڪي توهان ڪري سگهو ٿا view يا پليٽ فارم ڊيزائنر ۾ ترميم ڪريو. ھن حالت ۾ توھان ھلائي سگھوٿا ھيٺ ڏنل حڪمن کي ٺاھڻ ۽ تخليق ڪرڻ لاءِ file سيٽ

  • ٺاھڻ جي قابل پروجيڪٽ ٺاھڻ لاء، توھان کي هلائڻ گھرجي quartus_sh -t make_qii_design.tclscript منزل ڊاريڪٽري ۾.
  • تخليقي پروجيڪٽ ٺاهڻ لاءِ، توهان کي quartus_sh -t make_sim_design.tcl اسڪرپٽ کي منزل ڊاريڪٽري ۾ هلائڻ گهرجي.

نوٽ: جيڪڏھن توھان ٺاھيو آھي ھڪڙو ڊيزائن example ۽ پوءِ ان ۾ تبديليون آڻيو پيٽرول ايڊيٽر ۾، توھان کي لازمي طور تي ٺاھيو ٺاھيو exampتوهان جي تبديلين تي عمل درآمد ڏسڻ لاء. نئين ٺاهيل ڊيزائن Example موجوده ڊيزائن کي مٿي نه لکندو آهي example files.

EMIF ڊيزائن ٺاهي رهيو آهي Example Simulation لاءِ

Intel Agilex ڊولپمينٽ کٽ لاءِ، اھو ڪافي آھي ڇڏڻ لاءِ اڪثر Intel Agilex EMIF IP سيٽنگون انھن جي ڊفالٽ قدرن تي. ڊيزائن ٺاهڻ لاءِ exampتخليق لاءِ، انهن قدمن تي عمل ڪريو:

  1. تي Exampلي ڊيزائنز ٽيب، پڪ ڪريو ته سموليشن باڪس چيڪ ڪيو ويو آهي. پڻ چونڊيو گهربل سموليشن HDL فارميٽ، يا ته Verilog يا VHDL.
  2. EMIF IP کي ترتيب ڏيو ۽ ڪلڪ ڪريو File ➤ موجوده سيٽنگ کي محفوظ ڪرڻ لاءِ محفوظ ڪريو صارف جي IP تبديلي ۾ file ( .ip).
  3. ڪلڪ Generate Exampونڊو جي مٿئين ساڄي ڪنڊ ۾ ڊيزائن.
  4. EMIF ڊيزائن لاءِ ڊاريڪٽري بيان ڪريو example ۽ ڪلڪ ڪريو OK. EMIF ڊيزائن جو ڪامياب نسل اڳample گھڻا ٺاھي ٿو file sim/ed_sim ڊاريڪٽري جي تحت مختلف سپورٽ ٿيل سموليٽرن لاءِ سيٽ.
  5. ڪلڪ ڪريو File ➤ ٻاهر نڪرڻ لاءِ IP Parameter Editor Pro ونڊو. سسٽم جو اشارو ڏئي ٿو، تازيون تبديليون پيدا نه ڪيون ويون آهن. ھاڻي ٺاھيو؟ ايندڙ وهڪري سان جاري رکڻ لاءِ نه تي ڪلڪ ڪريو.

ٺاهيل سموليشن ڊيزائن Example File ساختUG-20219-External-Memory-Interfaces-Intel-Agilex-FPGA-IP-Design-Example-fig-15

نوٽ: خارجي ميموري انٽرفيس Intel Agilex FPGA IP هن وقت صرف VCS، ModelSim/QuestaSim، ۽ Xcelium simulators کي سپورٽ ڪري ٿو. مستقبل جي رليز ۾ اضافي سمائيٽر سپورٽ جي منصوبابندي ڪئي وئي آهي.

نوٽ: جيڪڏهن توهان Simulation يا Synthesis چيڪ باڪس نه چونڊيو، منزل ڊاريڪٽري صرف پليٽ فارم ڊيزائنر ڊيزائن تي مشتمل آهي files، جيڪي سڌو سنئون Intel Quartus Prime سافٽ ويئر پاران مرتب نه ڪيا ويا آهن، پر جيڪي توهان ڪري سگهو ٿا view يا پليٽ فارم ڊيزائنر ۾ ترميم ڪريو. ھن حالت ۾ توھان ھلائي سگھوٿا ھيٺ ڏنل حڪمن کي ٺاھڻ ۽ تخليق ڪرڻ لاءِ file سيٽ

  • ٺاھڻ جي قابل پروجيڪٽ ٺاھڻ لاء، توھان کي ھلڻ گھرجي quartus_sh -t make_qii_design.tcl اسڪرپٽ منزل ڊاريڪٽري ۾.
  • تخليقي پروجيڪٽ ٺاهڻ لاءِ، توهان کي quartus_sh -t make_sim_design.tcl اسڪرپٽ کي منزل ڊاريڪٽري ۾ هلائڻ گهرجي.

نوٽ: جيڪڏھن توھان ٺاھيو آھي ھڪڙو ڊيزائن example ۽ پوءِ ان ۾ تبديليون آڻيو پيٽرول ايڊيٽر ۾، توھان کي لازمي طور تي ٺاھيو ٺاھيو exampتوهان جي تبديلين تي عمل درآمد ڏسڻ لاء. نئين ٺاهيل ڊيزائن Example موجوده ڊيزائن کي مٿي نه لکندو آهي example files.

سموليشن بمقابله هارڊويئر لاڳو ڪرڻ
خارجي ميموري انٽرفيس سموليشن لاءِ، توھان منتخب ڪري سگھو ٿا يا ته اسڪپ ڪليبريشن يا مڪمل ڪيليبريشن کي ڊيگنوسٽڪس ٽيب تي IP جنريشن دوران.

EMIF سموليشن ماڊلز
هي جدول اسڪپ ڪليبريشن ۽ مڪمل حساب ڪتاب جي ماڊل جي خاصيتن جو مقابلو ڪري ٿو.

ٽيبل 2. EMIF سموليشن ماڊلز: اسڪيپ ڪئليبريشن بمقابله مڪمل ڪيليبريشن

Calibration کي ڇڏي ڏيو مڪمل حساب ڪتاب
سسٽم-سطح جي تخليق صارف جي منطق تي ڌيان ڏيڻ. ميموري انٽرفيس سميوليشن جو ڌيان ان حساب سان.
calibration جي تفصيل تي قبضو نه ڪيو ويو آهي. سڀني کي قبضو ڪري ٿوtagcalibration جي es.
ڊيٽا کي ذخيرو ڪرڻ ۽ ٻيهر حاصل ڪرڻ جي صلاحيت آهي. ليولنگ، في بٽ ڊيسڪ، وغيره شامل آهن.
صحيح ڪارڪردگي جي نمائندگي ڪري ٿو.
بورڊ اسڪيو تي غور نٿو ڪري.

RTL سموليشن بمقابله هارڊويئر لاڳو ڪرڻ
هي جدول EMIF تخليق ۽ هارڊويئر تي عمل درآمد جي وچ ۾ اهم فرق کي نمايان ڪري ٿو.

ٽيبل 3. EMIF RTL سموليشن بمقابله هارڊويئر لاڳو ڪرڻ

RTL سموليشن هارڊويئر لاڳو ڪرڻ
Nios® شروعات ۽ حساب ڪتاب جو ڪوڊ متوازي ۾ عمل. Nios جي شروعات ۽ حساب ڪتاب جي ڪوڊ ترتيبوار طور تي عمل ڪريو.
انٽرفيسز cal_done سگنل کي هڪ ئي وقت تخليق ۾ شامل ڪن ٿا. فٽٽر آپريشن حساب ڪتاب جي ترتيب کي طئي ڪندو آهي، ۽ انٽرفيس هڪ ئي وقت cal_done تي زور نه ڏيندا آهن.

توھان کي پنھنجي ڊيزائن جي ايپليڪيشن لاءِ ٽرئفڪ جي نمونن جي بنياد تي RTL سموليشن هلائڻ گھرجي. نوٽ ڪريو ته RTL سموليشن پي سي بي ٽريس ڊيليز کي ماڊل نٿو ڪري جيڪا RTL سموليشن ۽ هارڊويئر پليپشن جي وچ ۾ ويڪرائي ۾ فرق پيدا ڪري ٿي.

 ماڊل سم سان ٻاهرين ميموري انٽرفيس IP کي ترتيب ڏيڻ
اهو طريقو ڏيکاري ٿو ته ڪيئن EMIF ڊيزائن کي ٺهڪندڙ ڪرڻampلي.

  1. Mentor Graphics* ModelSim سافٽ ويئر لانچ ڪريو ۽ چونڊيو File ➤ ڊاريڪٽري تبديل ڪريو. sim/ed_sim/mentor ڊاريڪٽري ڏانهن وڃو ٺاهيل ڊيزائن جي اندرample فولڊر.
  2. تصديق ڪريو ته ٽرانسڪرپٽ ونڊو اسڪرين جي تري ۾ ڏيکاريل آهي. جيڪڏهن ٽرانسڪرپٽ ونڊو نظر نه اچي، ان کي ڪلڪ ڪندي ڏيکاريو View ➤ نقل.
  3. ٽرانسڪرپٽ ونڊو ۾، source msim_setup.tcl هلائي.
  4. ماخذ msim_setup.tcl ختم ٿيڻ کان پوءِ، ٽرانسڪرپٽ ونڊو ۾ ld_debug کي هلايو.
  5. ld_debug ختم ٿيڻ کان پوء، تصديق ڪريو ته شيون ونڊو ڏيکاريل آهي. جيڪڏهن آبجیکٹ ونڊو نظر نه اچي ته ان کي ڪلڪ ڪري ڏيکاريو View ➤ شيون.
  6. Objects ونڊو ۾، انهن سگنلن کي چونڊيو جيڪي توهان ساڄي طرف ڪلڪ ڪرڻ ۽ Add Wave کي منتخب ڪندي تخليق ڪرڻ چاهيو ٿا.
  7. توهان مڪمل ڪرڻ کان پوءِ سميوليشن لاءِ سگنلن کي منتخب ڪريو، ٽرانڪرپٽ ونڊو ۾ رن -آل تي عمل ڪريو. تخليق هلندي آهي جيستائين اهو مڪمل نه ٿئي.
  8. جيڪڏهن تخليق نظر نه ايندي آهي، ڪلڪ ڪريو View ➤ موج.

Intel Agilex EMIF IP لاءِ پن لڳائڻ
ھي موضوع پنن جي جڳھ لاءِ ھدايتون مهيا ڪري ٿو.

مٿانview
Intel Agilex FPGAs ھيٺ ڏنل جوڙجڪ آھن:

  • هر ڊوائيس تي مشتمل آهي 8 I/O بئنڪ تائين.
  • هر I/O بئنڪ ۾ 2 ذيلي I/O بئنڪون شامل آهن.
  • هر ذيلي I/O بئنڪ ۾ 4 لين شامل آهن.
  • هر لين ۾ 12 عام-مقصد I/O (GPIO) پن شامل آهن.

جنرل پن هدايتون
ھيٺ ڏنل عام پن ھدايتون آھن.

نوٽ: وڌيڪ تفصيلي پن جي معلومات لاءِ، ڏسو Intel Agilex FPGA EMIF IP پن ۽ ريسورس پلاننگ سيڪشن ۾ پروٽوڪول-مخصوص باب ۾ توهان جي خارجي ميموري پروٽوڪول لاءِ، ٻاهرين ميموري انٽرفيس ۾ Intel Agilex FPGA IP يوزر گائيڊ.

  • پڪ ڪريو ته ڏنل خارجي ميموري انٽرفيس لاءِ پن ساڳئي I/O قطار اندر رهن.
  • انٽرفيس جيڪي گھڻن بئنڪن کي پکڙيل آھن ھيٺين ضرورتن کي پورا ڪرڻ گھرجي:
    •  ڪنارن کي هڪ ٻئي جي ڀرسان هجڻ گهرجي. ويجھي بئنڪن تي معلومات لاءِ، ڏسو EMIF آرڪيٽيڪچر: I/O بئنڪ موضوع خارجي ميموري انٽرفيسس Intel Agilex FPGA IP يوزر گائيڊ.
  •  سڀئي ايڊريس ۽ ڪمانڊ ۽ لاڳاپيل پنن کي هڪ واحد سب بئنڪ اندر رهڻ گهرجي.
  • ايڊريس ۽ ڪمانڊ ۽ ڊيٽا پن هيٺ ڏنل شرطن تحت ذيلي بئنڪ شيئر ڪري سگھن ٿا:
    • ايڊريس ۽ ڪمانڊ ۽ ڊيٽا پن I/O لين شيئر نٿا ڪري سگهن.
    • ايڊريس ۽ ڪمانڊ بئنڪ ۾ صرف هڪ غير استعمال ٿيل I/O لين ڊيٽا پنن تي مشتمل ٿي سگھي ٿو.

ٽيبل 4. عام پن جي پابنديون

سگنل جو قسم پابندي
ڊيٽا اسٽروب DQ گروپ سان واسطو رکندڙ سڀئي سگنل هڪ ئي I/O لين ۾ رهڻ گهرجن.
ڊيٽا لاڳاپيل DQ پن ساڳئي I/O لين ۾ رهڻ گهرجن. پروٽوڪول لاءِ جيڪي ٻه طرفي ڊيٽا لائينن کي سپورٽ نٿا ڪن، پڙهڻ جي سگنلن کي لکڻ جي سگنلن کان الڳ الڳ گروپ ڪيو وڃي.
ايڊريس ۽ حڪم ايڊريس ۽ ڪمانڊ پنن کي I/O سب بئنڪ اندر اڳواٽ بيان ڪيل هنڌن تي رهڻ گهرجي.

نوٽ: وڌيڪ تفصيلي پن جي معلومات لاءِ، ڏسو Intel Agilex FPGA EMIF IP پن ۽ ريسورس پلاننگ سيڪشن ۾ پروٽوڪول-مخصوص باب ۾ توهان جي خارجي ميموري پروٽوڪول لاءِ، ٻاهرين ميموري انٽرفيس ۾ Intel Agilex FPGA IP يوزر گائيڊ.

  • پڪ ڪريو ته ڏنل خارجي ميموري انٽرفيس لاءِ پن ساڳئي I/O قطار اندر رهن.
  • انٽرفيس جيڪي گھڻن بئنڪن کي پکڙيل آھن ھيٺين ضرورتن کي پورا ڪرڻ گھرجي:
    • ڪنارن کي هڪ ٻئي جي ڀرسان هجڻ گهرجي. ويجھي بئنڪن تي معلومات لاءِ، ڏسو EMIF آرڪيٽيڪچر: I/O بئنڪ موضوع خارجي ميموري انٽرفيسس Intel Agilex FPGA IP يوزر گائيڊ.
  • سڀئي ايڊريس ۽ ڪمانڊ ۽ لاڳاپيل پنن کي هڪ واحد سب بئنڪ اندر رهڻ گهرجي.
  • ايڊريس ۽ ڪمانڊ ۽ ڊيٽا پن هيٺ ڏنل شرطن تحت ذيلي بئنڪ شيئر ڪري سگھن ٿا:
    • ايڊريس ۽ ڪمانڊ ۽ ڊيٽا پن I/O لين شيئر نٿا ڪري سگهن.
    • ايڊريس ۽ ڪمانڊ بئنڪ ۾ صرف هڪ غير استعمال ٿيل I/O لين ڊيٽا پنن تي مشتمل ٿي سگھي ٿو.

ڊيزائن ٺاهڻ Example TG ترتيب جي اختيار سان

ٺاهيل EMIF ڊيزائن example ۾ هڪ ٽرئفڪ جنريٽر بلاڪ (TG) شامل آهي. ڊفالٽ طور، ڊيزائن example هڪ سادو TG بلاڪ استعمال ڪري ٿو (altera_tg_avl) جيڪو صرف هڪ هارڊ ڪوڊ ٿيل ٽرئفڪ جي نموني کي ٻيهر شروع ڪرڻ لاءِ ري سيٽ ڪري سگهجي ٿو. جيڪڏهن ضروري هجي ته، توهان ان جي بدران هڪ ترتيب واري ٽرئفڪ جنريٽر (TG2) کي فعال ڪرڻ جو انتخاب ڪري سگھو ٿا. ترتيب ڏيڻ واري ٽريفڪ جنريٽر (TG2) (altera_tg_avl_2) ۾، توهان ڪنٽرول رجسٽرز ذريعي حقيقي وقت ۾ ٽرئفڪ جي نموني کي ترتيب ڏئي سگهو ٿا- مطلب ته توهان کي ٽرئفڪ جي نموني کي تبديل ڪرڻ يا ٻيهر شروع ڪرڻ لاء ڊزائن کي ٻيهر ٺاهڻ جي ضرورت ناهي. هي ٽريفڪ جنريٽر ٽريفڪ جي قسم تي ٺيڪ ڪنٽرول مهيا ڪري ٿو جيڪو اهو EMIF ڪنٽرول انٽرفيس تي موڪلي ٿو. اضافي طور تي، اهو اسٽيٽس رجسٽر مهيا ڪري ٿو جنهن ۾ تفصيلي ناڪامي جي معلومات شامل آهي.

ھڪڙي ڊيزائن ۾ ٽرئفڪ جنريٽر کي فعال ڪرڻ Example

توهان EMIF پيٽرولر ايڊيٽر ۾ تشخيص ٽيب مان ترتيب ڏيڻ واري ٽرئفڪ جنريٽر کي فعال ڪري سگهو ٿا. ترتيب ڏيڻ واري ٽرئفڪ جنريٽر کي فعال ڪرڻ لاءِ، آن ڪريو استعمال ڪنفيگريبل Avalon ٽريفڪ جنريٽر 2.0 تي تشخيص ٽيب.

شڪل 6.UG-20219-External-Memory-Interfaces-Intel-Agilex-FPGA-IP-Design-Example-fig-16

  • توھان چونڊي سگھوٿا ڊفالٽ ٽرئفڪ جي نمونن کي بند ڪرڻ لاءِtage يا صارف ترتيب ڏنل ٽرئفڪ stage، پر توهان وٽ گهٽ ۾ گهٽ هڪ ايس هجڻ گهرجيtage چالو ڪيو. ان بابت معلومات لاءِ ايسtages، خارجي ميموري انٽرفيس Intel Agilex FPGA IP يوزر گائيڊ ۾ ڊفالٽ ٽرئفڪ جو نمونو ۽ صارف جي ترتيب ڏنل ٽرئفڪ جو نمونو ڏانھن وڃو.
  • TG2 ٽيسٽ جي مدت جو پيٽرول صرف ڊفالٽ ٽرئفڪ جي نموني تي لاڳو ٿئي ٿو. توهان مختصر، وچولي، يا لامحدود جي آزمائشي مدت چونڊي سگھو ٿا.
  • توهان TG2 ڪنفيگريشن انٽرفيس موڊ پيراميٽر لاءِ ٻن قدرن مان هڪ کي چونڊي سگھو ٿا:
    • JTAG: سسٽم ڪنسول ۾ GUI جي استعمال جي اجازت ڏئي ٿي. وڌيڪ معلومات لاءِ، ڏسو ٽريفڪ جنريٽر ڪنفيگريشن انٽرفيس ۾ External Memory Interfaces Intel Agilex FPGA IP يوزر گائيڊ.
    • ٻاھر موڪليو: ٽرئفڪ جي نموني کي ڪنٽرول ڪرڻ لاء ڪسٽم RTL منطق جي استعمال جي اجازت ڏئي ٿي.

ڊيزائن استعمال ڪندي Example EMIF Debug Toolkit سان

EMIF Debug Toolkit لانچ ڪرڻ کان اڳ، پڪ ڪريو ته توھان پنھنجي ڊوائيس کي پروگرامنگ سان ترتيب ڏنو آھي file جنهن ۾ EMIF Debug Toolkit فعال ٿيل آهي. EMIF Debug Toolkit لانچ ڪرڻ لاءِ، ھيٺين قدمن تي عمل ڪريو:

  1. Intel Quartus Prime سافٽ ويئر ۾، سسٽم ڪنسول کوليو چونڊيو اوزار ➤ سسٽم ڊيبگنگ اوزار ➤ سسٽم ڪنسول.
  2. [هن قدم کي ڇڏي ڏيو جيڪڏهن توهان جو پروجيڪٽ اڳ ۾ ئي کليل آهي Intel Quartus Prime سافٽ ويئر ۾.] سسٽم ڪنسول ۾، لوڊ ڪريو SRAM اعتراض file (.sof) جنهن سان توهان بورڊ کي پروگرام ڪيو آهي (جيئن بيان ڪيل شرطن ۾ EMIF Debug Toolkit استعمال ڪرڻ لاءِ، External Memory Interfaces Intel Agilex FPGA IP يوزر گائيڊ ۾).
  3. ڊيبگ ڪرڻ لاءِ مثال چونڊيو.
  4. منتخب ڪريو EMIF Calibration Debug Toolkit for EMIF calibration Debugging، جيئن بيان ڪيو ويو آھي Generating a Design Example Calibration Debug آپشن سان. متبادل طور تي، منتخب ڪريو EMIF TG Configuration Toolkit for Traffic Generator Debugging، جيئن بيان ڪيو ويو آھي Generating a Design Example TG ترتيب جي اختيار سان.
  5. ڪلڪ ڪريو Open Toolkit مين کولڻ لاءِ view EMIF Debug Toolkit جو.UG-20219-External-Memory-Interfaces-Intel-Agilex-FPGA-IP-Design-Example-fig-17UG-20219-External-Memory-Interfaces-Intel-Agilex-FPGA-IP-Design-Example-fig-18
  6. جيڪڏهن پروگرام ٿيل ڊيزائن ۾ ڪيترائي EMIF مثال آهن، ڪالمن کي منتخب ڪريو (جيTAG ماسٽر) ۽ EMIF مثال جي ميموري انٽرفيس ID جنهن لاءِ ٽول ڪٽ کي چالو ڪرڻ لاءِ.UG-20219-External-Memory-Interfaces-Intel-Agilex-FPGA-IP-Design-Example-fig-19
  7. ايڪٽيويٽ انٽرفيس تي ڪلڪ ڪريو ٽول ڪٽ کي اجازت ڏيڻ لاءِ انٽرفيس جا پيرا ميٽرز ۽ ڪيليبريشن اسٽيٽس پڙهڻ.UG-20219-External-Memory-Interfaces-Intel-Agilex-FPGA-IP-Design-Example-fig-20
  8. توهان کي هڪ وقت ۾ هڪ انٽرفيس ڊيبگ ڪرڻ گهرجي؛ تنهن ڪري، ڊزائن ۾ ڪنهن ٻئي انٽرفيس سان ڳنڍڻ لاء، توهان کي پهريون ڀيرو موجوده انٽرفيس کي غير فعال ڪرڻ گهرجي.

هيٺيون آهن exampEMIF Calibration Debug Toolkit ۽ EMIF TG Configuration Toolkit:، ترتيب وار رپورٽون.UG-20219-External-Memory-Interfaces-Intel-Agilex-FPGA-IP-Design-Example-fig-22UG-20219-External-Memory-Interfaces-Intel-Agilex-FPGA-IP-Design-Example-fig-23

نوٽ: ڪيليبريشن ڊيبگنگ تي تفصيلن لاءِ، ڏسو خارجي ميموري انٽرفيس ڊيبگ ٽول ڪٽ سان ڊيبگنگ، خارجي ميموري انٽرفيس Intel Agilex FPGA IP يوزر گائيڊ.

نوٽ: ٽريفڪ جنريٽر ڊيبگنگ تي تفصيل لاءِ، ٽريفڪ جنريٽر ڪنفيگريشن يوزر انٽرفيس جو حوالو ڏيو، خارجي ميموري انٽرفيس ۾ Intel Agilex FPGA IP يوزر گائيڊ.

ڊيزائن Example وضاحت لاءِ خارجي ياداشت واري انٽرفيس Intel Agilex FPGA IP

جڏهن توهان پنهنجي EMIF IP کي ترتيب ۽ ٺاهيندا آهيو، توهان بيان ڪري سگهو ٿا ته سسٽم تخليق ۽ ٺهڪندڙ لاء ڊائريڪٽريون ٺاهي. file سيٽ ڪريو، ۽ پيدا ڪريو file خودڪار طريقي سان مقرر ڪري ٿو. جيڪڏھن توھان منتخب ڪريو Simulation يا Synthesis تحت Exampلي ڊزائن Fileايس تي Exampلي ڊيزائنز ٽيب، سسٽم هڪ مڪمل تخليق ٺاهي ٿو file سيٽ يا هڪ مڪمل synthesis file سيٽ، توهان جي چونڊ جي مطابق.

Synthesis Design Example
سنٿيسز ڊيزائن Exampهيٺ ڏنل شڪل ۾ ڏيکاريل مکيه بلاڪ تي مشتمل آهي.

  • هڪ ٽريفڪ جنريٽر، جيڪو هڪ synthesizable Avalon®-MM example ڊرائيور جيڪو پتا جي پيٽرولر ٿيل نمبر تي پڙهڻ ۽ لکڻ جو هڪ pseudo-random نمونو لاڳو ڪري ٿو. ٽريفڪ جنريٽر پڻ ميموري مان پڙهيل ڊيٽا کي مانيٽر ڪري ٿو انهي کي يقيني بڻائڻ لاءِ ته اهو لکيل ڊيٽا سان ملي ٿو ۽ ٻي صورت ۾ ناڪامي جو اثبات ڪري ٿو.
  • ميموري انٽرفيس جو هڪ مثال، جنهن ۾ شامل آهن:
    • هڪ ميموري ڪنٽرولر جيڪو وچولي ڪري ٿو Avalon-MM انٽرفيس ۽ AFI انٽرفيس جي وچ ۾.
    • PHY، جيڪو ميموري ڪنٽرولر ۽ خارجي ميموري ڊوائيسز جي وچ ۾ انٽرفيس جي طور تي ڪم ڪري ٿو پڙهڻ ۽ لکڻ جي عملن کي انجام ڏيڻ لاء.

شڪل 7. سنٿيسس ڊيزائن ExampleUG-20219-External-Memory-Interfaces-Intel-Agilex-FPGA-IP-Design-Example-fig-24

نوٽ: جيڪڏهن هڪ يا وڌيڪ PLL شيئرنگ موڊ، ڊي ايل ايل شيئرنگ موڊ، يا او سي ٽي شيئرنگ موڊ پيرا ميٽرس مقرر ڪيا ويا آهن ڪنهن به قيمت تي نه شيئرنگ کان سواءِ، سنٿيسس ڊيزائن اڳample ۾ ٻه ٽرئفڪ جنريٽر/ميموري انٽرفيس جا مثال شامل هوندا. ٻه ٽريفڪ جنريٽر/ميموري انٽرفيس جا مثال صرف شيئر ٿيل PLL/DLL/OCT ڪنيڪشن سان لاڳاپيل آهن جيئن ته پيٽرول سيٽنگون بيان ڪيون ويون آهن. ٽريفڪ جنريٽر/ميموري انٽرفيس جا مثال ظاهر ڪن ٿا ته توهان پنهنجي ڊزائن ۾ اهڙا ڪنيڪشن ڪيئن ٺاهي سگهو ٿا.

Simulation Design Example
تخليقي ڊيزائن Example هيٺ ڏنل شڪل ۾ ڏيکاريل مکيه بلاڪ تي مشتمل آهي.

  • سنٿيسس ڊيزائن جو هڪ مثال مثال طورampلي. جيئن اڳئين حصي ۾ بيان ڪيو ويو آهي، سنٿيسس ڊيزائن اڳوڻيample تي مشتمل آهي ٽرئفڪ جنريٽر، حساب ڪتاب جو حصو، ۽ ميموري انٽرفيس جو هڪ مثال. اهي بلاڪ ڊفالٽ خلاصي سميوليشن ماڊل لاءِ جتي تيز تخليق لاءِ مناسب هجن.
  • هڪ ميموري ماڊل، جيڪو عام نموني طور ڪم ڪري ٿو جيڪو ميموري پروٽوڪول جي وضاحتن تي عمل ڪري ٿو. گهڻو ڪري، ميموري وينڊرز انهن جي مخصوص ياداشت جي اجزاء لاء تخليقي ماڊل مهيا ڪن ٿا جيڪي توهان انهن مان ڊائون لوڊ ڪري سگهو ٿا. webسائيٽون.
  • هڪ اسٽيٽس چيڪر، جيڪو ٻاهرين ميموري انٽرفيس IP ۽ ٽريفڪ جنريٽر مان اسٽيٽس سگنلن کي مانيٽر ڪري ٿو، مجموعي پاس يا ناڪام حالت کي سگنل ڏيڻ لاءِ.

شڪل 10. سموليشن ڊيزائن ExampleUG-20219-External-Memory-Interfaces-Intel-Agilex-FPGA-IP-Design-Example-fig-25

Exampلي ڊيزائن انٽرفيس ٽيب
پيرا ميٽر ايڊيٽر ۾ شامل آهي Example Designs ٽيب جيڪو توهان کي اجازت ڏئي ٿو ته توهان جي ڊيزائن کي ترتيب ڏيڻ ۽ پيدا ڪرڻ جي examples.

خارجي ميموري انٽرفيس Intel Agilex FPGA IP ڊيزائن Exampلي يوزر گائيڊ آرڪائيوز

IP ورزن ساڳيا آهن جيئن Intel Quartus Prime Design Suite سافٽ ويئر ورجن v19.1 تائين. Intel Quartus Prime Design Suite سافٽ ويئر ورزن 19.2 يا بعد ۾، IPs وٽ ھڪڙو نئون IP ورزننگ اسڪيم آھي. جيڪڏهن هڪ IP ڪور نسخو درج نه ڪيو ويو آهي، اڳوڻي IP ڪور ورزن لاء صارف گائيڊ لاڳو ٿئي ٿو.

IP ڪور نسخو استعمال ڪندڙ ھدايت
2.4.0 خارجي ميموري انٽرفيس Intel Agilex FPGA IP ڊيزائن Exampلي يوزر گائيڊ آرڪائيوز
2.3.0 خارجي ميموري انٽرفيس Intel Agilex FPGA IP ڊيزائن Exampلي يوزر گائيڊ آرڪائيوز
2.3.0 خارجي ميموري انٽرفيس Intel Agilex FPGA IP ڊيزائن Exampلي يوزر گائيڊ آرڪائيوز
2.1.0 خارجي ميموري انٽرفيس Intel Agilex FPGA IP ڊيزائن Exampلي يوزر گائيڊ آرڪائيوز
19.3 خارجي ميموري انٽرفيس Intel Agilex FPGA IP ڊيزائن Exampلي يوزر گائيڊ آرڪائيوز

خارجي ميموري انٽرفيس لاءِ دستاويز جي نظرثاني جي تاريخ Intel Agilex FPGA IP ڊيزائن Exampلي يوزر گائيڊ

دستاويزي نسخو Intel Quartus Prime نسخو IP نسخو تبديليون
2021.06.21 21.2 2.4.2 ۾ ڊيزائن Exampجلد شروع ڪريو باب:

• ڏانهن هڪ نوٽ شامل ڪيو ويو Intel Agilex EMIF Design Ex. کي گڏ ڪرڻ ۽ پروگرام ڪرڻample موضوع.

• جي عنوان کي تبديل ڪيو ڊيزائن ٺاهڻ Example Calibration Debug آپشن سان موضوع.

• شامل ڪيو ويو ڊيزائن ٺاهڻ Example TG ترتيب جي اختيار سان ۽ ھڪڙي ڊيزائن ۾ ٽرئفڪ جنريٽر کي فعال ڪرڻ Example موضوع.

• تبديل ٿيل مرحلا 2، 3، ۽ 4، ڪيترن ئي انگن اکرن کي اپڊيٽ ڪيو، ۽ هڪ نوٽ شامل ڪيو، ڊيزائن استعمال ڪندي Example EMIF Debug Toolkit سان موضوع.

2021.03.29 21.1 2.4.0 ۾ ڊيزائن Exampجلد شروع ڪريو باب:

• ڏانهن هڪ نوٽ شامل ڪيو ويو ٺاھڻ جي قابل EMIF ڊيزائن Example ۽ EMIF ڊيزائن ٺاهي رهيو آهي Example Simulation لاءِ موضوع.

• اپڊيٽ ڪيو File ۾ ساخت جو خاڪو EMIF ڊيزائن ٺاهي رهيو آهي Example Simulation لاءِ موضوع.

2020.12.14 20.4 2.3.0 ۾ ڊيزائن Exampجلد شروع ڪريو باب، ھيٺيون تبديليون ڪيون:

• اپڊيٽ ڪيو ٺاھڻ جي قابل EMIF ڊيزائن Example ملٽي EMIF ڊيزائن شامل ڪرڻ لاءِ موضوع.

• قدم 3 لاءِ انگ اکر اپڊيٽ ڪيو، ۾ EMIF ڊيزائن ٺاهي رهيو آهي Example Simulation لاءِ موضوع.

2020.10.05 20.3 2.3.0 ۾ ڊيزائن Exampجلد شروع ڪرڻ جي گائيڊ باب، ھيٺيون تبديليون ڪيون:

• ۾ EMIF پروجيڪٽ ٺاهڻاپڊيٽ ڪيو ويو تصوير کي قدم 6 ۾.

• ۾ ٺاھڻ جي قابل EMIF ڊيزائن Exampleقدم 3 ۾ انگن اکرن کي اپڊيٽ ڪيو.

• ۾ EMIF ڊيزائن ٺاهي رهيو آهي Example Simulation لاءِقدم 3 ۾ انگن اکرن کي اپڊيٽ ڪيو.

• ۾ سموليشن بمقابله هارڊويئر لاڳو ڪرڻ، ٻي ٽيبل ۾ هڪ معمولي ٽائيپ کي درست ڪيو.

• ۾ ڊيزائن استعمال ڪندي Example EMIF Debug Toolkit سان, تبديل ٿيل قدم 6، شامل ڪيل مرحلا 7 ۽ 8.

جاري رهيو…
دستاويزي نسخو Intel Quartus Prime نسخو IP نسخو تبديليون
2020.04.13 20.1 2.1.0 • ۾ بابت باب، ٽيبل ۾ ترميم ڪئي وئي

معلومات جاري ڪريو موضوع.

• ۾ ڊيزائن Exampجلد شروع ڪرڻ جي گائيڊ

باب:

- تبديل ٿيل قدم 7 ۽ لاڳاپيل تصوير، ۾ ٺاھڻ جي قابل EMIF ڊيزائن Example موضوع.

- تبديل ٿيل ڊيزائن ٺاهڻ Exampڊيبگ آپشن سان موضوع.

- تبديل ٿيل ڊيزائن استعمال ڪندي Example EMIF Debug Toolkit سان موضوع.

2019.12.16 19.4 2.0.0 • ۾ ڊيزائن Exampجلد شروع ڪريو باب:

- جي قدم 6 ۾ تصوير کي اپڊيٽ ڪيو

EMIF پروجيڪٽ ٺاهڻ موضوع.

- جي قدم 4 ۾ تصوير کي اپڊيٽ ڪيو ٺاھڻ جي قابل EMIF ڊيزائن Example موضوع.

- جي قدم 4 ۾ تصوير کي اپڊيٽ ڪيو EMIF ڊيزائن ٺاهي رهيو آهي Example Simulation لاءِ موضوع.

- تبديل ٿيل قدم 5 ۾ EMIF ڊيزائن ٺاهي رهيو آهي Example Simulation لاءِ موضوع.

- تبديل ٿيل جنرل پن هدايتون ۽ ڀرسان بئنڪون جا حصا Intel Agilex EMIF IP لاءِ پن لڳائڻ موضوع.

2019.10.18 19.3   • ۾ EMIF پروجيڪٽ ٺاهڻ موضوع، تصوير کي پوائنٽ 6 سان اپڊيٽ ڪيو.

• ۾ EMIF IP ٺاهي ۽ ترتيب ڏيڻ

موضوع، انگ اکر کي قدم 1 سان اپڊيٽ ڪيو.

• ۾ ٽيبل ۾ Intel Agilex EMIF Parameter Editor Guidelines موضوع، جي وضاحت کي تبديل ڪيو بورڊ ٽيب.

• ۾ ٺاھڻ جي قابل EMIF ڊيزائن Example ۽ EMIF ڊيزائن ٺاهي رهيو آهي Example Simulation لاءِ عنوان، هر موضوع جي قدم 3 ۾ تصوير کي اپڊيٽ ڪيو.

• ۾ EMIF ڊيزائن ٺاهي رهيو آهي Example Simulation لاءِ موضوع، اپڊيٽ ڪيو ويو ٺاهيل سموليشن ڊيزائن Example File ساخت انگ اکر جي پٺيان نوٽ کي تبديل ڪيو ۽ تبديل ڪيو.

• ۾ ٺاھڻ جي قابل EMIF ڊيزائن Example موضوع، ڪيترن ئي انٽرفيس لاءِ هڪ قدم ۽ هڪ انگ شامل ڪيو.

2019.07.31 19.2 1.2.0 • شامل ڪيو ويو خارجي ميموري انٽرفيس بابت Intel Agilex FPGA IP باب ۽ ڇڏڻ جي معلومات.

• اپڊيٽ ٿيل تاريخون ۽ نسخو نمبر.

• کي معمولي واڌارو Synthesis Design Example شڪل ۾ Synthesis Design Example موضوع.

2019.04.02 19.1   • شروعاتي ڇڏڻ.

خارجي ميموري انٽرفيس لاءِ دستاويز جي نظرثاني جي تاريخ Intel Agilex FPGA IP ڊيزائن Exampلي يوزر گائيڊ

دستاويز / وسيلا

انٽيل UG-20219 خارجي ميموري انٽرفيس Intel Agilex FPGA IP ڊيزائن Example [pdf] استعمال ڪندڙ ھدايت
UG-20219 خارجي ميموري انٽرفيس Intel Agilex FPGA IP ڊيزائن Example، UG-20219، خارجي ياداشت جا انٽرفيس Intel Agilex FPGA IP ڊيزائن Example، Interfaces Intel Agilex FPGA IP ڊيزائن Example، Agilex FPGA IP ڊيزائن Example

حوالو

تبصرو ڇڏي ڏيو

توهان جو اي ميل پتو شايع نه ڪيو ويندو. گهربل فيلڊ نشان لڳل آهن *