Intel-suaicheantas

UG-20219 Eadar-aghaidh Cuimhne Taobh a-muigh Intel Agilex FPGA IP Design Example

UG-20219-Taobh a-muigh-Cuimhne-Eadar-aghaidh-Intel-Agilex-FPGA-IP-Design-Example-toradh Mu na h-Eadar-aghaidh Cuimhne Taobh a-muigh Intel® Agilex™ FPGA IP

Fiosrachadh Sgaoileadh

Tha dreachan IP an aon rud ri dreachan bathar-bog Intel® Quartus® Prime Design Suite suas gu v19.1. Bho dreach bathar-bog Intel Quartus Prime Design Suite 19.2 no nas fhaide air adhart, tha sgeama tionndadh IP ùr aig coraichean IP. Bidh an àireamh sgeama tionndadh IP (XYZ) ag atharrachadh bho aon dreach bathar-bog gu dreach eile. Atharrachadh ann an:

  • Tha X a’ comharrachadh ath-sgrùdadh mòr air an IP. Ma dh’ ùraicheas tu am bathar-bog Intel Quartus Prime agad, feumaidh tu an IP ath-nuadhachadh.
  • Tha Y a’ comharrachadh gu bheil feartan ùra aig an IP. Ath-nuadhaich an IP agad gus na feartan ùra sin a thoirt a-steach.
  • Tha Z a’ comharrachadh gu bheil an IP a’ toirt a-steach atharrachaidhean beaga. Ath-nuadhaich an IP agad gus na h-atharrachaidhean sin a ghabhail a-steach.
    Tuairisgeul
    Tionndadh IP 2.4.2
    Intel Quartus Prìomh 21.2
    Ceann-latha sgaoilidh 2021.06.21

Dealbhadh Example Iùl tòiseachaidh luath airson eadar-aghaidh cuimhne taobh a-muigh Intel Agilex ™ FPGA IP

Dealbhadh fèin-ghluasadach examptha sruth ri fhaighinn airson eadar-aghaidh cuimhne taobh a-muigh Intel Agilex ™. Tha an Generate Example Designs putan air an Example Designs tab a’ toirt cothrom dhut an dealbhadh synthesis agus atharrais a shònrachadh agus a ghineadh example file seataichean as urrainn dhut a chleachdadh gus an IP EMIF agad a dhearbhadh. Faodaidh tu dealbhadh example sin a rèir pasgan leasachaidh Intel FPGA, no airson IP EMIF sam bith a ghineas tu. Faodaidh tu an dealbhadh example gus do mheasadh a chuideachadh, no mar thoiseach tòiseachaidh don t-siostam agad fhèin.

Dealbhadh Coitcheann Example Sruth-obrachUG-20219-Taobh a-muigh-Cuimhne-Eadar-aghaidh-Intel-Agilex-FPGA-IP-Design-Example-fig-1

A 'cruthachadh pròiseact EMIF

Airson an dreach bathar-bog Intel Quartus Prime 17.1 agus nas fhaide air adhart, feumaidh tu pròiseact Intel Quartus Prime a chruthachadh mus cruthaich thu an EMIF IP agus dealbhadh ex.ample.

  1. Cuir air bhog am bathar-bog Intel Quartus Prime agus tagh File ➤ Draoidh pròiseict Ùr. Cliog Air adhart . Dealbhadh Example Iùl tòiseachaidh luath airson eadar-aghaidh cuimhne taobh a-muigh Intel Agilex ™ FPGA IP
  2. Sònraich eòlaire ( ), ainm airson pròiseact Intel Quartus Prime ( ), agus ainm eintiteas dealbhaidh àrd-ìre ( ) a tha thu airson a chruthachadh. Cliog Air adhart .UG-20219-Taobh a-muigh-Cuimhne-Eadar-aghaidh-Intel-Agilex-FPGA-IP-Design-Example-fig-3
  3. Dèan cinnteach gu bheil am Pròiseact Falamh air a thaghadh. Cliog air Next dà uair.UG-20219-Taobh a-muigh-Cuimhne-Eadar-aghaidh-Intel-Agilex-FPGA-IP-Design-Example-fig-4
  4. Fo Teaghlach, tagh Intel Agilex.
  5. Fo sìoltachan Ainm, dèan seòrsa àireamh pàirt an uidheim.
  6. Fo Innealan rim faighinn, tagh an inneal iomchaidh.UG-20219-Taobh a-muigh-Cuimhne-Eadar-aghaidh-Intel-Agilex-FPGA-IP-Design-Example-fig-5
  7. Cliog Crìochnaich.

A 'cruthachadh agus a' rèiteachadh an IP EMIF

Tha na ceumannan a leanas a’ sealltainn mar a ghineadh agus a rèiticheas tu an EMIF IP. Bidh an coiseachd seo a’ cruthachadh eadar-aghaidh DDR4, ach tha na ceumannan coltach ri protocolaidhean eile. (Bidh na ceumannan seo a’ leantainn sruth IP Catalog (seasmhach); ma roghnaicheas tu sruth Dealbhadair Àrd-ùrlar (siostam) a chleachdadh na àite, tha na ceumannan coltach.)

  1. Ann an uinneag Catalog IP, tagh Eadar-aghaidh Cuimhne Taobh a-muigh Intel Agilex FPGA IP. (Mura bheil an uinneag Catalog IP ri fhaicinn, tagh View ➤ Catalog IP.)UG-20219-Taobh a-muigh-Cuimhne-Eadar-aghaidh-Intel-Agilex-FPGA-IP-Design-Example-fig-6
  2. Anns an Deasaiche Parameter IP, thoir seachad ainm eintiteas airson an EMIF IP (bidh an t-ainm a bheir thu seachad an seo gu bhith na file ainm airson an IP) agus sònraich eòlaire. Cliog Cruthaich.UG-20219-Taobh a-muigh-Cuimhne-Eadar-aghaidh-Intel-Agilex-FPGA-IP-Design-Example-fig-7
  3. Tha grunn tabaichean aig an deasaiche paramadair far am feum thu paramadairean a rèiteachadh gus do bhuileachadh EMIF a nochdadh.

Stiùireadh Deasaiche Parameter Intel Agilex EMIF
Tha an cuspair seo a 'toirt seachad stiùireadh àrd-ìre airson parameter a dhèanamh air na tabaichean ann an deasaiche paramadair Intel Agilex EMIF IP.

Clàr 1. Stiùireadh Deasaiche Parameter EMIF

Deasaiche Parameter Tab Stiùiridhean
Coitcheann Dèan cinnteach gu bheil na paramadairean a leanas air an cur a-steach gu ceart:

• An ìre astar airson an inneal.

• Tha tricead cloc cuimhne.

• Tricead cloc iomraidh PLL.

Cuimhne • Thoir sùil air an duilleag dàta airson an inneal cuimhne agad a dhol a-steach do na paramadairean air an Cuimhne tab.

• Bu chòir dhut cuideachd àite sònraichte a chur a-steach airson prìne ALERT#. (A’ buntainn ri protocol cuimhne DDR4 a-mhàin.)

Mem I/O • Airson rannsachaidhean pròiseict tùsail, faodaidh tu na roghainnean bunaiteach a chleachdadh air an

Mem I/O tab.

• Airson dearbhadh dealbhaidh adhartach, bu chòir dhut atharrais bùird a dhèanamh gus na roghainnean crìochnachaidh as fheàrr fhaighinn.

FPGA I/O • Airson rannsachaidhean pròiseict tùsail, faodaidh tu na roghainnean bunaiteach a chleachdadh air an

FPGA I/O tab.

• Airson dearbhadh dealbhaidh adhartach, bu chòir dhut atharrais bùird a dhèanamh le modalan IBIS co-cheangailte riutha gus inbhean I/O iomchaidh a thaghadh.

Clàr-ama Mem • Airson rannsachaidhean pròiseict tùsail, faodaidh tu na roghainnean bunaiteach a chleachdadh air an

Clàr-ama Mem tab.

• Airson dearbhadh dealbhaidh adhartach, bu chòir dhut crìochan a chur a-steach a rèir duilleag dàta an inneal cuimhne agad.

Rianadair Suidhich crìochan an rianadair a rèir an rèiteachaidh agus an giùlan a tha thu ag iarraidh airson do rianadair cuimhne.
Diagnosachd Faodaidh tu na paramadairean a chleachdadh air an Diagnosachd tab gus cuideachadh le bhith a’ dèanamh deuchainn agus a’ dì-bhugachadh an eadar-aghaidh cuimhne agad.
Example Designs Tha an Example Designs Leigidh taba leat dealbhadh examples airson synthesis agus airson atharrais. Tha an dealbhadh a chaidh a chruthachadh example na shiostam EMIF iomlan anns a bheil an EMIF IP agus draibhear a ghineas trafaic air thuaiream gus an eadar-aghaidh cuimhne a dhearbhadh.

Airson fiosrachadh mionaideach mu pharaimearan fa leth, thoir sùil air a’ chaibideil iomchaidh airson do phròtacal cuimhne anns an Stiùireadh Cleachdaiche IP Intel Agilex FPGA Interfaces Taobh a-muigh.

A’ gineadh an Synthesizable EMIF Design Example

Airson pasgan leasachaidh Intel Agilex, tha e gu leòr a’ mhòr-chuid de na roghainnean IP Intel Agilex EMIF fhàgail aig na luachan bunaiteach aca. Gus an dealbhadh synthesizable a ghineadh example, lean na ceumannan seo:

  1. Air an Example Designs tab, dèan cinnteach gu bheil am bogsa Synthesis air a sgrùdadh.
    • Ma tha thu a’ cur an gnìomh aon eadar-aghaidh example dealbhadh, rèiteachadh an EMIF IP agus cliog File➤ Sàbhail gus an suidheachadh gnàthach a shàbhaladh a-steach don atharrachadh IP cleachdaiche file ( .ip).UG-20219-Taobh a-muigh-Cuimhne-Eadar-aghaidh-Intel-Agilex-FPGA-IP-Design-Example-fig-13
      • Ma tha thu a’ cur an gnìomh example dealbhadh le ioma eadar-aghaidh, sònraich Àireamh IPs ris an àireamh de eadar-aghaidh a tha thu ag iarraidh. Chì thu an àireamh iomlan de ID EMIF co-ionann ris an àireamh IP a chaidh a thaghadh. Lean na ceumannan seo gus gach eadar-aghaidh a rèiteachadh:
    •  Tagh an Cal-IP gus ceangal an eadar-aghaidh ris an IP Calibration a shònrachadh.
    • Dèan rèiteachadh air an EMIF IP a rèir sin anns a h-uile tab deasaiche paramadair.
    • Fill ar ais go Example Design tab agus cliog air Glac air an ID EMIF a tha thu ag iarraidh.
    • Dèan ceum a gu c a-rithist airson a h-uile ID EMIF.
    • Faodaidh tu briogadh air a’ phutan Soilleir gus na paramadairean a chaidh a ghlacadh a thoirt air falbh agus ceum a gu c ath-aithris gus atharrachaidhean a dhèanamh air an EMIF IP.
    • Cliog File➤ Sàbhail gus an suidheachadh gnàthach a shàbhaladh a-steach don atharrachadh IP cleachdaiche file ( .ip).UG-20219-Taobh a-muigh-Cuimhne-Eadar-aghaidh-Intel-Agilex-FPGA-IP-Design-Example-fig-9
  2. Cliog air Generate Example Dealbhadh anns an oisean gu h-àrd air an làimh dheis den uinneig.UG-20219-Taobh a-muigh-Cuimhne-Eadar-aghaidh-Intel-Agilex-FPGA-IP-Design-Example-fig-10
  3. Sònraich eòlaire airson dealbhadh EMIF example agus cliog air OK. Ginealach soirbheachail de dhealbhadh EMIF example a 'cruthachadh na leanas filesuidhichte fo eòlaire qii.UG-20219-Taobh a-muigh-Cuimhne-Eadar-aghaidh-Intel-Agilex-FPGA-IP-Design-Example-fig-11
  4. Cliog File ➤ Gabh a-mach gus an uinneag IP Parameter Editor Pro fhàgail. Tha an siostam a’ brosnachadh, Cha deach atharrachaidhean o chionn ghoirid a chruthachadh. Cruthaich a-nis? Cliog Chan eil gus leantainn air adhart leis an ath shruth.
  5. Gus an example dealbhadh, cliog File ➤ Pròiseact Fosgailte, agus seòladh chun an /ample_name>/qii/ed_synth.qpf agus briog air Open.
    Thoir an aire: Airson fiosrachadh mu bhith a’ cur ri chèile agus a’ prògramadh an dealbhadh example, thoir iomradh air
    A’ cur ri chèile agus a’ prògramadh an Intel Agilex EMIF Design Example.

Figear 4. Dealbhadh Synthesizable air a ghineadh Example File Structar

UG-20219-Taobh a-muigh-Cuimhne-Eadar-aghaidh-Intel-Agilex-FPGA-IP-Design-Example-fig-12

Airson fiosrachadh mu bhith a’ togail siostam le dà eadar-aghaidh cuimhne taobh a-muigh no barrachd, thoir sùil air Creating a Design Example ioma-eadar-aghaidh EMIF, anns an Eadar-aghaidh Cuimhne Taobh a-muigh Stiùireadh Cleachdaiche IP Intel Agilex FPGA. Airson fiosrachadh mu bhith a’ debugging ioma-eadar-aghaidh, thoir sùil air A’ comasachadh Inneal EMIF ann an Dealbhadh a tha ann mar-thà, anns an Eadar-aghaidh Cuimhne Taobh a-muigh Stiùireadh Cleachdaiche IP Intel Agilex FPGA.

Thoir an aire: Mura tagh thu am bogsa sgrùdaidh Simulation or Synthesis, chan eil anns an eòlaire cinn-uidhe ach dealbhadh Dealbhaiche Àrd-ùrlar files, nach eil air an cur ri chèile le bathar-bog Intel Quartus Prime gu dìreach, ach as urrainn dhut view no deasaich anns an Dealbhadair Àrd-ùrlar. Anns an t-suidheachadh seo faodaidh tu na h-òrdughan a leanas a ruith gus synthesis agus atharrais a ghineadh file seataichean.

  • Gus pròiseact so-dhèanta a chruthachadh, feumaidh tu an quartus_sh -t make_qii_design.tclscript a ruith anns an eòlaire cinn-uidhe.
  • Gus pròiseact atharrais a chruthachadh, feumaidh tu an sgriobt quartus_sh -t make_sim_design.tcl a ruith anns an eòlaire cinn-uidhe.

Thoir an aire: Ma tha thu air dealbhadh example agus an uairsin dèan atharrachaidhean air anns an deasaiche paramadair, feumaidh tu an dealbhadh ath-nuadhachadh example gus na h-atharrachaidhean agad fhaicinn air an cur an gnìomh. Tha an dealbhadh ùr-nodha exampchan eil le a’ sgrìobhadh thairis air an dealbhadh gnàthaichte example files.

A’ cruthachadh an EMIF Design Example airson Simulation

Airson pasgan leasachaidh Intel Agilex, tha e gu leòr a’ mhòr-chuid de na roghainnean IP Intel Agilex EMIF fhàgail aig na luachan bunaiteach aca. Gus an dealbhadh example airson atharrais, lean na ceumannan seo:

  1. Air an Example Designs tab, dèan cinnteach gu bheil am bogsa Simulation air a sgrùdadh. Cuideachd tagh an cruth Simulation HDL a tha a dhìth, an dàrna cuid Verilog no VHDL.
  2. Dèan rèiteachadh air an EMIF IP agus cliog File ➤ Sàbhail gus an suidheachadh gnàthach a shàbhaladh a-steach don atharrachadh IP cleachdaiche file ( .ip).
  3. Cliog air Generate Example Dealbhadh anns an oisean gu h-àrd air an làimh dheis den uinneig.
  4. Sònraich eòlaire airson dealbhadh EMIF example agus cliog air OK. Ginealach soirbheachail de dhealbhadh EMIF example a 'cruthachadh ioma file seataichean airson diofar simuladairean le taic, fo eòlaire sim / ed_sim.
  5. Cliog File ➤ Gabh a-mach gus an uinneag IP Parameter Editor Pro fhàgail. Tha an siostam a’ brosnachadh, Cha deach atharrachaidhean o chionn ghoirid a chruthachadh. Cruthaich a-nis? Cliog Chan eil gus leantainn air adhart leis an ath shruth.

Dealbhadh Samhlachaidh air a ghineadh Example File StructarUG-20219-Taobh a-muigh-Cuimhne-Eadar-aghaidh-Intel-Agilex-FPGA-IP-Design-Example-fig-15

Thoir an aire: Tha an Eadar-aghaidh Cuimhne Taobh a-muigh Intel Agilex FPGA IP an-dràsta a’ toirt taic do dìreach na simuladairean VCS, ModelSim / QuestaSim, agus Xcelium. Tha taic simuladair a bharrachd san amharc ann an fiosan san àm ri teachd.

Thoir an aire: Mura tagh thu am bogsa sgrùdaidh Simulation or Synthesis, chan eil anns an eòlaire cinn-uidhe ach dealbhadh Dealbhaiche Àrd-ùrlar files, nach eil air an cur ri chèile le bathar-bog Intel Quartus Prime gu dìreach, ach as urrainn dhut view no deasaich anns an Dealbhadair Àrd-ùrlar. Anns an t-suidheachadh seo faodaidh tu na h-òrdughan a leanas a ruith gus synthesis agus atharrais a ghineadh file seataichean.

  • Gus pròiseact so-dhèanta a chruthachadh, feumaidh tu an sgriobt quartus_sh -t make_qii_design.tcl a ruith anns an eòlaire cinn-uidhe.
  • Gus pròiseact atharrais a chruthachadh, feumaidh tu an sgriobt quartus_sh -t make_sim_design.tcl a ruith anns an eòlaire cinn-uidhe.

Thoir an aire: Ma tha thu air dealbhadh example agus an uairsin dèan atharrachaidhean air anns an deasaiche paramadair, feumaidh tu an dealbhadh ath-nuadhachadh example gus na h-atharrachaidhean agad fhaicinn air an cur an gnìomh. Tha an dealbhadh ùr-nodha exampchan eil le a’ sgrìobhadh thairis air an dealbhadh gnàthaichte example files.

Samhlachadh an aghaidh Buileachadh Bathar-cruaidh
Airson atharrais eadar-aghaidh cuimhne taobh a-muigh, faodaidh tu an dàrna cuid sgiobadh calibration no làn calibration a thaghadh air an taba Diagnostics rè gineadh IP.

Modailean atharrais EMIF
Tha an clàr seo a’ dèanamh coimeas eadar feartan calibration sgiob agus modalan làn calibration.

Clàr 2. Modalan Simulation EMIF: Skip Calibration versus Full Calibration

Skip Calibration Calibration slàn
Samhlachadh ìre siostam le fòcas air loidsig luchd-cleachdaidh. Samhlachadh eadar-aghaidh cuimhne le fòcas air calibration.
Chan eil mion-fhiosrachadh calibration air a ghlacadh. A 'glacadh a h-uile stages de calibration.
Tha comas aige dàta a stòradh agus fhaighinn air ais. A’ toirt a-steach ìreachadh, deasg per-bit, msaa.
A’ riochdachadh èifeachdas ceart.
Chan eil e a’ beachdachadh air skew bùird.

Samhlachadh RTL an aghaidh Buileachadh Bathar-cruaidh
Tha an clàr seo a’ sealltainn prìomh eadar-dhealachaidhean eadar atharrais EMIF agus buileachadh bathar-cruaidh.

Clàr 3. EMIF RTL Simulation Versus Bathar-cruaidh Gnìomhachadh

Samhlachadh RTL Cur an gnìomh Bathar-cruaidh
Bidh còd tòiseachaidh agus calibration Nios® a’ dol an gnìomh aig an aon àm. Bidh còd tòiseachaidh agus calibration Nios a’ dol an gnìomh ann an òrdugh.
Bidh eadar-aghaidh a’ dearbhadh comharra cal_done aig an aon àm ann an atharrais. Bidh gnìomhachd uidheamachd a’ dearbhadh òrdugh calibration, agus chan eil eadar-aghaidh a’ dearbhadh cal_done aig an aon àm.

Bu chòir dhut samhlaidhean RTL a ruith stèidhichte air pàtrain trafaic airson tagradh an dealbhaidh agad. Thoir an aire nach eil atharrais RTL a’ modaladh dàil lorg PCB a dh’ fhaodadh eadar-dhealachadh ann an latency eadar atharrais RTL agus buileachadh bathar-cruaidh.

 A’ dèanamh atharrais air IP eadar-aghaidh cuimhne taobh a-muigh le ModelSim
Tha am modh-obrach seo a’ sealltainn mar a nì thu atharrais air dealbhadh EMIF example.

  1. Cuir air bhog am bathar-bog Mentor Graphics * ModelSim agus tagh File ➤ Atharraich eòlaire. Seòl chun an eòlaire sim / ed_sim / mentor taobh a-staigh an dealbhadh a chaidh a chruthachadh example pasgan.
  2. Dèan cinnteach gu bheil an uinneag Ath-sgrìobhaidh air a thaisbeanadh aig bonn na sgrìn. Mura h-eil an uinneag Ath-sgrìobhaidh ri fhaicinn, seall e le bhith a’ briogadh View ➤ Tar-sgrìobhadh.
  3. Anns an uinneag Ath-sgrìobhaidh, ruith source msim_setup.tcl.
  4. Às deidh an stòr msim_setup.tcl a chrìochnachadh, ruith ld_debug ann an uinneag an Tar-sgrìobhaidh.
  5. Às deidh ld_debug crìochnachadh, dearbhaich gu bheil an uinneag Rudan air a thaisbeanadh. Mura h-eil uinneag nan Rudan ri fhaicinn, seall e le bhith a’ briogadh View ➤ Rudan.
  6. Anns an uinneag Rudan, tagh na comharran a tha thu airson a shamhlachadh le bhith a’ briogadh deas agus a’ taghadh Add Wave.
  7. Às deidh dhut crìoch a chuir air taghadh nan comharran airson atharrais, cuir an gnìomh run -all ann an uinneag an Tar-sgrìobhaidh. Bidh an atharrais a’ ruith gus an tèid a chrìochnachadh.
  8. Mura h-eil an atharrais ri fhaicinn, cliog View ➤ Tonn.

Suidheachadh prìne airson Intel Agilex EMIF IP
Tha an cuspair seo a’ toirt seachad stiùireadh airson suidheachadh prìne.

Thairisview
Tha an structar a leanas aig Intel Agilex FPGAn:

  • Tha suas ri 8 bancaichean I/O anns gach inneal.
  • Tha 2 bhanca fo-I/O anns gach banca I/O.
  • Tha 4 slighean anns gach banca fo-I/O.
  • Anns gach sreath tha 12 prìneachan coitcheann I/O (GPIO).

Stiùireadh Coitcheann Pin
Tha na leanas mar stiùireadh prìne coitcheann.

Thoir an aire: Airson fiosrachadh prìne nas mionaidiche, thoir sùil air an earrann Dealbhadh Pin agus Goireasan IP Intel Agilex FPGA EMIF anns a’ chaibideil a tha sònraichte don phròtacal airson do phròtacal cuimhne taobh a-muigh, anns an Stiùireadh Cleachdaiche IP Intel Agilex FPGA IP Interfaces Taobh a-muigh.

  • Dèan cinnteach gu bheil na prìnichean airson eadar-aghaidh cuimhne taobh a-muigh sònraichte a’ fuireach taobh a-staigh an aon sreath I / O.
  • Feumaidh eadar-aghaidh a tha a’ ruith thairis air grunn bhancaichean na riatanasan a leanas a choileanadh:
    •  Feumaidh na bancaichean a bhith faisg air a chèile. Airson fiosrachadh mu bhancaichean faisg air làimh, thoir sùil air Ailtireachd EMIF: cuspair Banca I/O anns an Eadar-aghaidh Cuimhne Taobh a-muigh Stiùireadh Cleachdaiche IP Intel Agilex FPGA.
  •  Feumaidh a h-uile seòladh agus òrdugh agus prìneachan co-cheangailte a bhith ann an aon fho-bhanca.
  • Faodaidh seòladh agus prìneachan àithne is dàta fo-bhanca a cho-roinn fo na cumhaichean a leanas:
    • Chan urrainn seòladh agus prìneachan àithne is dàta sreath I/O a cho-roinn.
    • Chan fhaod ach prìneachan dàta a bhith ann an sreath I/O nach deach a chleachdadh anns a’ bhanca seòlaidh agus àithne.

Clàr 4. Cuingeadan Pin Coitcheann

Seòrsa Chomharra Cuingealachadh
Strobe dàta Feumaidh a h-uile comharra a bhuineas do bhuidheann DQ fuireach san aon sreath I/O.
Dàta Feumaidh prìneachan DQ co-cheangailte a bhith a’ fuireach san aon sreath I/O. Airson protocolaidhean nach eil a’ toirt taic do loidhnichean dàta dà-thaobhach, bu chòir comharran leughaidh a bhith air an cruinneachadh air leth bho chomharran sgrìobhaidh.
Seòladh agus àithne Feumaidh prìneachan seòlaidh is stiùiridh a bhith a’ fuireach ann an àiteachan ro-mhìnichte taobh a-staigh fo-bhanca I/O.

Thoir an aire: Airson fiosrachadh prìne nas mionaidiche, thoir sùil air an earrann Dealbhadh Pin agus Goireasan IP Intel Agilex FPGA EMIF anns a’ chaibideil a tha sònraichte don phròtacal airson do phròtacal cuimhne taobh a-muigh, anns an Stiùireadh Cleachdaiche IP Intel Agilex FPGA IP Interfaces Taobh a-muigh.

  • Dèan cinnteach gu bheil na prìnichean airson eadar-aghaidh cuimhne taobh a-muigh sònraichte a’ fuireach taobh a-staigh an aon sreath I / O.
  • Feumaidh eadar-aghaidh a tha a’ ruith thairis air grunn bhancaichean na riatanasan a leanas a choileanadh:
    • Feumaidh na bancaichean a bhith faisg air a chèile. Airson fiosrachadh mu bhancaichean faisg air làimh, thoir sùil air Ailtireachd EMIF: cuspair Banca I/O anns an Eadar-aghaidh Cuimhne Taobh a-muigh Stiùireadh Cleachdaiche IP Intel Agilex FPGA.
  • Feumaidh a h-uile seòladh agus òrdugh agus prìneachan co-cheangailte a bhith ann an aon fho-bhanca.
  • Faodaidh seòladh agus prìneachan àithne is dàta fo-bhanca a cho-roinn fo na cumhaichean a leanas:
    • Chan urrainn seòladh agus prìneachan àithne is dàta sreath I/O a cho-roinn.
    • Chan fhaod ach prìneachan dàta a bhith ann an sreath I/O nach deach a chleachdadh anns a’ bhanca seòlaidh agus àithne.

A’ cruthachadh Design Example leis an Roghainn rèiteachaidh TG

Tha an dealbhadh EMIF example a’ toirt a-steach bloc gineadair trafaic (TG). Gu gnàthach, tha an dealbhadh example a’ cleachdadh bloc TG sìmplidh (altera_tg_avl) nach gabh ath-shuidheachadh ach gus pàtran trafaic le còd cruaidh ath-chraoladh. Ma tha feum air, faodaidh tu taghadh gineadair trafaic rèiteachaidh (TG2) a chomasachadh na àite. Anns a’ ghineadair trafaic rèiteachaidh (TG2) (altera_tg_avl_2), faodaidh tu am pàtran trafaic a rèiteachadh ann an àm fìor tro chlàran smachd - a’ ciallachadh nach fheum thu an dealbhadh ath-chruinneachadh gus am pàtran trafaic atharrachadh no ath-chraoladh. Bidh an gineadair trafaic seo a’ toirt seachad deagh smachd air an t-seòrsa trafaic a chuireas e air eadar-aghaidh smachd EMIF. A bharrachd air an sin, tha e a’ toirt seachad clàran inbhe anns a bheil fiosrachadh fàilligeadh mionaideach.

A’ comasachadh an Gineadair Trafaic ann an Dealbhadh Example

Faodaidh tu an gineadair trafaic rèiteachaidh a chomasachadh bhon tab Diagnostics ann an deasaiche paramadair EMIF. Gus an gineadair trafaic rèiteachaidh a chomasachadh, tionndaidh air Cleachd gineadair trafaic Avalon configurable 2.0 air an taba Diagnostics.

Figear 6.UG-20219-Taobh a-muigh-Cuimhne-Eadar-aghaidh-Intel-Agilex-FPGA-IP-Design-Example-fig-16

  • Faodaidh tu roghnachadh am pàtran trafaic bunaiteach a chuir dheth stage no an trafaig air a rèiteachadh leis a' chleachdaiche stage, ach feumaidh co-dhiù aon s a bhith agadtage comasach. Airson fiosrachadh mu na stages, thoir sùil air Pàtran Trafaic Bunaiteach agus Pàtran Trafaic air a rèiteachadh leis a’ chleachdaiche anns an Eadar-aghaidh Cuimhne Taobh a-muigh Stiùireadh Cleachdaiche IP Intel Agilex FPGA.
  • Chan eil paramadair fad deuchainn TG2 a’ buntainn ach ris a’ phàtran trafaic àbhaisteach. Faodaidh tu ùine deuchainn goirid, meadhanach no neo-chrìochnach a thaghadh.
  • faodaidh tu aon de dhà luach a thaghadh airson paramadair Modh Eadar-aghaidh Rèiteachaidh TG2:
    • JTAG: A’ ceadachadh GUI a chleachdadh ann an consol an t-siostaim. Airson tuilleadh fiosrachaidh, thoir sùil air Eadar-aghaidh Rèiteachaidh Gineadair Trafaic anns an Eadar-aghaidh Cuimhne Taobh a-muigh Stiùireadh Cleachdaiche IP Intel Agilex FPGA.
    • Às-mhalairt: A’ ceadachadh loidsig àbhaisteach RTL a chleachdadh gus smachd a chumail air pàtran trafaic.

A’ cleachdadh an Design Example Inneal Debug EMIF

Mus cuir thu air bhog an EMIF Debug Toolkit, dèan cinnteach gu bheil thu air an inneal agad a rèiteachadh le prògramadh file aig a bheil an EMIF Debug Toolkit air a chomasachadh. Gus an EMIF Debug Toolkit a chuir air bhog, lean na ceumannan seo:

  1. Ann am bathar-bog Intel Quartus Prime, fosgail an System Console le bhith a’ taghadh Innealan ➤ Innealan Debugging an t-Siostaim ➤ Console System.
  2. [Leum air a’ cheum seo ma tha am pròiseact agad fosgailte mu thràth ann am bathar-bog Intel Quartus Prime.] Ann an System Console, luchdaich an nì SRAM file (.sof) leis an do rinn thu prògramadh air a’ bhòrd (mar a chaidh a mhìneachadh ann an Ro-ghoireasan airson Inneal Debug EMIF a chleachdadh, anns an Eadar-aghaidh Cuimhne Taobh a-muigh Stiùireadh Cleachdaiche IP Intel Agilex FPGA).
  3. Tagh eisimpleirean airson dì-bhugachadh.
  4. Tagh Inneal Debug Calibration EMIF airson debugging calibration EMIF, mar a chaidh a mhìneachadh ann an Generating a Design Example leis an Roghainn Calibration Debug. Air neo, tagh Inneal rèiteachaidh EMIF TG airson debugging gineadair trafaic, mar a chaidh a mhìneachadh ann an Gineadh Dealbhadh Example leis an Roghainn rèiteachaidh TG.
  5. Briog air Open Toolkit gus am prìomh fhosgladh view de dh'Inneal Debug EMIF.UG-20219-Taobh a-muigh-Cuimhne-Eadar-aghaidh-Intel-Agilex-FPGA-IP-Design-Example-fig-17UG-20219-Taobh a-muigh-Cuimhne-Eadar-aghaidh-Intel-Agilex-FPGA-IP-Design-Example-fig-18
  6. Ma tha grunn eisimpleirean EMIF anns an dealbhadh prògramaichte, tagh an colbh (slighe gu JTAG maighstir) agus ID eadar-aghaidh cuimhne den eisimpleir EMIF airson an inneal a chuir an gnìomh.UG-20219-Taobh a-muigh-Cuimhne-Eadar-aghaidh-Intel-Agilex-FPGA-IP-Design-Example-fig-19
  7. Cliog air Activate Interface gus leigeil leis an inneal paramadairean eadar-aghaidh agus inbhe calibration a leughadh.UG-20219-Taobh a-muigh-Cuimhne-Eadar-aghaidh-Intel-Agilex-FPGA-IP-Design-Example-fig-20
  8. Feumaidh tu aon eadar-aghaidh a dhì-cheadachadh aig aon àm; mar sin, gus ceangal ri eadar-aghaidh eile san dealbhadh, feumaidh tu an eadar-aghaidh gnàthach a chuir dheth.

Tha na leanas exampnas lugha de aithisgean bho Inneal Deasbaid Calibration EMIF agus Inneal rèiteachaidh EMIF TG :, fa leth.UG-20219-Taobh a-muigh-Cuimhne-Eadar-aghaidh-Intel-Agilex-FPGA-IP-Design-Example-fig-22UG-20219-Taobh a-muigh-Cuimhne-Eadar-aghaidh-Intel-Agilex-FPGA-IP-Design-Example-fig-23

Thoir an aire: Airson mion-fhiosrachadh mu dhì-bhugachadh calibration, thoir sùil air Debugging leis an Inneal Debug Eadar-aghaidh Cuimhne Taobh a-muigh, anns an Eadar-aghaidh Cuimhne Taobh a-muigh Stiùireadh Cleachdaiche IP Intel Agilex FPGA.

Thoir an aire: Airson mion-fhiosrachadh mu dhì-bhugachadh gineadair trafaic, thoir sùil air Eadar-aghaidh Cleachdaiche Rèiteachadh Gineadair Trafaic, anns an Eadar-aghaidh Cuimhne Taobh a-muigh Stiùireadh Cleachdaiche IP Intel Agilex FPGA.

Dealbhadh Example Tuairisgeul airson Eadar-aghaidh Cuimhne Taobh a-muigh Intel Agilex FPGA IP

Nuair a nì thu parameter agus gineadh an IP EMIF agad, faodaidh tu sònrachadh gu bheil an siostam a’ cruthachadh chlàran airson atharrais agus synthesis file suidhich, agus cruthaich an file suidhich gu fèin-ghluasadach. Ma thaghas tu Simulation no Synthesis fo Example Dealbhadh Files air an Example Designs tab, bidh an siostam a’ cruthachadh atharrais iomlan file seata no synthesis iomlan file suidhichte, a rèir do thaghadh.

Dealbhadh Synthesis Example
Tha an dealbhadh synthesis example tha na prìomh bhlocaichean a chithear san fhigear gu h-ìosal.

  • Gineadair trafaic, a tha na Avalon®-MM example draibhear a chuireas an gnìomh pàtran meallta de bhith a’ leughadh agus a’ sgrìobhadh gu àireamh paramadair de sheòlaidhean. Bidh an gineadair trafaic cuideachd a’ cumail sùil air an dàta a chaidh a leughadh bhon chuimhne gus dèanamh cinnteach gu bheil e a rèir an dàta sgrìobhte agus a’ dearbhadh fàiligeadh a chaochladh.
  • Eisimpleir den eadar-aghaidh cuimhne, anns a bheil:
    • Rianadair cuimhne a bhios a’ tomhas eadar eadar-aghaidh Avalon-MM agus eadar-aghaidh AFI.
    • Tha am PHY, a tha na eadar-aghaidh eadar rianadair na cuimhne agus innealan cuimhne taobh a-muigh gus gnìomhachd leughaidh is sgrìobhaidh a dhèanamh.

Figear 7. Dealbhadh Synthesis ExampleUG-20219-Taobh a-muigh-Cuimhne-Eadar-aghaidh-Intel-Agilex-FPGA-IP-Design-Example-fig-24

Thoir an aire: Ma tha aon no barrachd de na paramadairean Modh Co-roinn PLL, Modh Co-roinn DLL, no Modh Co-roinn OCT air an suidheachadh gu luach sam bith ach Gun Roinneadh, tha an dealbhadh synthesis exampBidh dà eisimpleir gineadair trafaic / eadar-aghaidh cuimhne ann. Tha an dà sheòrsa gineadair trafaic / eadar-aghaidh cuimhne ceangailte a-mhàin le ceanglaichean PLL / DLL / OCT co-roinnte mar a tha air am mìneachadh leis na roghainnean paramadair. Tha an gineadair trafaic / eisimpleirean eadar-aghaidh cuimhne a’ sealltainn mar as urrainn dhut ceanglaichean mar sin a dhèanamh nad dhealbhaidhean fhèin.

Dealbhadh Samhlachaidh Example
Tha an dealbhadh atharrais exampLe tha na prìomh bhlocaichean a chithear san fhigear a leanas.

  • Tha eisimpleir de dhealbhadh synthesis example. Mar a chaidh a mhìneachadh san earrann roimhe, tha an dealbhadh synthesis exampLe tha gineadair trafaic, pàirt calibration, agus eisimpleir den eadar-aghaidh cuimhne. Bidh na blocaichean sin bunaiteach gu modalan atharrais eas-chruthach far a bheil sin iomchaidh airson atharrais luath.
  • Modail cuimhne, a tha ag obair mar mhodail coitcheann a tha a 'cumail ri mion-chomharrachadh protocol cuimhne. Gu tric, bidh luchd-reic cuimhne a ’toirt seachad modalan atharrais airson na pàirtean cuimhne sònraichte aca as urrainn dhut a luchdachadh sìos bhon fheadhainn aca weblàraichean.
  • Neach-dearbhaidh inbhe, a bhios a’ cumail sùil air comharran inbhe bhon eadar-aghaidh cuimhne taobh a-muigh IP agus an gineadair trafaic, gus suidheachadh pas no fàiligeadh iomlan a chomharrachadh.

Figear 10. Dealbhadh Simulation ExampleUG-20219-Taobh a-muigh-Cuimhne-Eadar-aghaidh-Intel-Agilex-FPGA-IP-Design-Example-fig-25

Example Designs Interface Tab
Tha an deasaiche paramadair a’ toirt a-steach Example Designs tab a leigeas leat parameterize agus gineadh do dhealbhadh examples.

Eadar-aghaidh cuimhne taobh a-muigh Intel Agilex FPGA IP Design Example Tasglann Stiùireadh Luchd-cleachdaidh

Tha dreachan IP an aon rud ris na dreachan bathar-bog Intel Quartus Prime Design suas gu v19.1. Bho dreach bathar-bog Intel Quartus Prime Design Suite 19.2 no nas fhaide air adhart, tha sgeama tionndadh IP ùr aig IPs. Mura h-eil dreach bunaiteach IP air a liostadh, tha an stiùireadh cleachdaiche airson an dreach bunaiteach IP a’ buntainn.

Tionndadh Core IP Stiùireadh Cleachdaiche
2.4.0 Eadar-aghaidh cuimhne taobh a-muigh Intel Agilex FPGA IP Design Example Tasglann Stiùireadh Luchd-cleachdaidh
2.3.0 Eadar-aghaidh cuimhne taobh a-muigh Intel Agilex FPGA IP Design Example Tasglann Stiùireadh Luchd-cleachdaidh
2.3.0 Eadar-aghaidh cuimhne taobh a-muigh Intel Agilex FPGA IP Design Example Tasglann Stiùireadh Luchd-cleachdaidh
2.1.0 Eadar-aghaidh cuimhne taobh a-muigh Intel Agilex FPGA IP Design Example Tasglann Stiùireadh Luchd-cleachdaidh
19.3 Eadar-aghaidh cuimhne taobh a-muigh Intel Agilex FPGA IP Design Example Tasglann Stiùireadh Luchd-cleachdaidh

Eachdraidh ath-sgrùdadh sgrìobhainnean airson eadar-aghaidh cuimhne taobh a-muigh Intel Agilex FPGA IP Design Example Stiùireadh Cleachdaiche

Tionndadh Sgrìobhainn Intel Quartus Prìomh Tionndadh Tionndadh IP Atharrachaidhean
2021.06.21 21.2 2.4.2 Anns a Dealbhadh Example Quick Start caibideil:

• Chuir sinn nota ris an A’ cur ri chèile agus a’ prògramadh an Intel Agilex EMIF Design Example cuspair.

• Dh'atharraich tiotal an A’ cruthachadh Design Example leis an Roghainn Calibration Debug cuspair.

• Chuir an A’ cruthachadh Design Example leis an Roghainn rèiteachaidh TG agus A’ comasachadh an Gineadair Trafaic ann an Dealbhadh Example cuspairean.

• Ceumannan 2, 3, agus 4 atharraichte, ùraich grunn fhigearan, agus chuir iad nota ris, anns an A’ cleachdadh an Design Example Inneal Debug EMIF cuspair.

2021.03.29 21.1 2.4.0 Anns a Dealbhadh Example Quick Start caibideil:

• Chuir sinn nota ris an A’ gineadh an Synthesizable EMIF Design Example agus A’ cruthachadh an EMIF Design Example airson Simulation cuspairean.

• Ùraich an File Sgeama de structair ann an cruth A’ cruthachadh an EMIF Design Example airson Simulation cuspair.

2020.12.14 20.4 2.3.0 Anns a Dealbhadh Example Quick Start caibideil, rinn e na h-atharrachaidhean a leanas:

• Ùraich an A’ gineadh an Synthesizable EMIF Design Example cuspair gus dealbhadh ioma-EMIF a ghabhail a-steach.

• Ùraich am figear airson ceum 3, anns an A’ cruthachadh an EMIF Design Example airson Simulation cuspair.

2020.10.05 20.3 2.3.0 Anns a Dealbhadh Example Quick Start Guide caibideil, rinn e na h-atharrachaidhean a leanas:

• Anns A 'cruthachadh pròiseact EMIF, ùraich an dealbh ann an ceum 6.

• Anns A’ gineadh an Synthesizable EMIF Design Example, ùraich am figear ann an ceum 3.

• Anns A’ cruthachadh an EMIF Design Example airson Simulation, ùraich am figear ann an ceum 3.

• Anns Samhlachadh an aghaidh Buileachadh Bathar-cruaidh, ceartaich e typo beag san dàrna clàr.

• Anns A’ cleachdadh an Design Example Inneal Debug EMIF, ceum atharraichte 6, ceumannan 7 agus 8 air an cur ris.

a’ leantainn…
Tionndadh Sgrìobhainn Intel Quartus Prìomh Tionndadh Tionndadh IP Atharrachaidhean
2020.04.13 20.1 2.1.0 • Anns a Mu dheidhinn caibideil, atharraich an clàr anns an

Fiosrachadh Sgaoileadh cuspair.

• Anns a Dealbhadh Example Quick Start Guide

caibideil:

- Ceum 7 atharraichte agus an ìomhaigh co-cheangailte ris, anns an A’ gineadh an Synthesizable EMIF Design Example cuspair.

— Dh'atharraich an A 'cruthachadh an Design Example leis an Roghainn Debug cuspair.

— Dh'atharraich an A’ cleachdadh an Design Example Inneal Debug EMIF cuspair.

2019.12.16 19.4 2.0.0 • Anns a Dealbhadh Example Quick Start caibideil:

- Ùraich an dealbh ann an ceum 6 den

A 'cruthachadh pròiseact EMIF cuspair.

- Ùraich an dealbh ann an ceum 4 den A’ gineadh an Synthesizable EMIF Design Example cuspair.

- Ùraich an dealbh ann an ceum 4 den A’ cruthachadh an EMIF Design Example airson Simulation cuspair.

- Ceum 5 atharraichte anns an A’ cruthachadh an EMIF Design Example airson Simulation cuspair.

— Dh'atharraich an Stiùireadh Coitcheann Pin agus Bancaichean faisg air làimh earrannan de na Suidheachadh prìne airson Intel Agilex EMIF IP cuspair.

2019.10.18 19.3   • Anns a A 'cruthachadh pròiseact EMIF cuspair, ùraich an ìomhaigh le puing 6.

• Anns a A 'cruthachadh agus a' rèiteachadh an IP EMIF

cuspair, ùraich am figear le ceum 1.

• Anns a' chlàr anns an Stiùireadh Deasaiche Parameter Intel Agilex EMIF cuspair, dh’ atharraich e an tuairisgeul airson an Bòrd tab.

• Anns a A’ gineadh an Synthesizable EMIF Design Example agus A’ cruthachadh an EMIF Design Example airson Simulation cuspairean, ùrachadh an ìomhaigh ann an ceum 3 de gach cuspair.

• Anns a A’ cruthachadh an EMIF Design Example airson Simulation cuspair, ùrachadh an Dealbhadh Samhlachaidh air a ghineadh Example File Structar figear agus atharraich e an nota às deidh an fhigear.

• Anns a A’ gineadh an Synthesizable EMIF Design Example cuspair, air a chur ris ceum agus figear airson ioma-eadar-aghaidh.

2019.07.31 19.2 1.2.0 • Air a chur ris Mu na h-eadar-aghaidhean cuimhne taobh a-muigh Intel Agilex FPGA IP caibideil agus Fiosrachadh Sgaoilidh.

• Cinn-latha agus àireamhan dreach ùraichte.

• Meudachadh beag air an Dealbhadh Synthesis Example figear anns an Dealbhadh Synthesis Example cuspair.

2019.04.02 19.1   • Sgaoileadh tùsail.

Eachdraidh ath-sgrùdadh sgrìobhainnean airson eadar-aghaidh cuimhne taobh a-muigh Intel Agilex FPGA IP Design Example Stiùireadh Cleachdaiche

Sgrìobhainnean/Goireasan

Intel UG-20219 Eadar-aghaidh Cuimhne Taobh a-muigh Intel Agilex FPGA IP Design Example [pdfStiùireadh Cleachdaiche
UG-20219 Eadar-aghaidh Cuimhne Taobh a-muigh Intel Agilex FPGA IP Design Example, UG-20219, Eadar-aghaidh Cuimhne Taobh a-muigh Intel Agilex FPGA IP Design Example, Eadar-aghaidh Intel Agilex FPGA IP Design Example, Agilex FPGA IP Design Example

Iomraidhean

Fàg beachd

Cha tèid do sheòladh puist-d fhoillseachadh. Tha raointean riatanach air an comharrachadh *