UG-20219 Ita Iranti atọkun Intel Agilex FPGA IP Design Example
Nipa Awọn atọkun Iranti Itanna Intel® Agilexâ„¢ FPGA IP
Alaye Tu silẹ
Awọn ẹya IP jẹ kanna bi awọn ẹya sọfitiwia Intel® Quartus® Prime Design Suite to v19.1. Lati ẹya sọfitiwia Intel Quartus Prime Design Suite 19.2 tabi nigbamii, awọn ohun kohun IP ni ero ikede IP tuntun kan. Nọmba ti ikede IP (XYZ) n yipada lati ẹya sọfitiwia kan si omiiran. Iyipada ninu:
- X tọkasi atunyẹwo pataki ti IP. Ti o ba ṣe imudojuiwọn sọfitiwia Quartus Prime Intel rẹ, o gbọdọ tun IP ṣe.
- Y tọkasi IP pẹlu awọn ẹya tuntun. Tun IP rẹ ṣe lati ni awọn ẹya tuntun wọnyi.
- Z tọkasi IP pẹlu awọn ayipada kekere. Tun IP rẹ ṣe lati fi awọn ayipada wọnyi kun.
Nkan Apejuwe Ẹya IP 2.4.2 Intel kuotisi NOMBA 21.2 Ojo ifisile 2021.06.21
Apẹrẹ ExampItọsọna Ibẹrẹ Yara fun Awọn atọkun Iranti Ita Intel Agilex™ FPGA IP
Apẹrẹ aládàáṣiṣẹ example sisan wa fun Intel Agilex™ ita iranti atọkun. Awọn ina Example Awọn apẹrẹ bọtini lori Eksample Designs taabu faye gba o lati pato ati ina awọn kolaginni ati kikopa oniru example file ṣeto eyi ti o le lo lati fidi rẹ EMIF IP. O le ṣe ina apẹrẹ example ti o baamu ohun elo idagbasoke Intel FPGA, tabi fun eyikeyi IP EMIF ti o ṣe. O le lo apẹrẹ example ṣe iranlọwọ fun igbelewọn rẹ, tabi bi aaye ibẹrẹ fun eto tirẹ.
Apẹrẹ gbogbogbo Example Workflows
Ṣiṣẹda EMIF Project
Fun ẹya sọfitiwia Intel Quartus Prime 17.1 ati nigbamii, o gbọdọ ṣẹda iṣẹ akanṣe Intel Quartus Prime ṣaaju ṣiṣe ipilẹṣẹ EMIF IP ati apẹrẹ apẹẹrẹ.ample.
- Lọlẹ Intel Quartus Prime software ki o si yan File ➤ New Project oso. Tẹ Itele. Apẹrẹ ExampItọsọna Ibẹrẹ Yara fun Awọn atọkun Iranti Ita Intel Agilex™ FPGA IP
- Pato itọsọna kan ( ), orukọ kan fun iṣẹ akanṣe Intel Quartus Prime ( ), ati orukọ ẹda apẹrẹ ipele oke kan ( ) ti o fẹ ṣẹda. Tẹ Itele.
- Daju pe Ofo Project ti yan. Tẹ Next igba meji.
- Labẹ Ìdílé, yan Intel Agilex.
- Labẹ Ajọ Orukọ, tẹ nọmba apakan ẹrọ naa.
- Labẹ awọn ẹrọ ti o wa, yan ẹrọ ti o yẹ.
- Tẹ Pari.
Ṣiṣẹda ati Ṣiṣeto EMIF IP
Awọn igbesẹ wọnyi ṣe apejuwe bi o ṣe le ṣe ipilẹṣẹ ati tunto IP EMIF. Ririn yii ṣẹda wiwo DDR4, ṣugbọn awọn igbesẹ jẹ iru fun awọn ilana miiran. (Awọn igbesẹ wọnyi tẹle ṣiṣan Katalogi IP (standalone); ti o ba yan lati lo Apẹrẹ Platform (eto) ṣiṣan dipo, awọn igbesẹ naa jọra.)
- Ni awọn IP Catalog window, yan Ita Memory Interfaces Intel Agilex FPGA IP. (Ti window IP Catalog ko ba han, yan View ➤ IP Catalog.)
- Ninu Olootu IP Parameter, pese orukọ nkan kan fun IP EMIF (orukọ ti o pese nibi di file lorukọ fun IP) ati pato itọsọna kan. Tẹ Ṣẹda.
- Olootu paramita naa ni awọn taabu pupọ nibiti o gbọdọ tunto awọn paramita lati ṣe afihan imuse EMIF rẹ.
Awọn Itọsọna Olootu Parameter Intel Agilex EMIF
Koko yii n pese itọnisọna ipele-giga fun parameterizing awọn taabu ninu olootu paramita IP Intel Agilex EMIF.
Table 1. Awọn Itọsọna Olootu Parameter EMIF
Parameter Editor Tab | Awọn itọnisọna |
Gbogboogbo | Rii daju pe awọn paramita wọnyi ti wa ni titẹ ni deede:
• Iwọn iyara fun ẹrọ naa. Igbohunsafẹfẹ aago iranti. Awọn igbohunsafẹfẹ aago itọkasi PLL. |
Iranti | Tọkasi iwe data fun ẹrọ iranti rẹ lati tẹ awọn paramita sii lori awọn Iranti taabu.
• O yẹ ki o tun tẹ ipo kan pato sii fun PIN # ALERT. (O kan si Ilana iranti DDR4 nikan.) |
Mem I/O | Fun awọn iwadii iṣẹ akanṣe akọkọ, o le lo awọn eto aiyipada lori awọn
Mem I/O taabu. • Fun afọwọsi apẹrẹ ti ilọsiwaju, o yẹ ki o ṣe kikopa igbimọ lati gba awọn eto ifopinsi to dara julọ. |
FPGA I/O | Fun awọn iwadii iṣẹ akanṣe akọkọ, o le lo awọn eto aiyipada lori awọn
FPGA I/O taabu. • Fun afọwọsi apẹrẹ ilọsiwaju, o yẹ ki o ṣe kikopa igbimọ pẹlu awọn awoṣe IBIS ti o somọ lati yan awọn iṣedede I/O ti o yẹ. |
Mem Time | Fun awọn iwadii iṣẹ akanṣe akọkọ, o le lo awọn eto aiyipada lori awọn
Mem Time taabu. Fun afọwọsi apẹrẹ ilọsiwaju, o yẹ ki o tẹ awọn paramita sii ni ibamu si iwe data ẹrọ iranti rẹ. |
Adarí | Ṣeto awọn paramita oludari ni ibamu si iṣeto ti o fẹ ati ihuwasi fun oluṣakoso iranti rẹ. |
Awọn iwadii aisan | O le lo awọn paramita lori awọn Awọn iwadii aisan taabu lati ṣe iranlọwọ ni idanwo ati ṣiṣatunṣe wiwo iranti rẹ. |
Example Awọn apẹrẹ | Awọn Example Awọn apẹrẹ taabu jẹ ki o ina oniru examples fun kolaginni ati fun kikopa. Awọn ti ipilẹṣẹ oniru example jẹ eto EMIF pipe ti o ni EMIF IP ati awakọ ti o ṣe agbejade ijabọ laileto lati fọwọsi wiwo iranti. |
Fun alaye alaye lori awọn aye kọọkan, tọka si ipin ti o yẹ fun ilana iranti rẹ ninu Awọn atọkun Iranti Ita Intel Agilex FPGA IP Itọsọna olumulo.
Ṣiṣẹda Synthesizable EMIF Design Example
Fun ohun elo idagbasoke Intel Agilex, o to lati lọ kuro pupọ julọ awọn eto IP Agilex EMIF ni awọn iye aiyipada wọn. Lati se ina awọn synthesizable oniru example, tẹle awọn igbesẹ wọnyi:
- Lori Example Awọn aṣa taabu, rii daju wipe awọn Synthesis apoti ti wa ni ẹnikeji.
- Ti o ba ti wa ni imulo awọn nikan ni wiwo example design, tunto EMIF IP ki o si tẹ File➤ Fipamọ lati ṣafipamọ eto lọwọlọwọ sinu iyatọ IP olumulo file ( .ip).
- Ti o ba ti wa ni imulo ohun Mofiample oniru pẹlu ọpọ atọkun, pato Nọmba ti IPs si awọn ti o fẹ nọmba ti awọn atọkun. O le wo nọmba lapapọ ti ID EMIF kanna bi Nọmba IP ti o yan. Tẹle awọn igbesẹ wọnyi lati tunto wiwo kọọkan:
- Yan Cal-IP lati pato asopọ ti wiwo si IP Calibration.
- Tunto EMIF IP ni ibamu ni gbogbo Taabu Olootu Parameter.
- Pada si Example Design taabu ki o si tẹ Yaworan lori awọn ti o fẹ EMIF ID.
- Tun igbesẹ kan si c fun gbogbo ID EMIF.
- O le tẹ bọtini Ko kuro lati yọkuro awọn paramita ti o ya kuro ki o tun ṣe igbesẹ a si c lati ṣe awọn ayipada si IP EMIF.
- Tẹ File➤ Fipamọ lati ṣafipamọ eto lọwọlọwọ sinu iyatọ IP olumulo file ( .ip).
- Ti o ba ti wa ni imulo awọn nikan ni wiwo example design, tunto EMIF IP ki o si tẹ File➤ Fipamọ lati ṣafipamọ eto lọwọlọwọ sinu iyatọ IP olumulo file ( .ip).
- Tẹ ina Example Apẹrẹ ni oke-ọtun loke ti awọn window.
- Pato itọsọna kan fun apẹrẹ EMIF example ki o tẹ O DARA. Iran ti o ni aṣeyọri ti apẹrẹ EMIF example ṣẹda awọn wọnyi fileṣeto labẹ a qii liana.
- Tẹ File ➤ Jade lati jade ni IP Parameter Editor Pro window. Awọn eto ta, Laipe ayipada ti ko ti ipilẹṣẹ. Ṣe ina ni bayi? Tẹ Bẹẹkọ lati tẹsiwaju pẹlu sisan atẹle.
- Lati ṣii example design, tẹ File ➤ Ṣii Project, ati lilö kiri si awọn /ample_name>/qii/ed_synth.qpf ki o si tẹ Ṣii.
Akiyesi: Fun alaye lori akopọ ati siseto apẹrẹ example, tọka si
Iṣakojọpọ ati siseto Intel Agilex EMIF Design Example.
olusin 4. Ti ipilẹṣẹ Synthesizable Design Example File Ilana
Fun alaye lori ṣiṣe eto pẹlu awọn atọkun iranti ita meji tabi diẹ sii, tọka si Ṣiṣẹda Oniru Example pẹlu Multiple EMIF atọkun, ni Ita Memory atọkun Intel Agilex FPGA IP Itọsọna olumulo. Fun alaye lori ṣiṣatunṣe ọpọ awọn atọkun, tọka si Ṣiṣe Ohun elo Irinṣẹ EMIF ni Apẹrẹ Ti o wa, ninu Awọn atọkun Iranti Ita Ita Intel Agilex FPGA Itọnisọna Olumulo IP.
Akiyesi: Ti o ko ba yan Simulation tabi apoti ayẹwo Synthesis, itọsọna ibi-ajo ni apẹrẹ Platform Designer nikan files, eyiti kii ṣe akopọ nipasẹ sọfitiwia Intel Quartus Prime taara, ṣugbọn eyiti o le view tabi satunkọ ni Platform onise. Ni ipo yii o le ṣiṣe awọn aṣẹ wọnyi lati ṣe agbekalẹ iṣelọpọ ati kikopa file tosaaju.
- Lati ṣẹda iṣẹ akanṣe akojọpọ, o gbọdọ ṣiṣẹ quartus_sh -t make_qii_design.tclscript ninu itọsọna ibi-afẹde.
- Lati ṣẹda ise agbese kikopa, o gbọdọ ṣiṣe awọn quartus_sh -t make_sim_design.tcl akosile ninu awọn nlo liana.
Akiyesi: Ti o ba ti ipilẹṣẹ a oniru example ati ki o si ṣe awọn ayipada si o ni paramita olootu, o gbọdọ regenerate awọn oniru example rii awọn ayipada rẹ ti a ṣe. Awọn rinle ti ipilẹṣẹ oniru example ko ìkọlélórí awọn ti wa tẹlẹ oniru example files.
Ṣiṣẹda EMIF Oniru Example fun Simulation
Fun ohun elo idagbasoke Intel Agilex, o to lati lọ kuro pupọ julọ awọn eto IP Agilex EMIF ni awọn iye aiyipada wọn. Lati ṣe ina apẹrẹ exampFun kikopa, tẹle awọn igbesẹ wọnyi:
- Lori Example Awọn aṣa taabu, rii daju wipe awọn Simulation apoti ti wa ni ẹnikeji. Tun yan ọna kika Simulation HDL ti o nilo, boya Verilog tabi VHDL.
- Tunto EMIF IP ki o tẹ File ➤ Fipamọ lati ṣafipamọ eto lọwọlọwọ sinu iyatọ IP olumulo file ( .ip).
- Tẹ ina Example Apẹrẹ ni oke-ọtun loke ti awọn window.
- Pato itọsọna kan fun apẹrẹ EMIF example ki o tẹ O DARA. Iran ti o ni aṣeyọri ti apẹrẹ EMIF example ṣẹda ọpọ file ṣeto fun orisirisi awọn simulators atilẹyin, labẹ a SIM/ed_sim liana.
- Tẹ File ➤ Jade lati jade ni IP Parameter Editor Pro window. Awọn eto ta, Laipe ayipada ti ko ti ipilẹṣẹ. Ṣe ina ni bayi? Tẹ Bẹẹkọ lati tẹsiwaju pẹlu sisan atẹle.
Ti ipilẹṣẹ Simulation Design Example File Ilana
Akiyesi: Awọn atọkun Iranti Itanna Intel Agilex FPGA IP lọwọlọwọ ṣe atilẹyin VCS nikan, ModelSim/QuestaSim, ati awọn simulators Xcelium. Atilẹyin simulator ni afikun ti gbero ni awọn idasilẹ ọjọ iwaju.
Akiyesi: Ti o ko ba yan Simulation tabi apoti ayẹwo Synthesis, itọsọna ibi-ajo ni apẹrẹ Platform Designer nikan files, eyiti kii ṣe akopọ nipasẹ sọfitiwia Intel Quartus Prime taara, ṣugbọn eyiti o le view tabi satunkọ ni Platform onise. Ni ipo yii o le ṣiṣe awọn aṣẹ wọnyi lati ṣe agbekalẹ iṣelọpọ ati kikopa file tosaaju.
- Lati ṣẹda iṣẹ akanṣe akojọpọ, o gbọdọ ṣiṣẹ quartus_sh -t make_qii_design.tcl iwe afọwọkọ ni itọsọna ibi-afẹde.
- Lati ṣẹda ise agbese kikopa, o gbọdọ ṣiṣe awọn quartus_sh -t make_sim_design.tcl akosile ninu awọn nlo liana.
Akiyesi: Ti o ba ti ipilẹṣẹ a oniru example ati ki o si ṣe awọn ayipada si o ni paramita olootu, o gbọdọ regenerate awọn oniru example rii awọn ayipada rẹ ti a ṣe. Awọn rinle ti ipilẹṣẹ oniru example ko ìkọlélórí awọn ti wa tẹlẹ oniru example files.
Simulation Versus Hardware imuse
Fun kikopa ni wiwo iranti iranti ita, o le yan boya foju iwọntunwọnsi tabi isọdọtun ni kikun lori taabu Awọn iwadii lakoko iran IP.
EMIF Simulation Models
Tabili yii ṣe afiwe awọn abuda ti isọdọtun fo ati awọn awoṣe isọdi kikun.
Tabili 2. Awọn awoṣe Simulation EMIF: Rekọja Isọdiwọn dipo Isọdi Kikun
Rekọja Iṣatunṣe | Isọdiwọn ni kikun |
Simulation ipele-eto ti n fojusi lori ọgbọn olumulo. | Simulation ni wiwo iranti ti o fojusi lori isọdiwọn. |
Awọn alaye ti isọdiwọn ko gba. | Mu gbogbo stages ti odiwọn. |
Ni agbara lati fipamọ ati gba data pada. | Pẹlu ipele ipele, deskew fun-bit, ati bẹbẹ lọ. |
Ṣe aṣoju iṣẹ ṣiṣe deede. | |
Ko ro ọkọ skew. |
RTL Simulation Versus Hardware imuse
Tabili yii ṣe afihan awọn iyatọ bọtini laarin simulation EMIF ati imuse ohun elo.
Table 3. EMIF RTL Simulation Versus Hardware imuse
RTL Simulation | Hardware imuse |
Nios® ibẹrẹ ati koodu isọdọtun ṣiṣẹ ni afiwe. | Bibẹrẹ Nios ati koodu isọdiwọn ṣiṣẹ lẹsẹsẹ. |
Awọn atọkun so ifihan cal_done nigbakanna ni kikopa. | Awọn iṣẹ Fitter pinnu aṣẹ ti isọdọtun, ati awọn atọkun ko sọ pe cal_done ni nigbakannaa. |
O yẹ ki o ṣiṣẹ awọn iṣeṣiro RTL ti o da lori awọn ilana ijabọ fun ohun elo apẹrẹ rẹ. Ṣe akiyesi pe kikopa RTL ko ṣe apẹẹrẹ awọn idaduro itọpa PCB eyiti o le fa aiṣedeede ni aipe laarin simulation RTL ati imuse ohun elo.
Simulating Ita Memory Interface IP Pẹlu ModelSim
Ilana yii fihan bi o ṣe le ṣedasilẹ apẹrẹ EMIF example.
- Lọlẹ Mentor Graphics* Sọfitiwia ModelSim ko si yan File ➤ Yi Itọsọna. Lilö kiri si sim/ed_sim/liana olutojueni laarin apẹrẹ ti ipilẹṣẹ example folda.
- Daju pe window tiransikiripiti ti han ni isalẹ iboju naa. Ti window Tiransikiripiti ko ba han, ṣafihan rẹ nipa tite View ➤ Tiransikiripiti.
- Ninu ferese tiransikiripiti, ṣiṣe orisun msim_setup.tcl.
- Lẹhin ti orisun msim_setup.tcl ti pari ṣiṣe, ṣiṣe ld_debug ni window Tiransikiripiti.
- Lẹhin ti ld_debug pari ṣiṣe, rii daju pe window Awọn nkan ti han. Ti window Awọn nkan ko ba han, ṣafihan rẹ nipa tite View ➤ Awọn nkan.
- Ninu ferese Awọn nkan, yan awọn ifihan agbara ti o fẹ lati ṣe adaṣe nipasẹ titẹ-ọtun ati yiyan Fikun Wave.
- Lẹhin ti o pari yiyan awọn ifihan agbara fun kikopa, ṣiṣẹ run -all ni window Tiransikiripiti. Simulation naa nṣiṣẹ titi ti o fi pari.
- Ti kikopa naa ko ba han, tẹ View ➤ Igbi.
Ibi Pinni fun Intel Agilex EMIF IP
Koko-ọrọ yii pese awọn itọnisọna fun gbigbe pin.
Pariview
Intel Agilex FPGAs ni eto atẹle:
- Ẹrọ kọọkan ni to awọn banki 8 I/O.
- Ile-ifowopamọ I/O kọọkan ni awọn banki iha-I/O meji ninu.
- Ile-ifowopamọ iha-I/O kọọkan ni awọn ọna 4 ni.
- Ọna kọọkan ni awọn pinni I/O (GPIO) idi gbogbogbo 12 ninu.
Gbogbogbo Pin Awọn Itọsọna
Awọn atẹle jẹ awọn itọnisọna pin gbogbogbo.
Akiyesi: Fun alaye pin alaye diẹ sii, tọka si Intel Agilex FPGA EMIF IP Pin ati apakan Eto Awọn orisun ni ipin pato-ila fun ilana iranti iranti ita rẹ, ninu Awọn atọkun Iranti Ita Intel Agilex FPGA IP Itọsọna olumulo.
- Rii daju wipe awọn pinni fun a fi fun ita ni wiwo iranti gbe laarin awọn kanna I/O kana.
- Awọn atọkun ti o kọja awọn banki lọpọlọpọ gbọdọ pade awọn ibeere wọnyi:
- Awọn banki gbọdọ wa ni isunmọ si ara wọn. Fun alaye lori awọn bèbe ti o wa nitosi, tọka si EMIF Architecture: I/O Bank koko ninu Awọn atọkun Iranti Ita Intel Agilex FPGA IP Itọsọna olumulo.
- Gbogbo adirẹsi ati aṣẹ ati awọn pinni to somọ gbọdọ gbe laarin ile-ifowopamọ kan ṣoṣo.
- Adirẹsi ati aṣẹ ati awọn pinni data le pin ipin-ifowopamọ labẹ awọn ipo wọnyi:
- Adirẹsi ati pipaṣẹ ati awọn pinni data ko le pin ọna I/O kan.
- Nikan ọna I/O ti ko lo ninu adirẹsi ati banki aṣẹ le ni awọn pinni data ninu.
Table 4. Gbogbogbo Pin inira
Iru ifihan agbara | Ihamọ |
Data Strobe | Gbogbo awọn ifihan agbara ti ẹgbẹ DQ gbọdọ gbe ni ọna I/O kanna. |
Data | Awọn pinni DQ ti o jọmọ gbọdọ gbe ni ọna I/O kanna. Fun awọn ilana ti ko ṣe atilẹyin awọn laini data bidirectional, awọn ifihan agbara yẹ ki o ṣe akojọpọ lọtọ lati awọn ifihan agbara kikọ. |
Adirẹsi ati pipaṣẹ | Adirẹsi ati awọn pinni aṣẹ gbọdọ gbe ni awọn ipo ti a ti sọ tẹlẹ laarin ile-ifowopamọ I/O kan. |
Akiyesi: Fun alaye pin alaye diẹ sii, tọka si Intel Agilex FPGA EMIF IP Pin ati apakan Eto Awọn orisun ni ipin pato-ila fun ilana iranti iranti ita rẹ, ninu Awọn atọkun Iranti Ita Intel Agilex FPGA IP Itọsọna olumulo.
- Rii daju wipe awọn pinni fun a fi fun ita ni wiwo iranti gbe laarin awọn kanna I/O kana.
- Awọn atọkun ti o kọja awọn banki lọpọlọpọ gbọdọ pade awọn ibeere wọnyi:
- Awọn banki gbọdọ wa ni isunmọ si ara wọn. Fun alaye lori awọn bèbe ti o wa nitosi, tọka si EMIF Architecture: I/O Bank koko ninu Awọn atọkun Iranti Ita Intel Agilex FPGA IP Itọsọna olumulo.
- Gbogbo adirẹsi ati aṣẹ ati awọn pinni to somọ gbọdọ gbe laarin ile-ifowopamọ kan ṣoṣo.
- Adirẹsi ati aṣẹ ati awọn pinni data le pin ipin-ifowopamọ labẹ awọn ipo wọnyi:
- Adirẹsi ati pipaṣẹ ati awọn pinni data ko le pin ọna I/O kan.
- Nikan ọna I/O ti ko lo ninu adirẹsi ati banki aṣẹ le ni awọn pinni data ninu.
Ti o npese a Design Example pẹlu TG iṣeto ni Aṣayan
Awọn ti ipilẹṣẹ EMIF oniru example pẹlu kan Àkọsílẹ monomono ijabọ (TG). Nipa aiyipada, apẹrẹ example nlo bulọọki TG ti o rọrun (altera_tg_avl) eyiti o le tunto nikan lati le tun bẹrẹ ilana ijabọ ti o ni koodu lile. Ti o ba jẹ dandan, o le yan lati mu olupilẹṣẹ ijabọ atunto (TG2) ṣiṣẹ dipo. Ninu olupilẹṣẹ ijabọ atunto (TG2) (altera_tg_avl_2), o le tunto ilana ijabọ ni akoko gidi nipasẹ awọn iforukọsilẹ iṣakoso — afipamo pe o ko ni lati tun ṣe apẹrẹ lati yipada tabi tun bẹrẹ ilana ijabọ naa. Olupilẹṣẹ ijabọ yii n pese iṣakoso daradara lori iru ijabọ ti o firanṣẹ lori wiwo iṣakoso EMIF. Ni afikun, o pese awọn iforukọsilẹ ipo ti o ni alaye ikuna alaye ninu.
Muu awọn Traffic monomono ni a Design Example
O le mu olupilẹṣẹ ijabọ atunto ṣiṣẹ lati taabu Awọn ayẹwo ni olootu paramita EMIF. Lati mu olupilẹṣẹ ijabọ atunto ṣiṣẹ, tan-an Lo monomono ijabọ Avalon atunto 2.0 lori taabu Awọn iwadii.
Olusin 6.
- O le yan lati mu ilana ijabọ aiyipada stage tabi olumulo tunto ijabọ stage, sugbon o gbọdọ ni o kere kan stage sise. Fun alaye lori awọn wọnyi stages, tọka si Àpẹẹrẹ Traffic Aiyipada ati Olumulo-ni tunto Traffic Àpẹẹrẹ ni Ita Memory atọkun Intel Agilex FPGA IP Itọsọna olumulo.
- Paramita iye akoko idanwo TG2 kan nikan si apẹẹrẹ ijabọ aiyipada. O le yan iye akoko idanwo kukuru, alabọde, tabi ailopin.
- o le yan boya ninu awọn iye meji fun paramita Ipo Ni wiwo TG2 Iṣeto ni:
- JTAG: Faye gba lilo ti a GUI ni eto console. Fun alaye diẹ ẹ sii, tọka si Itọkasi Isọdasọpọ Olupilẹṣẹ Ijabọ ni Awọn atọkun Iranti Ita Ita Intel Agilex FPGA Itọnisọna Olumulo IP.
- Si ilẹ okeere: Faye gba lilo aṣa kannaa RTL lati ṣakoso ilana ijabọ.
Lilo Apẹrẹ Example pẹlu EMIF Debug Toolkit
Ṣaaju ki o to ṣe ifilọlẹ EMIF Debug Toolkit, rii daju pe o ti tunto ẹrọ rẹ pẹlu siseto kan file ti o ni Apoti Atunṣe EMIF ṣiṣẹ. Lati ṣe ifilọlẹ Ohun elo Iyipada EMIF, tẹle awọn igbesẹ wọnyi:
- Ninu sọfitiwia Intel Quartus Prime, ṣii System Console nipa yiyan Awọn irinṣẹ ➤ Awọn irinṣẹ N ṣatunṣe aṣiṣe Eto ➤ System Console.
- [Rekọja igbesẹ yii ti iṣẹ akanṣe rẹ ba ti ṣii tẹlẹ ninu sọfitiwia Intel Quartus Prime.] Ninu Console System, ṣaja ohun SRAM naa file (.sof) pẹlu eyiti o ṣe eto igbimọ naa (gẹgẹ bi a ti ṣalaye ninu Awọn ibeere fun Lilo Ohun elo Ohun elo Debug EMIF, ni Awọn atọkun Iranti Ita Ita Intel Agilex FPGA IP Itọsọna olumulo).
- Yan awọn apẹẹrẹ lati ṣatunṣe.
- Yan Ohun elo Iyipada Iyipada Iyipada EMIF fun ṣiṣatunṣe iwọntunwọnsi EMIF, gẹgẹ bi a ti ṣe apejuwe rẹ ni Ṣiṣẹda Apẹrẹ Example pẹlu Iyipada atunkọ Aṣayan. Ni omiiran, yan Ohun elo Iṣeto EMIF TG fun ṣiṣatunṣe olupilẹṣẹ olupilẹṣẹ, gẹgẹ bi a ti ṣe apejuwe rẹ ni Ṣiṣẹda Oniru Example pẹlu TG iṣeto ni Aṣayan.
- Tẹ Ṣii Ohun elo irinṣẹ lati ṣii akọkọ view ti EMIF Debug Toolkit.
- Ti awọn iṣẹlẹ EMIF lọpọlọpọ ba wa ninu apẹrẹ ti a ṣe eto, yan iwe naa (ọna si JTAG titunto si) ati ID wiwo iranti ti apẹẹrẹ EMIF fun eyiti lati mu ohun elo irinṣẹ ṣiṣẹ.
- Tẹ Interface Mu ṣiṣẹ lati gba ohun elo irinṣẹ laaye lati ka awọn aye wiwo ati ipo isọdiwọn.
- O gbọdọ ṣatunṣe ọkan ni wiwo ni akoko kan; nitorina, lati sopọ si miiran ni wiwo ninu awọn oniru, o gbọdọ akọkọ mu maṣiṣẹ awọn ti isiyi ni wiwo.
Awọn atẹle jẹ examples ti awọn iroyin lati EMIF Calibration Debug Toolkit ati EMIF TG Ohun elo Iṣeto:, lẹsẹsẹ.
Akiyesi: Fun awọn alaye lori n ṣatunṣe aṣiṣe odiwọn, tọka si N ṣatunṣe aṣiṣe pẹlu Ohun elo Iyanju Itumọ Atẹwọle Iranti Ita, ninu Awọn atọkun Iranti Ita Intel Agilex FPGA IP Itọsọna olumulo.
Akiyesi: Fun awọn alaye lori n ṣatunṣe aṣiṣe monomono ijabọ, tọka si Itọkasi Olumulo Iṣeto Olumulo Traffic Generator, ninu Awọn atọkun Iranti Ita Ita Intel Agilex FPGA IP Itọsọna olumulo.
Apẹrẹ Example Apejuwe fun Ita Memory atọkun Intel Agilex FPGA IP
Nigbati o ba ṣe paramita ati ṣe ina EMIF IP rẹ, o le pato pe eto naa ṣẹda awọn ilana fun kikopa ati iṣelọpọ file tosaaju, ati ina awọn file ṣeto laifọwọyi. Ti o ba yan Simulation tabi Synthesis labẹ Example Apẹrẹ Files lori Example Awọn aṣa taabu, awọn eto ṣẹda kan pipe kikopa file ṣeto tabi a pipe kolaginni file ṣeto, ni ibamu pẹlu yiyan rẹ.
Apẹrẹ Synthesis Example
Apẹrẹ kolaginni example ni awọn bulọọki pataki ti o han ninu nọmba ni isalẹ.
- Olupilẹṣẹ ijabọ, eyiti o jẹ iṣelọpọ Avalon®-MMample iwakọ ti o se a irokuro-ID Àpẹẹrẹ ti kika ati ki o kọwe si a parameterized nọmba ti adirẹsi. Olupilẹṣẹ ijabọ tun ṣe abojuto data ti a ka lati iranti lati rii daju pe o baamu data ti a kọ silẹ ati pe o sọ ikuna bibẹẹkọ.
- Apeere ti wiwo iranti, eyiti o pẹlu:
- Aṣakoso iranti ti o ṣe iwọn laarin wiwo Avalon-MM ati wiwo AFI.
- PHY naa, eyiti o ṣiṣẹ bi wiwo laarin oluṣakoso iranti ati awọn ẹrọ iranti ita lati ṣe awọn iṣẹ kika ati kikọ.
olusin 7. Synthesis Design Example
Akiyesi: Ti ọkan tabi diẹ ẹ sii ti Ipo Pipin PLL, Ipo Pipin DLL, tabi Awọn aye Pipin OCT ti ṣeto si iye eyikeyi miiran ju Ko si Pipin, iṣelọpọ iṣelọpọ example yoo ni meji ijabọ monomono / iranti ni wiwo instances. Awọn olupilẹṣẹ ijabọ meji / awọn apẹẹrẹ wiwo iranti jẹ ibatan nikan nipasẹ PLL/DLL/awọn asopọ OCT ti o pin gẹgẹbi asọye nipasẹ awọn eto paramita. Olupilẹṣẹ ijabọ / awọn apẹẹrẹ wiwo iranti ṣe afihan bi o ṣe le ṣe iru awọn asopọ ni awọn aṣa tirẹ.
Simulation Design Example
Apẹrẹ kikopa example ni awọn bulọọki pataki ti o han ni nọmba atẹle.
- Ohun apẹẹrẹ ti kolaginni oniru example. Bi apejuwe ninu awọn ti tẹlẹ apakan, kolaginni design example ni olupilẹṣẹ ijabọ, paati isọdọtun, ati apẹẹrẹ ti wiwo iranti. Awọn bulọọki wọnyi aiyipada si awọn awoṣe kikopa áljẹbrà nibiti o yẹ fun kikopa iyara.
- Awoṣe iranti, eyiti o ṣiṣẹ bi awoṣe jeneriki ti o faramọ awọn pato ilana ilana iranti. Nigbagbogbo, awọn olutaja iranti pese awọn awoṣe kikopa fun awọn paati iranti wọn pato ti o le ṣe igbasilẹ lati ọdọ wọn webojula.
- Oluyẹwo ipo kan, eyiti o ṣe abojuto awọn ifihan agbara ipo lati inu wiwo iranti ita IP ati olupilẹṣẹ ijabọ, lati ṣe ifihan igbasilẹ gbogbogbo tabi ipo ikuna.
olusin 10. Simulation Design Example
Example Awọn aṣa Interface Tab
Olootu paramita pẹlu Example Awọn aṣa taabu eyiti ngbanilaaye lati parameterize ati ṣe ipilẹṣẹ apẹrẹ rẹ Mofiamples.
Awọn atọkun Iranti ita Intel Agilex FPGA IP Design Example User Itọsọna Archives
Awọn ẹya IP jẹ kanna bi awọn ẹya sọfitiwia Intel Quartus Prime Design Suite to v19.1. Lati Intel Quartus Prime Design Suite sọfitiwia ẹya 19.2 tabi nigbamii, awọn IP ni ero ti ikede IP tuntun kan. Ti ẹya IP mojuto ko ba ṣe akojọ, itọsọna olumulo fun ẹya IP mojuto ti tẹlẹ kan.
Itan Atunyẹwo Iwe fun Awọn atọkun Iranti Ita Intel Agilex FPGA IP Design Example User Itọsọna
Ẹya Iwe aṣẹ | Intel Quartus NOMBA Version | Ẹya IP | Awọn iyipada |
2021.06.21 | 21.2 | 2.4.2 | Ninu awọn Apẹrẹ Example Quick Bẹrẹ ipin:
Fi kun akọsilẹ kan si awọn Iṣakojọpọ ati siseto Intel Agilex EMIF Design Example koko. • Ṣatunkọ akọle ti Ti o npese a Design Example pẹlu Iyipada atunkọ Aṣayan koko. Fi kun awọn Ti o npese a Design Example pẹlu TG iṣeto ni Aṣayan ati Muu awọn Traffic monomono ni a Design Example awọn koko-ọrọ. Atunse awọn igbesẹ 2, 3, ati 4, imudojuiwọn ọpọlọpọ awọn isiro, o si fi akọsilẹ kun, ninu Lilo Apẹrẹ Example pẹlu EMIF Debug Toolkit koko. |
2021.03.29 | 21.1 | 2.4.0 | Ninu awọn Apẹrẹ Example Quick Bẹrẹ ipin:
Fi kun akọsilẹ kan si awọn Ṣiṣẹda Synthesizable EMIF Design Example ati Ṣiṣẹda EMIF Oniru Example fun Simulation awọn koko-ọrọ. • imudojuiwọn awọn File Eto aworan atọka ninu awọn Ṣiṣẹda EMIF Oniru Example fun Simulation koko. |
2020.12.14 | 20.4 | 2.3.0 | Ninu awọn Apẹrẹ Example Quick Bẹrẹ ipin, ṣe awọn ayipada wọnyi:
• imudojuiwọn awọn Ṣiṣẹda Synthesizable EMIF Design Example koko lati pẹlu olona-EMIF awọn aṣa. • Ṣe imudojuiwọn nọmba rẹ fun igbesẹ 3, ninu Ṣiṣẹda EMIF Oniru Example fun Simulation koko. |
2020.10.05 | 20.3 | 2.3.0 | Ninu awọn Apẹrẹ Example Quick Bẹrẹ Itọsọna ipin, ṣe awọn ayipada wọnyi:
• Ninu Ṣiṣẹda EMIF Project, ṣe imudojuiwọn aworan ni igbese 6. • Ninu Ṣiṣẹda Synthesizable EMIF Design Example, ṣe imudojuiwọn nọmba ni igbese 3. • Ninu Ṣiṣẹda EMIF Oniru Example fun Simulation, ṣe imudojuiwọn nọmba ni igbese 3. • Ninu Simulation Versus Hardware imuse, ṣe atunṣe typo kekere kan ni tabili keji. • Ninu Lilo Apẹrẹ Example pẹlu EMIF Debug Toolkit, Igbesẹ 6 ti a ṣe atunṣe, ti a ṣafikun awọn igbesẹ 7 ati 8. |
tesiwaju… |
Ẹya Iwe aṣẹ | Intel Quartus NOMBA Version | Ẹya IP | Awọn iyipada |
2020.04.13 | 20.1 | 2.1.0 | • Nínú Nipa ipin, títúnṣe tabili ninu awọn
Alaye Tu silẹ koko. • Nínú Apẹrẹ Example Quick Bẹrẹ Itọsọna ipin: - Títúnṣe igbese 7 ati awọn nkan image, ninu awọn Ṣiṣẹda Synthesizable EMIF Design Example koko. - títúnṣe awọn Ti o npese awọn Design Example pẹlu Aṣayan yokokoro koko. - títúnṣe awọn Lilo Apẹrẹ Example pẹlu EMIF Debug Toolkit koko. |
2019.12.16 | 19.4 | 2.0.0 | • Nínú Apẹrẹ Example Quick Bẹrẹ ipin:
- Imudojuiwọn apejuwe ni igbese 6 ti awọn Ṣiṣẹda EMIF Project koko. - Imudojuiwọn apejuwe ni igbese 4 ti awọn Ṣiṣẹda Synthesizable EMIF Design Example koko. - Imudojuiwọn apejuwe ni igbese 4 ti awọn Ṣiṣẹda EMIF Oniru Example fun Simulation koko. - títúnṣe igbese 5 ninu awọn Ṣiṣẹda EMIF Oniru Example fun Simulation koko. - títúnṣe awọn Gbogbogbo Pin Awọn Itọsọna ati Awọn ile-ifowopamọ nitosi awọn apakan ti awọn Ibi Pinni fun Intel Agilex EMIF IP koko. |
2019.10.18 | 19.3 | • Nínú Ṣiṣẹda EMIF Project koko, ṣe imudojuiwọn aworan pẹlu aaye 6.
• Nínú Ṣiṣẹda ati Ṣiṣeto EMIF IP koko, ṣe imudojuiwọn eeya pẹlu igbesẹ 1. • Ninu tabili ni awọn Awọn Itọsọna Olootu Parameter Intel Agilex EMIF koko, yi pada awọn apejuwe fun awọn Ọkọ taabu. • Nínú Ṣiṣẹda Synthesizable EMIF Design Example ati Ṣiṣẹda EMIF Oniru Example fun Simulation awọn koko-ọrọ, ṣe imudojuiwọn aworan ni igbesẹ 3 ti koko kọọkan. • Nínú Ṣiṣẹda EMIF Oniru Example fun Simulation koko, imudojuiwọn awọn Ti ipilẹṣẹ Simulation Design Example File Ilana isiro ati ki o títúnṣe akọsilẹ awọn wọnyi isiro. • Nínú Ṣiṣẹda Synthesizable EMIF Design Example koko, kun igbese kan ati ki o kan olusin fun ọpọ atọkun. |
|
2019.07.31 | 19.2 | 1.2.0 | Fi kun Nipa Awọn atọkun Iranti Itanna Intel Agilex FPGA IP ipin ati Tu Alaye.
Awọn ọjọ imudojuiwọn ati awọn nọmba ẹya. Imudara kekere si awọn Apẹrẹ Synthesis Example olusin ninu awọn Apẹrẹ Synthesis Example koko. |
2019.04.02 | 19.1 | • Itusilẹ akọkọ. |
Itan Atunyẹwo Iwe fun Awọn atọkun Iranti Ita Intel Agilex FPGA IP Design Example User Itọsọna
Awọn iwe aṣẹ / Awọn orisun
![]() |
intel UG-20219 Ita Iranti atọkun Intel Agilex FPGA IP Design Example [pdf] Itọsọna olumulo UG-20219 Ita Iranti atọkun Intel Agilex FPGA IP Design Example, UG-20219, Ita Memory atọkun Intel Agilex FPGA IP Design Eksample, Awọn atọkun Intel Agilex FPGA IP Design Eksample, Agilex FPGA IP Design Eksample |